KR101262460B1 - 1-차원 어레이의 블록 공중합체 원통 그리고 그 적용 - Google Patents

1-차원 어레이의 블록 공중합체 원통 그리고 그 적용 Download PDF

Info

Publication number
KR101262460B1
KR101262460B1 KR1020107020026A KR20107020026A KR101262460B1 KR 101262460 B1 KR101262460 B1 KR 101262460B1 KR 1020107020026 A KR1020107020026 A KR 1020107020026A KR 20107020026 A KR20107020026 A KR 20107020026A KR 101262460 B1 KR101262460 B1 KR 101262460B1
Authority
KR
South Korea
Prior art keywords
block copolymer
trench
copolymer material
block
substrate
Prior art date
Application number
KR1020107020026A
Other languages
English (en)
Other versions
KR20100112196A (ko
Inventor
단 비. 밀워드
카를 스튜언
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20100112196A publication Critical patent/KR20100112196A/ko
Application granted granted Critical
Publication of KR101262460B1 publication Critical patent/KR101262460B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/778Nanostructure within specified host or matrix material, e.g. nanocomposite films
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/778Nanostructure within specified host or matrix material, e.g. nanocomposite films
    • Y10S977/784Electrically conducting, semi-conducting, or semi-insulating host material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition
    • Y10S977/789Of specified organic or carbon-based composition in array format
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/70Nanostructure
    • Y10S977/788Of specified organic or carbon-based composition
    • Y10S977/789Of specified organic or carbon-based composition in array format
    • Y10S977/79Of specified organic or carbon-based composition in array format with heterogeneous nanostructures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24058Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
    • Y10T428/24124Fibers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24174Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
    • Y10T428/24182Inward from edge of web or sheet

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)
  • Graft Or Block Polymers (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)
  • Micromachines (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

자기-조립 블록 공중합체를 이용하여 1-차원 어레이로 서브리소그래피의 나노-스케일의 미세 구조물을 제조하는 방법 그리고 이들 방법으로부터 형성되는 필름 및 장치가 제공된다.

Description

1-차원 어레이의 블록 공중합체 원통 그리고 그 적용{ONE-DIMENSIONAL ARRAYS OF BLOCK COPOLYMER CYLINDERS AND APPLICATIONS THEREOF}
본 발명의 실시예는 자기-조립(self-assembling) 블록 공중합체의 박막을 제조하는 방법 그리고 이들 방법으로부터 유래되는 장치에 관한 것이다.
나노-스케일(nanoscale)의 기계, 전기, 화학 및 생물학 장치 및 시스템의 개발이 증가됨에 따라, 새로운 공정 및 재료가 나노-스케일의 장치 및 부품을 제조하는 데 필요하다. 도전성 라인으로의 전기 접촉부를 형성하는 것은 반도체 특징부의 치수가 종래의 리소그래피에 의해 용이하게 접근 가능하지 않은 크기까지 축소됨에 따라 중요한 도전 과제가 되었다. 광학 리소그래피 가공 방법은 30 나노미터 미만 수준에서 구조물 및 특징부를 제조하는 데 어려움을 갖는다. 자기-조립 이중 블록 공중합체(diblock copolymer)의 사용은 나노-스케일 치수에서의 패터닝에 이르는 또 다른 경로를 제공한다. 이중 블록 공중합체 필름은 예컨대 중합체의 유리 전이 온도 위에서의 열 어닐링에 의한 또는 용매 어닐링에 의한 어닐링 후에 구성 중합체 블록의 미세상-분리(microphase separation)에 의해 주기성 구조물로 자발적으로 조립되어, 나노미터 스케일 치수에서의 정돈 영역(ordered domain)을 형성한다.
미세상-분리 영역의 크기 및 형상을 포함한 필름 형태는 다른 것들 중에서 라멜라형, 원통형 또는 구형 형태를 생성하기 위해 이중 블록 공중합체의 AB 블록의 분자량 및 체적 분율에 의해 제어될 수 있다. 예컨대, 이중 블록 중합체의 2개 블록(AB)의 약 80:20 초과의 비율에서의 체적 분율에 대해, 블록 공중합체 필름은 미세상-분리되어, 중합체 B의 구체가 중합체 A의 매트릭스에 의해 포위된 상태로 주기성 구형 영역으로 자기-조립될 것이다. 약 60:40 내지 약 80:20의 2개 블록의 비율에 대해, 이중 블록 공중합체는 중합체 A의 매트릭스 내의 주기성 육방 밀집 또는 벌집형 어레이의 중합체 B의 원통으로 조립된다. 약 50:50 내지 약 60:40의 비율에 대해, 블록의 라멜라형 영역 또는 교대형 스트라이프가 형성된다. 영역 크기는 통상적으로 5-50 ㎚의 범위 내에 있다.
연구자들은 좁은 홈 내에 구형-형태의 블록 공중합체를 성형(templating)함으로써 다수 블록의 매트릭스 내에 1-D 어레이의 블록 공중합체의 소수 블록의 구체를 생성하는 것을 보고하였다. 그러나, 1-D 어레이의 구체는, 구체 재료가 제거될 수 있더라도 잔여의 다공질 필름에 대해 종횡비가 작은 경우에, 불량한 식각 마스크 구조물을 제공한다. 추가로, 인접한 홈 내의 구체는 y-축을 따라 오프셋되었고 정렬되지 않았다. 더욱이, 반도체 시스템을 위해 하부 기판 내에 구조물을 형성하는 적용 분야는 접촉부, 도전성 라인 및/또는 DRAM 커패시터 등의 다른 소자를 형성하기 위해 복잡한 레이아웃의 소자를 요구한다.
이들 문제점을 극복하는 1-차원 어레이의 정돈된 나노 구조물의 필름을 제조하는 방법을 제공하는 것이 유용할 것이다.
본 발명의 실시예가 단지 설명 목적을 위한 것인 다음의 첨부 도면을 참조하여 아래에서 설명된다. 다음의 도면 전체에 걸쳐, 도면 부호가 도면에서 사용될 것이고, 동일한 도면 부호는 동일 또는 유사한 부품을 표시하기 위해 여러 개의 도면 전체에 걸쳐 그리고 상세한 설명에서 사용될 것이다.
도 1은 본 발명의 실시예에 따른 예비 가공 단계에서의 기판의 일부의 개략 평면도로서, 중성 웨팅 재료(neutral wetting material)가 그 상에 있는 기판을 도시하고 있다. 도 1a-도 1b는 각각 선 1A-1A 및 선 1B-1B를 따라 취해지는 도 1에 도시된 기판의 측단면도이다.
도 2는 후속 단계에서의 도 1의 기판의 개략 평면도로서, 중성 웨팅 재료 상에 형성되는 재료 층 내에서의 트렌치(trench)의 형성을 도시하고 있다. 도 2a-도 2b는 선 2A-2A 및 선 2B-2B를 따라 각각 취해지는 도 2에 도시된 기판의 일부의 측단면도이다.
도 3은 본 발명의 또 다른 실시예에 따른 예비 가공 단계에서의 기판의 일부의 측면도로서, 재료 층 내의 트렌치가 기판 상에 형성되는 기판을 도시하고 있다. 도 4는 후속 단계에서의 도 3의 기판의 측면도로서, 트렌치 내에서의 중성 웨팅 재료의 형성을 도시하고 있다.
도 5-도 6은 본 발명의 실시예에 따른 트렌치 내에 중합체 매트릭스 내의 단일 열의 직각-배향 원통을 포함하는 자기-조립된 블록 공중합체 필름의 제조에서의 후속 단계에서의 도 2의 기판의 개략 평면도이다. 도 5a-도 6a는 각각 선 5A-5A 및 선 6A-6A를 따라 취해지는 도 5-도 6에 도시된 기판의 일부의 측단면도이다. 도 5b-도 6b는 각각 선 5B-5B 및 선 6B-6B를 따라 취해지는 도 5-도 6에 도시된 기판의 단면도이다.
도 7-도 9는 후속 단계에서의 도 6의 기판의 평면도로서, 기판을 식각하기 위한 마스크로서의 중합체 블록들 중 하나의 제거 그리고 식각된 개구의 충전 후의 자기-조립된 블록 공중합체 필름의 사용의 실시예를 도시하고 있다. 도 7a-도 9a는 각각 선 7A-7A 내지 선 9A-9A를 따라 취해지는 도 7-도 9에 도시된 기판의 일부의 측단면도이다. 도 7b-도 9b는 각각 선 7B-7B 내지 선 9B-9B를 따라 취해지는 도 7-도 9에 도시된 기판의 단면도이다.
도 10은 본 발명의 또 다른 실시예에 따른 예비 가공 단계에서의 기판의 일부의 개략 평면도로서, 기판을 노출시키는 재료 층 내의 트렌치를 도시하고 있다. 도 10a-도 10b는 각각 선 10A-10A 및 선 10B-10B를 따라 취해지는 도 10에 도시된 기판의 측단면도이다.
도 11-도 12는 재료 층 내의 트렌치 내에서의 자기-조립된 블록 공중합체 필름의 제조에서의 후속 단계에서의 도 10의 기판의 개략 평면도이다. 도 11a-도 12a는 각각 선 11A-11A 및 선 12A-12A를 따라 취해지는 도 11-도 12에 도시된 기판의 일부의 측단면도이다. 도 11b-도 12b는 각각 선 11B-11B 및 선 12B-12B를 따라 취해지는 도 11-도 12에 도시된 기판의 단면도이다.
도 13-도 15는 후속 단계에서의 도 12의 기판의 평면도로서, 본 발명의 실시예에 따라 기판을 식각하기 위한 마스크로서의 중합체 블록들 중 하나의 제거 그리고 식각된 개구의 충전 후의 자기-조립된 블록 공중합체의 사용을 도시하고 있다. 도 13a-도 15a는 각각 선 13A-13A 내지 선 15A-15A를 따라 취해지는 도 13-도 15에 도시된 기판의 일부의 측단면도이다. 도 13b-도 15b는 각각 선 13B-13B 내지 선 15B-15B를 따라 취해지는 도 13-도 15에 도시된 기판의 단면도이다.
도 16은 본 발명의 또 다른 실시예에 따른 도 12의 기판의 일부의 개략 평면도로서, 트렌치 표면을 따른 이중층 웨팅 층을 도시하고 있다. 도 16a-도 16b는 각각 선 16A-16A 및 선 16B-16B를 따라 취해지는 도 16에 도시된 기판의 측단면도이다.
도 17-도 18은 후속 단계에서의 도 16의 기판의 평면도로서, 본 발명의 또 다른 실시예에 따른 기판에 개구를 형성하기 위해 중합체 영역들 중 하나의 제거를 도시하고 있다. 도 17a-도 18a는 각각 선 17A-17A 및 선 18A-18A를 따라 취해지는 도 17-도 18에 도시된 기판의 일부의 측단면도이다. 도 17b-도 18b는 각각 선 17B-17B 및 선 18B-18B를 따라 취해지는 도 17-도 18에 도시된 기판의 단면도이다.
도 19-도 22는 단일 트렌치 내에 2개 열의 원통을 형성하는 본 발명의 또 다른 실시예를 도시하고 있다. 도 19는 예비 가공 단계에서의 기판의 일부의 평면도로서, 재료 층 내의 트렌치를 도시하고 있다. 도 19a-도 19b는 선 19A-19A 및 선 19B-19B를 따라 각각 취해지는 도 19에 도시된 기판의 일부의 측단면도이다.
도 20은 본 발명의 실시예에 따른 트렌치 내에서의 자기-조립된 원통형-상 블록 공중합체 재료의 제조에서의 후속 단계에서의 도 19의 기판의 평면도이다. 도 21은 블록 공중합체 재료의 원통형 영역의 제거 후의 후속 단계에서의 도 20의 기판의 평면도이다. 도 22는 기판의 식각 그리고 식각된 개구의 충전 후의 도 21의 평면도이다. 도 20a-도 22a는 선 20A-20A, 선 21A-선21A 및 선 22A-22A를 따라 각각 취해지는 도 20-도 22의 기판의 측단면도이다. 도 20b-도 22b는 각각 선 20B-20B, 선 21B-21B 및 선 22B-22B를 따라 취해지는 도 20-도 22에 도시된 기판의 측단면도로서, 원통의 양쪽 라인을 도시하고 있다.
도면과 관련된 다음의 설명은 본 발명의 실시예에 따른 장치 및 방법의 예시를 위한 예를 제공하고 있다. 이러한 설명은 예시하고자 할 뿐이고, 본 발명을 제한하고자 하지 않는다.
본 출원과 관련하여, 용어 "반도체 기판(semiconductor substrate)", "반도체성 기판(semiconductive substrate)", "반도체성 웨이퍼 단편(semiconductive wafer fragment)", "웨이퍼 단편(wafer fragment)" 또는 "웨이퍼(wafer)"는 (단독으로 또는 그 상에 다른 재료를 포함하는 조립체로 중 어느 한쪽의) 반도체 웨이퍼 등의 벌크 반도체성 재료를 포함하지만 이것들에 제한되지 않는 반도체 재료 그리고 (단독으로 또는 다른 재료를 포함하는 조립체로 중 어느 한쪽의) 반도체성 재료 층을 포함하는 임의의 구조물을 의미하는 것으로 이해될 것이다. 용어 "기판(substrate)"은 반도체성 기판, 웨이퍼 단편 또는 웨이퍼를 포함하지만 이것들에 제한되지 않는 임의의 구조물을 말한다.
여기에서 사용된 것과 같은 "L0"은 자기-조립(SA: self-assembling) 블록 공중합체로부터 어닐링 시에 자기-조립되는 구조물의 고유 주기성 또는 피치 값(벌크 주기 또는 반복 유닛)이다. 여기에서 사용된 것과 같은 "LB"는 그 구성 단일 중합체들 중 1개 이상과 블록 공중합체의 혼합물의 주기성 또는 피치 값이다. "L"은 블록 공중합체 또는 혼합물의 원통의 중심간 원통 피치 또는 간격을 표시하는 데 여기에서 사용되고, 순수한 블록 공중합체에 대해 "L0"과 동등하고 공중합체 혼합물에 대해 "LB"와 동등하다.
본 발명의 실시예에서, 중합체 재료(예컨대, 필름, 층)는 양쪽 중합체 영역이 공기 계면에 있는 상태로 블록 공중합체의 안내된 자기-조립에 의해 준비된다. 블록 공중합체 재료는 어닐링 후에 구성 중합체 블록의 미세상-분리에 의해 주기성 구조물로 자발적으로 조립되고, 그에 의해 나노미터-스케일 치수에서의 정돈 영역을 형성한다. 본 발명의 실시예에서, 1-차원(1-D) 어레이의 직각-배향 원통이 각각의 트렌치 내에 형성된다. 다른 실시예에서, 2개 열의 원통이 각각의 트렌치 내에 형성될 수 있다. 자기-조립에 후속하여, 기판 상에 형성되는 직각-배향 원통의 패턴이 그 다음에 예컨대 자기-조립된 블록 공중합체의 하나의 블록의 선택 제거를 통해 하부 기판 내로 나노-크기의 특징부를 패터닝하는 식각 마스크로서 사용될 수 있다. 이러한 방법과 관련된 영역 크기 및 주기(L)는 블록 공중합체(MW)의 사슬 길이에 의해 결정되므로, 분해능이 종래의 포토리소그래피 등의 다른 기술을 초월할 수 있다. 이 기술을 사용한 가공 비용은 유사한 분해능을 갖는 극자외선(EUV: extreme ultraviolet) 포토리소그래피보다 상당히 작다.
본 발명의 실시예에 따른 나노미터-스케일의 1-차원(1-D) 어레이의 직각-배향 원통을 정의하는 자기-조립된 블록 공중합체 재료를 제조하는 방법이 도 1-도 6에 도시되어 있다.
설명된 실시예는 양쪽 중합체 블록에 대해 중성 웨팅되는 재료를 포함하는 바닥부(floor) 그리고 하나의 중합체 블록에 대해 우선 웨팅되고 블록 공중합체가 트렌치 바닥부에 직각으로 배향되고 트렌치 측벽에 정합되는 중합체 매트릭스 내의 정돈된 1-D 어레이의 단일 열의 원통으로 자기-조립되게 유도하는 구속부로서 기능하는 측벽 및 단부를 갖는 안내부로서 리소그래피 공정으로 정의된 트렌치를 이용하는 그래포에피택시 기술(graphoepitaxy technique)과 결합된 원통형-상 블록 공중합체의 열 어닐을 포함한다. 일부 실시예에서, 2개 열의 원통이 각각의 트렌치 내에 형성될 수 있다.
블록 공중합체 또는 혼합물은 모든 중합체 블록이 어닐 중에 공기 계면에 대해 동일한 우선권을 갖도록 구성된다. 열 어닐에 대해, 이러한 이중 블록 공중합체는 다른 것들 중에서 예컨대 폴리(스티렌)-b-폴리(메틸메타크릴레이트)(PS-b-PMMA) 또는 다른 PS-b-폴리(아크릴레이트) 또는 PS-b-폴리(메타크릴레이트), 폴리(스티렌)-b-폴리(락티드)(PS-b-PLA), 그리고 폴리(스티렌)-b-폴리(3차-부틸 아크릴레이트)(PS-b-PtBA)를 포함한다. PS-b-PMMA 이중 블록 공중합체가 예시된 실시예에서 사용되지만, 다른 형태의 블록 공중합체(즉, 삼중 블록 또는 다중 블록 공중합체)가 사용될 수 있다. 삼중 블록 공중합체의 예는 ABC 공중합체 및 ABA 공중합체(예컨대, PS-PMMA-PS 및 PMMA-PS-PMMA)를 포함한다.
블록 공중합체의 L 값은 예컨대 블록 공중합체의 분자량을 조정함으로써 변경될 수 있다. 블록 공중합체 재료는 중합체 영역의 크기를 팽창시키고 L 값을 증가시키는 혼합물을 생성하기 위해 블록 공중합체 그리고 블록 공중합체 내의 중합체 블록과 동일한 형태의 중합체의 1개 이상의 단일 중합체(HP: homopolymer)를 포함하는 2원 또는 3원 혼합물로서 또한 형성될 수 있다. 단일 중합체의 체적 분율은 0 내지 약 60%의 범위 내에 있을 수 있다. 3원 이중 블록 공중합체 혼합물의 예가 PS-b-PMMA/PS/PMMA 혼합물, 예컨대 60%의 46K/21K PS-b-PMMA, 20%의 20K 폴리스티렌 그리고 20%의 20K 폴리(메틸 메타크릴레이트)이다. PS-PEO 및 약 0-40% PEO 단일 중합체(HP)의 혼합물이 또한 열 어닐 중에 직각 원통을 생성하는 데 사용될 수 있고; 추가된 PEO 공중합체가 적어도 부분적으로 PEO 영역의 표면 에너지를 PS의 표면 에너지까지 저하시키도록 기능할 수 있는 것으로 믿어진다.
미세상-분리 영역의 영역 크기 및 주기(L0)를 포함한 필름 형태는 (다른 것들 중에서) 원통형 형태를 생성하기 위해 블록 공중합체[분자량(MW: molecular weight)]의 사슬 길이 그리고 이중 블록 공중합체의 AB 블록의 체적 분율에 의해 제어될 수 있다. 예컨대, 대체로 약 60:40 내지 80:20의 2개 블록의 비율에서의 체적 분율에 대해, 이중 블록 공중합체는 중합체 A의 매트릭스 내의 중합체 B의 주기성 원통형 영역으로 미세상-분리 및 자기-조립될 것이다. PS의 매트릭스 내에 약 20 ㎚ 직경의 원통형 PMMA 영역을 형성하기 위한 원통-형성 PS-b-PMMA 공중합체 재료(L0∼35 ㎚)의 예는 67 ㎏/몰의 총 분자량(Mn)을 갖는 상태에서 약 70% PS 및 30% PMMA를 포함한다.
도 1-도 1b에 도시된 것과 같이, 다른 재료들 중에서 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 실리콘 산탄화물일 수 있는 기판(10)이 제공된다. 추가로 설명된 것과 같이, 도전성 라인(12)(또는 다른 활성 영역, 예컨대 반도체 영역)이 기판(10) 내에 위치된다.
설명된 실시예들 중 임의의 실시예에서, 단일 트렌치 또는 다수개의 트렌치가 기판 내에 형성될 수 있고, 라인(또는 다른 활성 영역)의 어레이의 전체 폭에 걸쳐 연장될 수 있다. 본 발명의 실시예에서, 기판(10)에는 L의 피치로 도전성 라인(12)(또는 다른 활성 영역)의 어레이가 제공된다. 트렌치 또는 트렌치들은 블록 공중합체 재료가 어닐링될 때에 각각의 원통이 단일 활성 영역(12)(예컨대, 도전성 라인) 위에 위치되도록 활성 영역(12)(예컨대, 라인) 위에 형성된다. 일부 실시예에서, 다수개의 트렌치는 인접한 트렌치 내의 원통이 동일한 라인(12) 위에 정렬 및 위치되도록 각각의 인접한 트렌치(18)의 단부(24)가 정렬되거나 L의 5% 미만으로 서로로부터 약간 오프셋된 상태로 형성된다.
도시된 실시예에서, 중성 웨팅 재료(14)(예컨대, 불규칙 공중합체)가 기판(10) 위에 형성되었다. 재료 층(16)(또는 1개 이상의 재료 층)이 그 다음에 중성 웨팅 재료 위에 형성될 수 있고, 도 2-도 2b에 도시된 것과 같이 도전성 라인(12)의 어레이에 직각으로 배향되는 트렌치(18)를 형성하도록 식각될 수 있다. 재료 층(16)의 일부가 외부측에서 그리고 트렌치들 사이에서 스페이서(spacer)(20)를 형성한다. 트렌치(18)는 대향 측벽(22), 대향 단부(24), 바닥부(26), 폭부(wt), 길이부(lt) 및 깊이(Dt)로 구성된다.
도 3-도 4에 도시된 또 다른 실시예에서, 재료 층(16')은 기판(10') 상에 형성될 수 있고, 트렌치(18')를 형성하도록 식각될 수 있고, 중성 웨팅 재료(14')가 그 다음에 트렌치 바닥부(26') 상에 형성될 수 있다. 예컨대, 불규칙 공중합체 재료가 트렌치(18') 내로 퇴적될 수 있고, 중성 웨팅 재료 층을 형성하도록 교차-결합될 수 있다. 스페이서(20') 등의 트렌치 외부측 표면 상의 재료(예컨대, 교차-결합되지 않은 불규칙 공중합체)가 후속적으로 제거될 수 있다.
(도시된 것과 같은) 단일 또는 다수개의 트렌치(18)는 L(10-100 ㎚)의 스케일로 패터닝될 수 있는 노광 시스템을 갖는 리소그래피 장비를 사용하여 형성될 수 있다. 이러한 노광 시스템은 예컨대 당업계에서 공지되어 사용되는 것과 같은 극자외선(EUV) 리소그래피, 근접 X-선 및 전자 빔(e-빔) 리소그래피를 포함한다. 종래의 포토리소그래피는 (최소로) 약 58 ㎚의 특징부를 달성할 수 있다.
"피치 더블링(pitch doubling)" 또는 "피치 멀티플리케이션(pitch multiplication)"으로 불리는 방법이 또한 예컨대 미국 특허 제5,328,810호[로리(Lowrey) 등], 미국 특허 제7,115,525호[아바쳬프(Abatchev) 등], 제US 2006/0281266호[웰즈(Wells)] 및 제US 2007/0023805호(웰즈)에서 기재된 것과 같이 그 최소 피치를 넘어 포토리소그래피 기술의 성능을 확장시키는 데 사용될 수 있다. 간략하게, 라인의 패턴이 나중에 기판 위에 놓이는 소모성 재료 위의 포토레지스트 재료 내에 포토리소그래피 공정으로 형성되며, 소모성 재료 층은 플레이스홀더(placeholder) 또는 맨드럴(mandrel)을 형성하도록 식각되고, 포토레지스트는 박리되고, 스페이서가 맨드럴의 측면 상에 형성되고, 맨드럴은 그 다음에 기판을 패터닝하는 마스크로서 스페이서를 남기면서 제거된다. 이와 같이, 초기 포토리소그래피가 1개의 특징부 및 1개의 공간을 정의하는 패턴을 형성하는 경우에, 동일한 폭에는 이제 공간이 스페이서에 의해 정의된 상태로, 2개의 특징부 및 2개의 공간이 형성된다. 결과적으로, 포토리소그래피 기술로 가능한 최소 특징부 크기가 약 30 ㎚ 미만까지 효과적으로 감소된다.
트렌치 내에 단일 (1-D) 어레이 또는 층의 직각-배향 나노-원통을 형성하는 과정에서의 인자는 트렌치의 폭(wt) 및 깊이(Dt), 요구된 피치(L)를 성취하기 위한 블록 공중합체 또는 혼합물의 형성 그리고 블록 공중합체 재료의 두께(t)를 포함한다.
예컨대, 중성 웨팅 바닥부를 갖는 75-㎚ 폭의 트렌치 내로 퇴적되는 35-㎚의 피치 또는 L 값을 갖는 블록 공중합체 또는 혼합물이 어닐링 시에 트렌치의 중심부 아래에서 측벽과 정렬되는 단일 라인 열의 직각 원통보다는 트렌치의 길이(lt)에 대해 피치 거리의 약 1/2 또는 약 0.5*L만큼 오프셋되는 지그-재그 패턴의 35-㎚ 직경의 직각 원통을 가져올 것이다. 예컨대 양쪽의 구성 단일 중합체의 추가에 의해 3원 혼합물을 형성함으로써 트렌치의 폭(wt)이 감소되고 및/또는 블록 공중합체의 주기성(L 값)이 증가됨에 따라 트렌치의 중심부 내에서의 직각 원통의 2개 열로부터 1개 열로의 이동(shift)이 있다. x-축 및 y-축의 양쪽에서의 트렌치 측벽(22)의 경계 조건은 각각의 트렌치가 "n개"의 특징부(예컨대, 원통)를 포함하는 구조에 부과된다.
일부 실시예에서, 트렌치(18)는 약 L의 성형된 블록 공중합체 재료(또는 혼합물)가 인접한 원통의 중심간 피치 거리가 L 또는 약 L인 상태로 단일 열의 직각 원통으로 어닐링 시에 자기-조립되도록 블록 공중합체의 약 L 내지 약 1.5*L(또는 1.5 X 피치 값)의 폭(wt)으로 구성된다. 예컨대, 약 50 ㎚의 피치 값 또는 L을 갖는 원통형-상 블록 공중합체를 사용할 때에, 트렌치(18)의 폭(wt)은 약 1-1.5*50 ㎚ 또는 약 50-80 ㎚일 수 있다. 트렌치의 길이(lt)는 nL 또는 약 nL이거나 통상적으로 약 n*10 내지 약 n*100 ㎚(여기에서 n은 특징부 또는 구조물 예컨대 원통의 개수임)의 범위 내에서의 L의 정수배이다. 트렌치(18)의 깊이(Dt)는 L보다 크다(Dt>L). 인접한 트렌치들 사이의 스페이서(20)의 폭은 변동될 수 있고, 대체로 약 L 내지 약 nL이다. 일부 실시예에서, 트렌치 치수는 약 20-100 ㎚의 폭(wt), 약 100-25,000 ㎚의 길이(lt) 그리고 약 10-100 ㎚의 깊이(Dt)이다.
도 5-도 5b를 이제부터 참조하면, L0 또는 약 L0의 고유 피치를 갖는 자기-조립 원통형-상 블록 공중합체 재료(28)(또는 LB 또는 약 LB의 피치를 갖도록 혼합되는 블록 공중합체 및 단일 중합체의 3원 혼합물)가 트렌치(18) 내로 퇴적되고, 그에 의해 퇴적된 블록 공중합체의 트렌치 상의 두께(tt)가 어닐링 후에 대체로 L 또는 약 L이고, 블록 공중합체 재료가 트렌치의 폭(wt)을 횡단하여 단일 층의 원통을 형성하도록 자기-조립된다. 예컨대, 트렌치 내에서의 원통형-상 PS-b-PMMA 블록 공중합체 재료(28)의 통상적인 두께(tt)는 각각의 트렌치 내에 단일 열로의 중합체 매트릭스 내에서 약 0.5*L(예컨대, 5-50 ㎚ 또는 약 20 ㎚)의 직경을 갖는 원통을 형성하기 위해 블록 공중합체 재료의 L 값의 약 ±20%(약 10-100 ㎚)이다. 블록 공중합체 재료(28)의 두께는 예컨대 타원법 기술(ellipsometry technique)에 의해 측정될 수 있다.
블록 공중합체 재료는 예컨대 디클로로에탄(CH2Cl2) 또는 톨루엔 등의 유기 용매 내에서의 공중합체의 희석 용액(예컨대, 약 0.25-2 중량% 용액)으로부터의 스핀 성형(스핀-코팅)에 의해 퇴적될 수 있다. 모세관 힘은 트렌치(18) 내로 (예컨대, 단분자층 초과의) 과도한 블록 공중합체 재료(28)를 견인한다. 도시된 것과 같이, 블록 공중합체 재료의 박막 또는 필름(28a)이 트렌치 외부측의 재료 층(16) 상으로 예컨대 스페이서(20) 상에 퇴적될 수 있다. 어닐링 시에, 박막(28a)은 하향식 관점(top-down perspective)으로부터 재료 층(16) 상의 구조가 없는 브러시 층을 남기면서 트렌치 내로 유동될 것이다.
본 실시예에서, 트렌치 바닥부(26)는 트렌치 바닥부에 직각으로 배향되는 원통형 중합체 영역의 형성을 유도하기 위해 중성 웨팅(공중합체의 양쪽 블록에 대해 동등한 친화도)되도록 구성되고, 트렌치 측벽(22) 및 단부(24)는 중합체 블록이 자기-조립됨에 따라 측벽에 대한 원통의 정렬을 유도하기 위해 블록 공중합체의 하나의 블록에 의해 우선 웨팅되도록 구성된다. 트렌치 표면의 웨팅 성질에 따라, 어닐링 시에, 원통형-상 블록 공중합체의 선호 또는 소수 블록은 도 6-도 6b에 도시된 것과 같이 얇은 계면 또는 웨팅 층을 형성하기 위해 트렌치의 길이에 대해 중합체 매트릭스의 중심부 내에 단일 열의 원통형 영역을 형성하고 트렌치의 측벽 및 모서리에 대해 분리되도록 자기-조립될 것이다. 엔트로피 힘(entropic force)이 양쪽 블록에 의한 중성 웨팅 표면의 웨팅을 추진하고, 엔탈피 힘(enthalpic force)이 선호 블록(예컨대, 소수 블록)에 의한 우선-웨팅 표면의 웨팅을 추진한다.
예컨대 PS-b-PMMA 블록 공중합체의 사용에서 우선 웨팅 표면을 제공하기 위해, 재료 층(16)은 다른 재료 중에서, PMMA 블록을 향해 우선적 웨팅을 보여주는, (자연 산화막을 갖는) 실리콘, 산화물(예컨대, 실리콘 산화물, SiOx), 실리콘 질화물, 실리콘 산탄화물, 인듐 주석 산화물(ITO: indium tin oxide), 실리콘 산질화물 그리고 메타크릴레이트-계열 레지스트 및 폴리디메틸 글루타리미드 레지스트 등의 레지스트 재료를 포함할 수 있다. PS-PMMA 원통-상 블록 공중합체 재료의 사용에서, 공중합체 재료는 얇은 계면 층 그리고 PS 매트릭스 내의 PMMA의 원통을 형성하도록 자기-조립될 것이다.
다른 실시예에서, -OH 함유 부분(예컨대, 히드록시에틸메타크릴레이트)을 갖는 폴리메틸메타크릴레이트(PMMA) 중합체 등의 우선 웨팅 재료가 예컨대 스핀 코팅 그리고 그 다음에 말단 OH 기가 트렌치의 산화물 측벽(22) 및 단부(24)에 단부-그래프팅되게 하는 (예컨대 약 170℃까지의) 가열에 의해 트렌치의 표면 상에 가해질 수 있다. 그래프팅되지 않은 재료가 적절한 용매(예컨대, 톨루엔)로의 세정에 의해 제거될 수 있다. 예컨대, 만스키(Mansky) 등, 사이언스, 1997, 275, 1458-1460 그리고 인(In) 등, 랭뮤어(Langmuir), 2006, 22, 7855-7860을 참조하기로 한다.
중성 웨팅 트렌치 바닥부(26)가 공중합체 재료의 양쪽 블록이 트렌치의 바닥부에 웨팅되게 한다. 중성 웨팅 재료(14)가 도 2-도 2b에 도시된 것과 같이 기판(10) 상으로 중성 웨팅 중합체(예컨대, 중성 웨팅 불규칙 공중합체)를 가하고 재료 층(16)을 형성하고 하부 중성 웨팅 재료를 노출시키기 위해 트렌치를 식각함으로써 제공될 수 있다.
도 3-도 4에 도시된 또 다른 실시예에서, 중성 웨팅 불규칙 공중합체 재료가 도 4에 도시된 것과 같이 트렌치 내로의 성형 또는 스핀-코팅에 의해 예컨대 블랭킷 코트로서 트렌치(18')를 형성한 후에 가해질 수 있다. 불규칙 공중합체 재료는 그 다음에 모세관 작용에 의해 트렌치의 바닥부 내로 재료를 유동시키도록 열 처리될 수 있고, 이것은 교차-결합된 중성 웨팅 불규칙 공중합체를 포함하는 층(매트)(14')을 가져온다. 또 다른 실시예에서, 트렌치 내의 불규칙 공중합체 재료는 중성 웨팅 재료(14')를 형성하기 위해 트렌치 내의 불규칙 공중합체를 교차-결합시키도록 (예컨대, 마스크 또는 레티클을 통해) 노광될 수 있다. 트렌치 외부측의[예컨대, 스페이서(20') 상의] 교차-결합되지 않은 불규칙 공중합체 재료는 후속적으로 제거될 수 있다.
중성 웨팅 표면은 구체적으로 블록 공중합체 내의 것들과 동일한 단량체를 포함하고 각각의 단량체의 몰 분율이 중성 웨팅 표면을 형성하는 데 적절하도록 조정되는 불규칙 공중합체를 가함으로써 준비될 수 있다. 예컨대, 폴리(스티렌-블록-메틸 메타크릴레이트) 블록 공중합체(PS-b-PMMA)의 사용에서, 중성 웨팅 재료(14)가 (예컨대, 스핀 코팅에 의해) 기판(10) 상으로 성형될 수 있는 PS 및 PMMA(예컨대, 약 0.6 몰 분율의 스티렌을 함유하는 PS-PMMA의 불규칙 공중합체)에 대해 비-우선 또는 중성 웨팅을 나타내는 광-교차-결합성 불규칙 PS:PMMA 공중합체(PS-r-PMMA)의 박막으로부터 형성될 수 있다. 불규칙 공중합체 재료는 PS 및 PMMA에 대해 중성 웨팅되고 교차-결합으로 인해 블록 공중합체 재료가 그 상으로 성형될 때에 불용성인 매트를 형성하기 위해 (산화물 기판 상의) 화학 그래프팅에 의해, 또는 (임의의 표면의) 열 또는 광분해 교차-결합에 의해 소정 위치에 고정될 수 있다.
또 다른 실시예에서, 폴리스티렌(PS) 그리고 히드록실기(들)를 갖는 폴리메타크릴레이트(PMMA)[예컨대, 2-히드록시에틸 메타크릴레이트(P(S-r-MMA-r-HEMA)]의 중성 웨팅 불규칙 공중합체(예컨대, 약 58% PS)가 약 48시간 동안 약 160℃에서 약 5-10 ㎚ 두께의 중성 웨팅 층(14)으로서 기판(10)(예컨대, 산화물)에 선택적으로 그래프팅될 수 있다. 예컨대, In 등, Langmuir, 2006, 22, 7855-7860을 참조하기로 한다.
PS-b-PMMA에 대해 중성 웨팅되는 표면이 또한 스티렌 및 메틸 메타크릴레이트의 벤조시클로부텐- 또는 아지도메틸스티렌-작용기를 갖는 불규칙 공중합체[예컨대, 폴리(스티렌-r-벤조시클로부텐-r-메틸 메타크릴레이트(PS-r-PMMA-r-BCB)] 등의 광- 또는 열 교차-결합성 불규칙 공중합체의 블랭킷 층을 스핀 코팅함으로써 준비될 수 있다. 예컨대, 이러한 불규칙 공중합체는 약 42% PMMA, 약 (58-x)% PS 및 x%(예컨대, 약 2-3%)의 폴리벤조시클로부텐 또는 폴리(파라-아지도메틸스티렌) 중 한쪽을 포함할 수 있다. 아지도메틸스티렌-작용기를 갖는 불규칙 공중합체가 중성 웨팅 층(14)으로서 교차-결합된 중합체 매트를 형성하기 위해 (예컨대, 약 15 초 내지 약 30 분 동안의 1-5 ㎿/㎠ 노광에서) UV 광-교차-결합되거나 (예컨대, 약 4 시간 동안 약 170℃에서) 열 교차-결합될 수 있다. 벤조시클로부텐-작용기를 갖는 불규칙 공중합체가 (예컨대, 약 4 시간 동안 약 200℃에서 또는 약 10 분 동안 약 250℃에서) 열 교차-결합될 수 있다.
기판(10)이 (자연 산화막을 갖는) 실리콘인 또 다른 실시예에서, PS-b-PMMA를 위한 또 다른 중성 웨팅 표면이 수소 종단 실리콘에 의해 제공될 수 있다. 트렌치(18)의 바닥부(26)는 산화물 재료를 제거하고 블록 공중합체 재료의 양쪽 블록에 대해 동일한 친화도를 갖는 상태로 중성 웨팅되는 수소 종단 실리콘을 형성하기 위해 예컨대 수소 플라즈마로 식각될 수 있다. H 종단 실리콘은 종래의 공정, 예컨대 수소 플루오라이드(HF) 및 완충 HF 또는 암모늄 플루오라이드(NH4F)의 수용액에 대한 노출에 의한 (약 12-15Å의 자연 산화물이 존재하는 상태의) 실리콘 기판의 플루오라이드 이온 식각에 의해, HF 증기 처리에 의해, 또는 수소 플라즈마 처리(예컨대, 원자 수소)에 의해 준비될 수 있다. H 종단 실리콘 기판이 기판 상으로 선택적으로 PS-r-PMMA 등의 불규칙 공중합체를 그래프팅함으로써 추가로 처리될 수 있고, 그에 의해 예컨대 약 10-15 ㎚ 두께의 필름을 생성하기 위한 표면에 중합체를 연결하는 디비닐 벤젠 등의 디-올레핀 링커를 사용한 스티렌 및 메틸 메타크릴레이트의 현장(in situ) 자유 라디칼 중합에 의한 중성 웨팅 표면을 가져온다.
또 다른 실시예에서, PS-b-PMMA 및 PS-b-PEO를 위한 중성 웨팅 표면이 예컨대 디.에이치. 박(D.H. Park), 나노 기술 18(2007), p. 355304에 의해 설명된 것과 같이 산화물(예컨대, SiO2)에 그래프링된 3-(파라-메톡시페닐)프로필트리클로실란 등의 트리클로로실란-계열 SAM의 자기-조립된 단분자층(SAM: self-assembled monolayer)을 그래프팅함으로써 제공될 수 있다.
본 실시예에서, 블록 공중합체 재료(28)는 그 다음에 도 6-도 6b에 도시된 것과 같이 자기-조립된 중합체 재료(30)를 형성하기 위해 트렌치 표면의 우선 및 중성 웨팅에 따라 중합체 블록이 상 분리 및 자기-조립되게 하도록 (화살표 ↓ 방향으로) 열 어닐링된다. 열 어닐링은 공중합체 재료의 구성 블록의 유리 전이 온도 위에서 수행될 수 있다. 예컨대, PS-b-PMMA 공중합체 재료는 자기-조립된 형태를 성취하기 위해 약 1-24 시간 동안 진공 오븐 내에서 약 180-230℃의 온도에서 전체적으로 어닐링될 수 있다. 그 결과로서 생긴 어닐링된 공중합체 재료(30)의 형태(예컨대, 원통의 직각 배향)는 예컨대 원자 현미경(AFM: atomic force microscopy), 투과 전자 현미경(TEM: transmission electron microscope), 주사 전자 현미경(SEM: scanning electron microscope)을 사용하여 검사될 수 있다.
블록 공중합체 재료의 전체적인 가열을 수행하기 보다는, 다른 실시예에서, 영역 또는 국부 열 어닐링이 기판(10) 상의 공중합체 재료(28)의 일부 또는 섹션에 가해질 수 있다. 예컨대, 기판은 블록 공중합체 재료가 열원을 통과한 후에 냉각 시에 자기-조립되도록 기판 위 또는 아래에 위치되는 고온-저온 온도 구배(32)(도 6a)를 횡단하여 이동될 수 있다(또는 열원이 예컨대 화살표 → 방향으로 기판에 대해 이동될 수 있다). 구성 중합체 블록의 유리 전이 온도 위에서 가열되는 블록 공중합체 재료의 부분만이 자기-조립될 것이고, 충분히 가열되지 않은 재료의 영역은 정돈되지 않고 조립되지 않은 상태로 남아 있다. 기판을 횡단하여 가열된 영역을 "견인(pulling)"하는 것은 전체적인 열 어닐링에 대한 더 빠른 처리 및 더 양호한 정돈 구조물을 가져올 수 있다.
어닐링 시에, 원통형-상 블록 공중합체 재료(28)는 다른 중합체 블록(예컨대, PS)의 중합체 매트릭스(36) 내의 중합체 블록들 중 하나(예컨대, PMMA)의 직각-배향 원통(34)을 포함하는 중합체 재료(30)(예컨대, 필름)로 자기-조립될 것이다. 트렌치(18)의 폭(wt), 그리고 양쪽 중합체 블록(예컨대, 불규칙 그래프트 공중합체)에 대해 중성 또는 비-우선 웨팅을 나타내는 트렌치 바닥부(26) 그리고 블록 공중합체의 소수 또는 선호 블록(예컨대, PMMA 블록)에 의해 우선 웨팅되는 측벽(22)과 결합되는 블록 공중합체 조성물(예컨대, L 또는 약 L의 고유 피치를 갖는 PS-b-PMMA)의 특성에 의해 제공된 제약은 트렌치의 측벽(22)에 정합되고 트렌치의 측벽(22)에 평행한 단일 열(1-D 어레이)로의 다수 중합체 블록(예컨대, PS)의 매트릭스(36) 내의 소수 중합체 블록(예컨대, PMMA)의 직각-배향 원통형 영역(34)을 가져온다. 원통(34)의 직경은 일반적으로 원통들 사이의 중심간 거리의 약 1/2일 것이다. 어닐링 시에, 층(34a)의 두께가 인접한 원통(34)들 사이의 중심간 거리의 대체로 약 1/4인 얇은 웨팅 층(34a)을 형성하기 위해 소수 블록의 층이 트렌치의 측벽(22) 및 단부(24)에 대해 분리되어 웨팅된다. 예컨대, PMMA 영역의 층은, 부착된 PS 영역이 결과적으로 산화물 재료로부터 멀어진 상태에서 산화물 계면을 웨팅시킬 것이다.
일부 실시예에서, 자기-조립된 블록 공중합체 재료(30)는 0.5*L 또는 약 0.5*L의 직경, 트렌치의 길이에 따른 열 내에서의 (n)개의 원통, 그리고 L 또는 약 L의 각각의 원통 사이의 중심간 거리(피치 거리, p)를 갖는 원통형 영역(원통)(34)의 어레이에 의해 정의된다.
선택적으로, 블록 공중합체 재료가 어닐링되어 정돈된 후에, 공중합체 재료가 고정되어 자기-조립된 중합체 블록의 강도를 향상시키기 위해 중합체 세그먼트(예컨대, PS 세그먼트)를 교차-결합시키도록 처리될 수 있다. 중합체는 [예컨대, 딥 자외선(DUV) 복사선을 포함한 자외선(UV) 복사선에 대한 노출 시에] 고유하게 교차-결합되도록 구성될 수 있거나, 공중합체 재료의 중합체 블록들 중 하나가 교차-결합제를 수용하도록 형성될 수 있다.
일반적으로, 트렌치 외부측의 필름(28a)은 자기-조립을 가져올 정도로 충분히 두껍지 않을 것이다. 선택적으로, 트렌치 외부측의[예컨대, 스페이서(20) 상의] 블록 공중합체 재료의 비구조 박막(28a)은 도 6-도 6b에 도시된 것과 같이 제거될 수 있다. 예컨대, 트렌치 영역은 트렌치(18) 내에서 어닐링되어 자기-조립된 중합체 재료(30)만 교차-결합시키기 위해 (도시되지 않은) 레티클을 통해 선택적으로 노광될 수 있고, 세척이 그 다음에 [예컨대, 스페이서(20) 상의] 블록 공중합체 재료(28a)의 비-교차-결합 부분을 제거하기 위해 적절한 용매(예컨대, 톨루엔)로 가해질 수 있고, 그에 의해 트렌치 내에 정합 상태로 자기-조립된 중합체 재료를 남기고 트렌치 위/외부측의 재료 층(16)의 표면을 노출시킨다. 또 다른 실시예에서, 어닐링된 중합체 재료(30)는 전체적으로 교차-결합될 수 있고, 포토레지스트 재료가 트렌치 영역 외부측의 중합체 재료(28a)의 영역을 패터닝하고 노출시키도록 가해질 수 있고, 중합체 재료(28a)의 노출된 부분은 예컨대 산소(O2) 플라즈마 처리에 의해 제거될 수 있다.
자기-조립된 중합체 재료(30)는 기판(10) 내에 개구를 형성하기 위한 식각 마스크로 적용된다. 예컨대, 도 7-도 7b에 도시된 것과 같이, 하나의 실시예에서, 자기-조립된 중합체 재료(30)의 원통형 중합체 영역(34)이 선택적으로 제거될 수 있고, 그에 의해 개구(40)가 트렌치 바닥부를 노출시킨 상태의 중합체 매트릭스(36)를 가져온다. 예컨대, PMMA 영역이 UV 노출/아세트산 현상에 의해 또는 선택 반응성 이온 식각(RIE: reactive ion etching)에 의해 선택적으로 제거될 수 있다. 남아 있는 다공질 중합체(예컨대, PS) 매트릭스(36)는 그 다음에 예컨대 선택 반응성 이온 식각(RIE) 공정을 사용하여 도 8-도 8b에 도시된 것과 같이 도전성 라인(12), 반도체 영역 또는 하부 기판(10)(또는 하부층) 내의 다른 활성 영역으로의 일련의 개구 또는 접촉 구멍(42)을 (화살표 ↓↓ 방향으로) 식각하기 위한 마스크로서 사용될 수 있다.
추가의 가공이 요구된 것과 같이 수행될 수 있다. 예컨대, 도 9-도 9b에 도시된 것과 같이, 잔류 매트릭스(36)는 제거될 수 있고, 기판 개구(42)에는 도전성 라인(12)으로의 원통형 접촉부의 어레이를 형성하기 위해 다른 것들 중에서 Cu, Al, W, Si 및 Ti3N4 등의 금속 또는 금속 합금 등의 재료(44)가 충전될 수 있다. 기판 내의 원통형 개구(42)에는 SiO2, Al2O3, HfO2, ZrO2, SrTiO3 등의 절연성 재료를 갖는 커패시터 등을 형성하기 위해 금속-절연체-금속 적층체가 충전될 수 있다.
본 발명에 따른 방법의 또 다른 실시예는 도 10-도 15에 도시된 것과 같이 트렌치 내에서의 원통형-상 블록 공중합체 재료의 정돈 및 정렬을 유도하여 중합체 매트릭스 내에 1-D 어레이의 단일 열의 직각-배향 원통을 형성하기 위해 그래포에피택시 기술과 결합된 용매 어닐을 이용한다.
이중 블록 공중합체는 양쪽 중합체 블록이 용매 어닐 중에 공기 계면을 웨팅시키도록 구성된다. 이중 블록 공중합체의 예는 폴리(스티렌)-b-폴리(에틸렌 산화물)(PS-b-PEO); [선택적으로, 희석 농도(예컨대, 약 1%)의 KCl, KI, LiCl, LiI, CsCl 또는 CsI 등의 염과 착물화되는] PS 및 PEO 블록들 사이에 트리페닐메틸 (트리틸) 에테르 링키지 등의 분해성 정션을 갖는 PS-b-PEO 블록 공중합체[장(zhang) 등, 고급 재료(Adv. Mater.), 2007, 19, 1571-1576]; 자기-조립된 원통의 직경보다 작은 크기의 PEO-코팅된 금 나노 입자가 도핑된 PS-b-PMMA 블록 공중합체[박 등, 고분자, 2007, 40(11), 8119-8124]; 폴리(스티렌)-b-폴리(메틸메타크릴레이트)(PS-b-PMMA) 또는 다른 PS-b-폴리(아크릴레이트) 또는 PS-b-폴리(메타크릴레이트), 폴리(스티렌)-b-폴리(락티드)(PS-b-PLA), 폴리(스티렌)-b-폴리(비닐피리딘)(PS-b-PVP), 폴리(스티렌)-b-폴리(3차-부틸 아크릴레이트)(PS-b-PtBA) 및 폴리(스티렌)-b-폴리(에틸렌-코-부틸렌)[PS-b-(PS-co-PB)]을 포함한다. 삼중 블록 공중합체의 예는 폴리(스티렌-b-메틸 메타크릴레이트-b-에틸렌 산화물)(PS-b-PMMA-b-PEO) 등의 ABC 중합체 그리고 PS-b-PI-b-PS 등의 ABA 공중합체를 포함한다.
용매 어닐을 이용한 본 실시예는 트렌치 바닥부 상에서의 중성 웨팅 재료의 형성을 제거하며, 이것은 가공 단계의 개수를 감소시킨다. 추가로, 각각의 트렌치 표면[예컨대, 측벽(22"), 단부(24"), 바닥부(26")]은 PS-b-PEO 블록 공중합체 재료의 소수 블록(예컨대, PEO)에 우선 웨팅되도록 구성된다.
트렌치(18")는 또한 약 1-1.5*L 또는 블록 공중합체 재료의 피치 값의 1 내지 1과 1/2배인 폭(wt)으로 구성된다. 예컨대, 약 50 ㎚의 L 값을 갖는 원통형-상 PS-b-PEO 공중합체에 대해, 트렌치는 약 50 ㎚의 폭(wt)을 갖도록 구성된다. 트렌치의 깊이(Dt)는 L 또는 약 L일 수 있다.
도 10-도 10b를 참조하면, 도전성 라인(12")(또는 다른 활성 영역) 그리고 트렌치(18")가 식각된 상부 재료 층(16")을 갖는 기판(10")이 도시되어 있다. 기판(10") 그리고 트렌치 표면을 정의하는 재료 층(16")은 중합체 블록들 중 하나에 고유하게 우선 웨팅되는 재료일 수 있거나, 다른 실시예에서, 우선 웨팅 재료가 트렌치의 표면 상으로 가해질 수 있다. 예컨대, PS-b-PEO 블록 공중합체의 사용에서, 기판(10") 및 재료 층(16")은 PEO 블록에 대해 우선 웨팅을 나타내는 다른 재료들 중에서 (자연 산화막을 갖는) 실리콘, 산화물(예컨대, 실리콘 산화물, SiOx), 실리콘 질화물, 실리콘 산탄화물, 인듐 주석 산화물(ITO), 실리콘 산질화물 그리고 메타크릴레이트-계열 레지스트 등의 레지스트 재료로 형성될 수 있다. PS-PEO 원통-상 블록 공중합체 재료의 사용에서, 공중합체 재료는 PS 매트릭스 내의 PEO의 원통 그리고 트렌치의 측벽(22") 및 단부(24") 상의 얇은 계면 브러시 또는 웨팅 층을 형성하도록 자기-조립될 것이다.
L 또는 약 L의 고유 피치를 갖는 원통형-상 PS-b-PEO 블록 공중합체 재료(28")(또는 단일 중합체와의 혼합물)가 도 11-도 11b에 도시된 것과 같이 트렌치(18") 내로 퇴적될 수 있다. 용매 어닐의 사용으로, 트렌치 내로 퇴적된 블록 공중합체 재료의 두께(tt)는 대략 재료의 L 값 이상, 예컨대 최대 L 값의 약 1000%일 수 있다.
PS-b-PEO 이중 블록 공중합체의 2개 블록(AB)의 체적 분율은 블록 공중합체가 미세상 분리되어 중합체(A)(즉, PS)의 매트릭스 내의 중합체 B(즉, PEO)의 원통형 영역으로 자기-조립되도록 대체로 약 60:40 내지 80:20의 비율로 되어 있다. PS의 매트릭스 내의 약 25 ㎚ 직경의 원통형 PEO 영역을 형성하기 위한 원통-형성 PS-b-PEO 공중합체 재료(L=50 ㎚)의 예는 약 75 ㎏/몰의 총 분자량(Mn)을 갖는 상태로 약 70% PS 및 30% PEO를 포함한다. 이중 블록 공중합체가 예시적인 실시예에서 사용되지만, 삼중 블록 또는 다중 블록 공중합체가 또한 사용될 수 있다.
PS-b-PEO 블록 공중합체 재료는 중합체 영역의 크기를 팽창시키고 중합체의 L 값을 증가시키는 혼합물을 생성하기 위해 PS-b-PEO 블록 공중합체 그리고 1개 이상의 단일 중합체[즉, 폴리스티렌(PS) 및 폴리에틸렌 산화물(PEO)]를 포함하는 2원 또는 3원 혼합물로서 또한 형성될 수 있다. 단일 중합체의 체적 분율은 0 내지 약 40% 범위 내에 있을 수 있다. 3원 이중 블록 공중합체 혼합물의 예가 PS-b-PEO/PS/PEO 혼합물이다. 중합체의 L 값은 블록 공중합체의 분자량을 조정함으로써 또한 변경될 수 있다.
PS-b-PEO 블록 공중합체 재료(28")는 그 다음에 도 12-도 12b에 도시된 것과 같이 자기-조립된 중합체 재료(30")를 형성하도록 (화살표 ↓ 방향으로) 용매 어닐링된다.
용매 어닐에서, 블록 공중합체 재료는 양쪽 블록을 위한 "양호한" 용매 예컨대 벤젠, 클로로포름 또는 클로로포름/옥탄 혼합물의 증기에 대한 노출에 의해 팽창된다. 블록 공중합체 재료(28")는 재료의 양쪽 중합체 블록(PS, PEO)을 서서히 팽창시키도록 용매 증기에 노출된다. 용매 및 용매 증기는 그 다음에 팽창된 중합체 재료의 외부로 서서히 확산되어 증발되게 된다. 용매-포화 증기는 공중합체 재료(28")와 중성 공기 계면(46")을 유지시키고, 이것은 공중합체 재료 전체에 걸친 직각 특징부의 형성을 유도한다. 용매의 증발은 트렌치 측벽(22")에 의해 안내되고 공기 계면(46")으로부터 기판 표면[트렌치 바닥부(26")]로 완전히 연장되는 직각-배향 원통형 영역(34")의 형성과 더불어 공기-표면 계면(46")에서 시작하여 트렌치(18")의 바닥부(26")로 하향으로 추진되는 구조물의 자기-조립 및 형성을 유발시키는 구배를 형성한다. 일부 실시예에서, 용매 어닐이 용매(예컨대, 벤젠)가 증발됨에 따라 냉각되는 필름 상에서의 수분 응축을 갖는 높은 습도(예컨대, 약 70-85%)에서 수행될 수 있다.
트렌치(18")의 폭(wt) 그리고 용매 어닐과 결합되는 블록 공중합체 조성물(28"), 우선 웨팅 측벽(22") 및 단부(24")의 특성에 의해 제공된 제약은 소수 블록이 인접한 원통(34")의 중심간 거리의 대체로 약 1/4의 두께를 갖는 웨팅 층(34a")을 형성하도록 트렌치의 측벽(22")에 대해 분리된 상태에서의 다수 중합체 블록(예컨대, PS)의 매트릭스(36") 내의 1-차원(1-D) 어레이의 단일 열의 소수 중합체 블록(예컨대, PEO)의 직각-배향 원통형 영역(34")을 가져온다. 일부 실시예에서, 원통은 0.5*L 또는 약 0.5*L(예컨대, 원통들 사이의 중심간 거리의 약 1/2)의 직경을 갖고, 열 내에서의 원통의 개수(n)는 트렌치의 길이(lt)를 따르고, 원통 영역들 사이의 중심간 거리(피치 거리, p)는 L 또는 약 L이다.
선택적으로, 어닐링 및 정돈된 중합체 재료(30")는 중합체 세그먼트[예컨대, PS 매트릭스(36")]를 교차-결합시키도록 처리될 수 있다. 트렌치 외부의 블록 공중합체 재료의 비구조 필름(28a")은 도 12-도 12b에 도시된 것과 같이 선택적으로 제거될 수 있다.
도 13-도 13b에 도시된 것과 같이, (선택적으로 교차-결합된) 자기-조립된 중합체 재료(30")는 그 다음에 예컨대 중합체 영역들 중 하나(예컨대, PS 또는 PEO)의 선택적인 제거에 의해 기판 또는 하부 재료 층 내에 개구를 식각하기 위한 식각 마스크를 형성하도록 가공될 수 있다. 예컨대, 수용성 PEO 원통형 영역(34")은 예컨대 ㎚ 크기 범위(즉, 약 10-100 ㎚) 내의 반도체 가공에 대해 하부 기판(10")(도 14-도 14b) 내에 개구(42")를 식각하기 위한 리소그래피 템플릿 또는 마스크로서 사용될 수 있는 PS 재료 층(36") 내에 개구(40")를 생성하도록 선택적으로 제거될 수 있다. PEO 상 영역(34")의 제거는 예컨대 수성 요오드화 수소산에 대한 (선택적으로 교차-결합되는) 자기-조립된 블록 공중합체 재료(30")의 노출 또는 단독의 수분에 대한 노출에 의해 수행될 수 있으며, 이것은 PEO가 PS 영역에 대한 결합을 분해하지 않는 상태로 PEO를 표면으로 견인할 것이다. PS-b-PEO 블록 공중합체가 중합체 블록들 사이에 위치되는 산-분해성 링커(예컨대, 트리틸 알코올 링커)를 포함하는 실시예에서, 수성 산(예컨대, 트리플루오로아세트산)에 대한 또는 산 증기에 대한 교차-결합된 중합체 재료(30")의 노출이 PEO 및 PS 단편으로 중합체를 분해하도록 수행될 수 있다[에스. 유르트(S. Yurt) 등, "그 구성 블록으로의 이중 블록 공중합체의 분리", 고분자 2006, 39, 1670-1672)]. 그 다음에 물에 의한 세정이 분해된 PEO 영역(34")을 제거하도록 수행될 수 있다. 다른 실시예에서, 표면으로 PEO 영역을 견인하기 위한 물에 대한 노출 그리고 그에 후속되는 단시간의 산소(O2) 플라즈마 식각이 또한 PEO 영역을 제거하도록 수행될 수 있다.
도 15-도 15b에 도시된 것과 같이, 잔류 중합체 매트릭스(36")는 그 다음에 제거될 수 있고, 기판 내에 형성된 개구(42")에는 요구된 재료(44")가 충전될 수 있다.
본 발명에 따른 방법의 또 다른 실시예는 중합체 매트릭스 내에 1-D 어레이의 단일 열의 직각-배향 원통의 단일 열을 형성하기 위해 폴리락타이드(또는 폴리락틱산)를 포함하는 원통형-상의 블록 공중합체 재료 그리고 그래포에피택시와 결합된 열 어닐을 이용한다. 폴리락타이드 블록 공중합체 재료의 예는 폴리(스티렌)-b-폴리(락티드)[또는 폴리(락틱산)](PS-b-PLA)를 포함한다.
설명된 실시예는 트렌치 바닥부 상에서의 중성 웨팅 재료의 형성을 제거하고, 그에 의해 가공 단계의 개수를 감소시킨다. 이 실시예는 용매 어닐보다 빠른 가공을 제공할 수 있는 열 어닐 공정을 또한 이용한다. 추가로, 폴리락틱산(PLA) 즉 생분해성 열가소성 지방족 폴리에스테르의 사용은 중합체 매트릭스(예컨대, PS 등)를 통해 원통형-형상의 보이드를 형성하기 위한 PLA 영역의 비교적 용이한 현상 및 제거를 가능케 한다. 트렌치 표면(예컨대, 측벽, 단부, 바닥부)은 소수 블록 예컨대 PS-b-PLA 공중합체 재료의 PLA 블록에 우선 웨팅되는 동일 또는 매우 유사한 재료를 사용하여 구성된다.
본 실시예는 도 10-도 15를 참조하여 또한 설명될 수 있다. 도 10-도 10b를 참조하면, 기판(10") 및 재료 층(16")은 PLA 블록에 고유하게 우선 웨팅되는 재료로부터 형성될 수 있거나, 다른 실시예에서, 우선 웨팅 재료가 트렌치(18")의 표면 상으로 가해질 수 있으며, 동일 또는 매우 유사한 재료가 트렌치의 측벽(22"), 단부(24") 및 바닥부(26")를 정의하는 데 사용될 수 있다. 예컨대, PS-b-PLA 블록 공중합체의 PLA 블록에 우선 웨팅되는 재료는 다른 재료들 중에서 산화물(예컨대, 실리콘 산화물, SiOx), (자연 산화막을 갖는) 실리콘, 실리콘 질산화물, 실리콘 산탄화물, 인듐 주석 산화물(ITO), 실리콘 산질화물 그리고 메타크릴레이트-계열 레지스트 등의 레지스트 재료를 포함한다.
본 실시예에서, 트렌치(18")는, 대략 공중합체 재료의 고유 L 값의 두께로 트렌치 내로 성형되는 원통형-상 블록 공중합체(또는 혼합물)가 트렌치의 길이(lt), 0.5*L 또는 약 0.5*L의 직경을 갖는 원통 그리고 L 또는 약 L의 인접한 원통들의 중심간 거리(p)에 따라 n개 원통의 단일 층 내로 어닐링 시에 자기-조립되도록 약 1.5*(PS-b-PLA 공중합체 재료의 L 값)인 폭(wt), nLo 또는 약 nLo의 길이(lt)(여기에서 n=원통의 개수) 그리고 L 초과(Dt>L)의 깊이(Dt)로 구성된다.
L 또는 약 L의 고유 피치를 갖는 원통형-상 PS-b-PLA 블록 공중합체 재료(28")(또는 삼중 블록 또는 다중 블록 공중합체 또는 단일 중합체와의 혼합물)가 도 11-도 11b에 도시된 것과 같이 트렌치(18") 내로 퇴적될 수 있다. 예컨대, PS-b-PLA 공중합체 재료(L=49 ㎚)는 PS의 매트릭스 내에 약 27 ㎚ 직경의 원통형 PLA 영역을 형성하기 위해 약 60.5 ㎏/몰의 총 분자량(Mn)을 갖는 상태로 약 71% PS 및 29% PLA를 포함할 수 있다.
트렌치(18") 내로 공중합체 재료(28")를 성형할 때에, 양쪽의 중합체 블록(예컨대, PLA 및 PS)은 동일하게 양호하게 공기 계면(46")을 웨팅시키는 경향이 있고, 소수(예컨대, PLA) 블록은 도 12-도 12b에 도시된 것과 같이 각각의 트렌치 표면 상에 얇은 웨팅 층(34a")을 형성하기 위해 트렌치의 표면(22", 24", 26")을 우선적으로 웨팅시킬 것이다. 이제 도 16-도 16b를 참조하면, 본 실시예에서, 웨팅 층(34a"')은 PLA(48a"') 및 PS(48b"')의 이중층이다. (파선 ---로 도시된) 웨팅 층의 PS(48b"') 부분은 도시된 것과 같이 전체의 PS 매트릭스(36"')와 연속된다.
트렌치(18"')의 폭(wt), 우선 웨팅 트렌치 표면(22"', 24"', 26"') 그리고 블록 공중합체의 조성에 의해 제공된 제약과 결합된 블록 공중합체 재료(28"')의 열 어닐링은 PLA(48a"')/PS(48b"') 이중층이 트렌치 표면(22"', 24"', 26"')을 따른 상태에서 소수 중합체 블록(예컨대, PLA 블록)이 다수 중합체 블록(예컨대, PS)의 매트릭스(36"') 내에 단일 열로 직각-배향 원통형 영역(34"')을 형성하도록 자기-조립되게 한다. 일부 실시예에서, 블록 공중합체 재료(28"')는 이전에 설명된 것과 같이 "영역 어닐링"될 수 있다. 도 16a-도 16b에 도시된 것과 같이, PLA 원통형 영역(34"')은 공기 계면(46"')으로부터, 트렌치 바닥부(26"')에서 기판(10"')의 표면 위에 있는 PLA/PS 이중층(48a"'/48b"')을 포함하는 웨팅 층(34a"')까지 연장된다. PLA 층(48a"')에 공유 결합되는 PS 층(48b"')은 그 후 PLA 원통 영역(34"')에 공유 결합되는 PS 블록[매트릭스(26"')]과 접촉 상태에 있다.
어닐링된 중합체 재료(30"')의 중합체 세그먼트[예컨대, PS 매트릭스(36"')]는 선택적으로 교차-결합될 수 있고, 트렌치 외부측의 표면 상의 임의의 비구조 중합체 재료(28a"')는 그 다음에 도 16-도 16b에 도시된 것과 같이 선택적으로 제거될 수 있다.
중합체 재료(30"')는 그 다음에 예컨대 기판(10"') 내에 개구(42')를 식각하기 위한 마스크를 형성하도록 요구된 것과 같이 추가로 가공될 수 있다. 예컨대, 도 17-도 17b에 도시된 것과 같이, PLA 원통(34"')은 PS 매트릭스를 통해 연장되는 원통형-형상의 개구(40"')를 형성하기 위해 예컨대 UV 노출 및 아세트산 세척 또는 나트륨 수산화물을 함유하는 수성 메탄올 혼합물을 사용하여 선택적으로 제거될 수 있다. 트렌치 바닥부 위에 놓인 PLA/PS 이중층(48a"', 48b"')으로 인해, 개구(40"')는 트렌치 바닥부(26"')에서 기판(10"')의 표면으로 줄곧 연장되지 않는다. 도 18-도 18b에 도시된 것과 같이, 예컨대 (화살표 ↓ 방향으로의) RIE 식각 공정이 이중층을 제거하고 개구(40"') 내에서 트렌치 바닥부(26"') 및 기판(10"')을 노출시키도록 수행될 수 있다. RIE 식각은 상당한 정도까지는 아니지만 도시된 것과 같이 매트릭스(마스크)(36"')를 얇아지게 할 수 있다.
이제 도 14-도 14b를 참조하면, 매트릭스(30")는 그 다음에 도전성 라인(12") 등의 활성 영역에 또는 반도체 영역 등에 하방으로 기판 내에 원통형-형상의 개구(42")를 형성하기 위한 마스크로서 사용될 수 있다. 식각 마스크(36")의 잔여부는 후속적으로 제거될 수 있고, 개구(42")는 도 15-도 15b와 관련하여 설명된 것과 같이 요구된 것과 같이 충전될 수 있다.
또 다른 실시예에서, 트렌치는 어닐링 시에 약 L의 블록 공중합체 재료 또는 혼합물이 각각의 원통이 지그-재그 패턴을 형성하도록 오프셋되고 인접한 원통들 사이의 중심간 피치 거리가 L의 1/2 또는 약 1/2(∼0.5*L)인 상태에서 2개 열의 직각 원통으로 자기-조립되도록 블록 공중합체의 약 1.75-2.5*L의 폭(wt)으로 구성된다. 예컨대, 도 19-도 19b를 참조하면, 약 40 ㎚의 L(피치) 값을 갖는 원통-형성 블록 공중합체 재료 또는 혼합물의 사용에서, 트렌치(18)가 약 70-100 ㎚ 또는 1+((3의 제곱근)/2)*L에 따른 폭(wt)으로 구성될 수 있다. 트렌치의 길이(lt)는 [1+0.5(n-1)]*L 또는 약 [1+0.5(n-1)]*L이며, 여기에서 n은 트렌치 내의 원통의 개수이다. 트렌치(18"")의 깊이(Dt)는 블록 공중합체의 열 어닐을 채용하는 실시예(예컨대, 도 2-도 8)에 대해 L 초과(Dt>L) 또는 용매 어닐 공정을 이용하는 실시예(예컨대, 도 11-도 14)에 대해 L 또는 약 L(Dt∼L)일 수 있다.
선택적으로, 단부(24"")는 도 20에서 점선 50에 의해 도시된 것과 같이 경사형 또는 베벨형(beveled)일 수 있다. 트렌치(18"")의 치수는 예컨대 약 70-100 ㎚의 폭(wt), 약 100-25,000 ㎚의 길이(lt) 그리고 약 40-200 ㎚의 깊이(Dt)일 수 있다.
위에서-설명된 원통형-상 블록 공중합체(예컨대, PS-b-PMMA, PS-b-PEO, PS-b-PLA 등)들 중 임의의 블록 공중합체가 트렌치(18"") 내에 퇴적될 수 있고, 이전에 설명된 것과 같이 열 또는 용매 어닐링될 수 있다.
트렌치(18"")는 블록 공중합체가 도 20-도 20b에 도시된 것과 같이 어닐링 시에 직각-배향 원통(34"")으로 자기-조립되게 하기 위해 측벽(22""), 단부(24"") 및 트렌치 바닥부(26"") 상의 적절한 중성 또는 우선 웨팅 표면으로 제조된다. 그 결과로서 생긴 원통(34"")은 열 내에서의 인접한 원통(34"")의 중심간 거리(p)가 0.5*L 또는 약 0.5*L인 측벽(22"")에 평행한 엇갈린 2개-열 배열로 형성된다. 도 20b는 하부 라인(12"")과 관련된 원통의 양쪽 열의 개략 측단면도이다. 자기-조립된 중합체 필름은 그 다음에 하부 기판(10"")으로의 원통형 개구(40"")를 갖는 중합체 매트릭스(36"")(예컨대, PS)를 남기는 원통 영역(34"")(예컨대, PMMA)을 제거함으로써 마스크를 형성하도록 가공될 수 있으며(도 21-도 21b), 이러한 하부 기판(10"")은 그 다음에 "매립된" 활성 영역[예컨대, 라인(12"")]으로의 (이점쇄선으로 도시된) 개구(42"")를 형성하도록 식각될 수 있고, 개구(42"")에는 예컨대 하부 라인(12"")으로의 접촉부를 형성하기 위해 요구된 재료(44"") 예컨대 금속이 충전될 수 있다(도 22-22b). 일부 실시예에서, 도전성 라인(12"")의 특징부 크기는 원통(34"") 및 후속적으로 형성된 원통형 개구(42"")의 직경에서의 변동이 인접한 원통의 중첩 직경부로부터 일어날 수 있는 전기 단락을 피하도록 (예컨대, 약 50%만큼) 원통(34"")의 직경보다 작다.
오프셋 배열로의 2개 열의 원통의 본 실시예와 관련하여, 접촉 개구(42"")가 주어진 블록 공중합체 피치(L)에 대해 단일 열의 원통을 이용하는 실시예(예컨대, 도 6)보다 밀집된 매립 라인(12"")의 어레이로 기판 내로 식각될 수 있다. 접촉부(44"")가 오프셋된 상태에서, 각각의 접촉부(44"")는 개별적으로 라인에 주소지정하도록 단일 도전성 라인(12"")에 연결될 수 있다.
본 발명의 방법은 중합체 매트릭스 내의 직각-배향 원통을 포함하는 자기-조립된 이중 블록 공중합체 필름을 발생시키는 수단을 제공한다. 이 방법은 전자 빔 리소그래피, EUV 포토리소그래피 또는 종래의 포토리소그래피보다 값싸게 준비될 수 있는 나노미터 스케일의 정돈 및 정합된 소자를 제공한다. 본 발명에 의해 생성되고 접근 가능한 특징부 크기는 종래의 포토리소그래피에 의해 용이하게 준비될 수 없다. 설명된 방법 및 시스템은 기존의 반도체 제조 공정 흐름 내로 용이하게 채용 및 병합될 수 있고, 소형 구조물을 제조하는 저비용 고처리량 기술을 제공할 수 있다.
특정 실시예들이 여기에서 도시 및 설명되었지만, 동일한 목적을 성취하도록 추정되는 임의의 배열이 도시된 특정 실시예에 대해 대체될 수 있다는 것이 당업자에 의해 이해될 것이다. 본 출원은 설명된 것과 같이 본 발명의 원리에 따라 조작되는 임의의 변형예 또는 변화예를 포함하고자 의도된다. 그러므로, 본 발명은 특허청구범위 및 그 등가물에 의해서만 제한되도록 의도된다. 본 출원에서 인용된 특허, 참조 문헌 및 공개물의 개시 내용은 여기에 참조로 병합되어 있다.

Claims (40)

  1. 기판 상에 나노-구조의 중합체 재료를 형성하는 방법으로서,
    상기 기판 상의 재료 층 내의 트렌치 내에 자기-조립 블록 공중합체 재료를 형성하는 단계 - 상기 트렌치는 블록 공중합체 재료의 소수 블록에 대해 우선 웨팅되는 대향 측벽들 및 단부들과 중성 웨팅 바닥부(neutral wetting floor)를 가짐 -; 및
    상기 블록 공중합체 재료를 상기 블록 공중합체 재료의 제2 블록의 매트릭스 내에서 상기 블록 공중합체 재료의 제1 블록의 원통형 영역들로 자기-조립하도록, 상기 블록 공중합체 재료를 어닐링하는 단계 - 상기 자기-조립된 블록 공중합체 재료는 두께부를 갖고, 원통형 중합체 영역들은 트렌치 바닥부에 직각으로 배향되고 상기 트렌치의 길이부에 대해 단일 어레이로 상기 자기-조립된 블록 공중합체 재료의 상기 두께부를 통해 연장됨 -
    를 포함하는 방법.
  2. 제1항에 있어서, 상기 블록 공중합체 재료는 폴리스티렌 및 폴리메틸 메타크릴레이트를 포함하는 방법.
  3. 제1항에 있어서, 상기 블록 공중합체 재료는 상기 제1 블록, 상기 제2 블록 또는 양쪽 모두의 단일 중합체와 블록 공중합체의 혼합물을 포함하는 방법.
  4. 제1항에 있어서, 상기 트렌치는 L과 1.5 X L 사이의 폭, nL의 길이 그리고 L 초과의 깊이를 갖는 방법.
  5. 제1항에 있어서, 상기 중성 웨팅 바닥부는 불규칙 공중합체 재료를 포함하는 방법.
  6. 제1항에 있어서, 상기 중성 웨팅 바닥부는 수소 종단 실리콘을 포함하는 방법.
  7. 제1항에 있어서, 상기 중성 웨팅 바닥부는 산화물에 그래프팅된 3-(p-메톡시페닐)프로필트리클로로실란을 포함하는 방법.
  8. 제1항에 있어서, 상기 트렌치 내에 상기 자기-조립 블록 공중합체 재료를 형성하기 전에,
    상기 기판 상에 상기 재료 층을 형성하는 단계;
    상기 재료 층 내에 상기 트렌치를 형성하는 단계; 및
    상기 트렌치의 바닥부 상에 중성 웨팅 재료를 형성하는 단계를 더 포함하는 방법.
  9. 제1항에 있어서, 상기 트렌치 내에 상기 자기-조립 블록 공중합체 재료를 형성하기 전에,
    상기 기판의 표면 상에 중성 웨팅 재료를 형성하는 단계;
    상기 중성 웨팅 재료 위에 상기 재료 층을 형성하는 단계; 및
    상기 트렌치 바닥부에서 상기 중성 웨팅 재료를 노출시키기 위해 상기 재료 층 내에 상기 트렌치를 형성하는 단계를 더 포함하는 방법.
  10. 제1항에 있어서, 상기 트렌치의 측벽들 및 단부들은 산화물, 실리콘 질화물, 실리콘 산탄화물, 실리콘 산질화물, 인듐 주석 산화물(ITO), 메타크릴레이트 레지스트 및 폴리디메틸 글루타리미드 레지스트로 구성되는 그룹으로부터 선택되는 재료를 포함하는 방법.
  11. 제1항에 있어서, 상기 블록 공중합체 재료를 어닐링하는 단계는 열 어닐링을 포함하는 방법.
  12. 제1항에 있어서, 상기 블록 공중합체 재료를 어닐링하는 단계는 용매 어닐링을 포함하는 방법.
  13. 제1항에 있어서, 어닐링 후에 상기 제2 블록의 영역들을 선택적으로 교차-결합시키는 단계를 더 포함하는 방법.
  14. 제1항에 있어서, 상기 제2 블록의 매트릭스를 통해 연장되는 원통형 개구들을 형성하기 위해 상기 제1 블록을 선택적으로 제거하는 단계를 더 포함하는 방법.
  15. 제13항에 있어서, 개구들을 통해 상기 기판의 마스킹되지 않은 부분들을 식각하는 단계를 더 포함하는 방법.
  16. 기판 상에 중합체 층을 형성하는 방법으로서,
    상기 기판 상의 재료 층 내의 트렌치 내에 원통형-상 블록 공중합체 재료를, 상기 트렌치 내의 블록 공중합체 재료의 L 값 이상의 두께로 퇴적하는 단계 - 상기 트렌치는 상기 블록 공중합체 재료의 제1 블록에 대해 우선 웨팅되는 측벽들, 단부들 및 바닥부를 가짐 -; 및
    상기 블록 공중합체 재료를 상기 블록 공중합체 재료의 제2 블록의 매트릭스 내에서 상기 블록 공중합체 재료의 상기 제1 블록의 원통형 영역들로 자기-조립하도록 상기 블록 공중합체 재료를 용매 어닐링하는 단계 - 상기 자기-조립된 블록 공중합체 재료는 두께부를 갖고, 상기 원통형 영역들은 트렌치 바닥부에 직각으로 배향되고 상기 트렌치의 길이부에 대해 단일 어레이로 상기 자기-조립된 블록 공중합체 재료의 상기 두께부를 통해 연장됨 -
    를 포함하는 방법.
  17. 제16항에 있어서, 상기 블록 공중합체 재료를 용매 어닐링하는 단계는 포화된 용매 증기의 분압 상태로 열 어닐링하는 단계를 포함하는 방법.
  18. 제16항에 있어서, 상기 블록 공중합체 재료는 폴리스티렌 및 폴리에틸렌 산화물을 포함하는 방법.
  19. 제18항에 있어서, 상기 블록 공중합체 재료는 분해성 PS-b-PEO를 포함하는 방법.
  20. 제16항에 있어서, 상기 트렌치는 상기 블록 공중합체 재료의 L 값의 1 내지 1.5 배의 폭을 갖는 방법.
  21. 기판 상에 중합체 층을 형성하는 방법으로서,
    상기 기판 상의 재료 층 내의 트렌치 내에 원통형-상 블록 공중합체 재료를 상기 트렌치 내의 상기 블록 공중합체 재료의 L 값의 두께로 퇴적하는 단계 - 상기 트렌치는 상기 블록 공중합체 재료의 제1 블록에 대해 우선 웨팅되는 측벽들, 단부들 및 바닥부를 가짐 -; 및
    상기 블록 공중합체 재료를 상기 블록 공중합체 재료의 제2 블록의 매트릭스 내에서 상기 블록 공중합체 재료의 제1 블록의 원통형 영역들로 자기-조립하도록 상기 블록 공중합체 재료를 열 어닐링하는 단계 - 상기 자기-조립된 블록 공중합체 재료는 두께부를 갖고, 상기 원통형 영역들은 트렌치 바닥부에 직각으로 배향되고 상기 자기-조립된 블록 공중합체 재료의 상기 두께부를 통해 연장됨 -
    를 포함하는 방법.
  22. 제21항에 있어서, 상기 블록 공중합체 재료는 폴리스티렌 및 폴리락타이드를 포함하는 방법.
  23. 제21항에 있어서, 상기 트렌치는 상기 블록 공중합체 재료의 L 값, 내지 L의 1 내지 1.5배의 폭을 갖는 방법.
  24. 제21항에 있어서, 상기 트렌치의 측벽들, 단부들 및 바닥부는 실리콘 산화물을 포함하는 방법.
  25. 제22항에 있어서, 상기 블록 공중합체 재료는 상기 트렌치의 측벽들, 단부들 및 바닥부 상에 웨팅 층을 형성하며, 상기 웨팅 층은 폴리락타이드 및 폴리스티렌의 이중층을 포함하고,
    상기 방법은, 어닐링 후에,
    상기 제2 블록의 매트릭스를 통해 연장되는 원통형 개구들을 형성하기 위해 상기 제1 블록을 선택적으로 제거하는 단계; 및
    상기 기판을 노출시키기 위해 상기 개구들 내에서 상기 트렌치의 바닥부 상의 이중층을 제거하도록 식각하는 단계를 더 포함하는 방법.
  26. 제25항에 있어서, 상기 개구들을 통해 상기 기판의 노출된 부분들을 식각하는 단계를 더 포함하는 방법.
  27. 기판을 식각하는 방법으로서,
    상기 기판 위의 재료 층 내의 트렌치 내에 위치되는 블록 공중합체 재료를 어닐링하는 단계 - 상기 트렌치는 상기 블록 공중합체 재료의 소수 블록에 대해 우선 웨팅되는 대향 측벽들 및 단부들, 바닥부, 폭부 및 길이부를 가지며, 상기 블록 공중합체 재료는 다수 블록의 매트릭스 내에 상기 소수 블록의 수직-배향 원통들의 단일 어레이를 형성하고, 상기 어닐링된 블록 공중합체 재료는 두께부를 갖고, 상기 수직-배향 원통들은 어닐링된 블록 공중합체의 상기 두께부를 통해 연장됨 -;
    상기 기판을 노출시키는 개구들을 형성하기 위해 상기 블록들 중 하나를 선택적으로 제거하는 단계; 및
    상기 기판 내에 개구들을 형성하기 위해 상기 기판의 노출된 부분들을 식각하는 단계
    를 포함하는 방법.
  28. 제27항에 있어서, 상기 블록들 중 하나를 제거하기 전에, 상기 블록들 중 다른 하나를 선택적으로 교차-결합시키는 단계를 더 포함하는 방법.
  29. 제27항에 있어서, 트렌치 바닥부는 중성 웨팅되는 방법.
  30. 제27항에 있어서, 트렌치 바닥부는 우선 웨팅되는 방법.
  31. 제30항에 있어서, 상기 블록 공중합체 재료는 폴리스티렌 및 폴리에틸렌 산화물을 포함하는 블록 공중합체 재료, 그리고 폴리스티렌 및 폴리락타이드를 포함하는 블록 공중합체 재료로 구성되는 그룹으로부터 선택되는 방법.
  32. 제27항에 있어서, 상기 식각하는 단계는 상기 기판 내에 정합되고(registered) 주소지정 가능한(addressable) 서브리소그래피(sub-lithographic)의 개구들의 패턴을 형성하는 방법.
  33. 제27항에 있어서, 충전 재료로 상기 기판 내의 개구들을 충전하는 단계를 더 포함하는 방법.
  34. 제33항에 있어서, 상기 충전 재료는 금속, 금속 합금, 및 금속/절연체/금속 적층체를 포함하는 방법.
  35. 기판을 식각하는 방법으로서,
    상기 기판 위의 재료 층 내의 트렌치 내에 블록 공중합체 재료를 형성하는 단계 - 상기 트렌치는 상기 블록 공중합체 재료의 소수 중합체 블록에 대해 우선 웨팅되는 대향 측벽들 및 단부들, 바닥부, 폭부 및 길이부를 가짐 -;
    상기 블록 공중합체 재료 내의 미세상(microphase) 분리가 상기 트렌치 내의 제2 중합체 블록의 매트릭스 내에 제1 중합체 블록으로 이루어진 원통형 영역들을 형성하게 하는 단계 - 상기 원통형 영역들은 트렌치 바닥부에 직각으로 배향되고 상기 트렌치의 길이부로 연장되는 단일 어레이로 측벽들에 정합됨 -;
    선택적으로, 상기 제2 중합체 블록의 매트릭스를 선택적으로 교차-결합시키는 단계;
    상기 매트릭스를 통해 개구들을 형성하기 위해 상기 원통형 영역들을 선택적으로 제거하는 단계; 및
    상기 매트릭스 내의 개구들을 통해 상기 기판을 식각하는 단계
    를 포함하는 방법.
  36. 기판 상에 나노-구조의 중합체 재료를 형성하는 방법으로서,
    상기 기판 상의 재료 층 내의 트렌치 내에 자기-조립 블록 공중합체 재료를 형성하는 단계 - 상기 트렌치는 상기 블록 공중합체 재료의 소수 블록에 대해 우선 웨팅되는 대향 측벽들 및 단부들과 중성 웨팅 바닥부를 가짐 -; 및
    상기 블록 공중합체 재료를 상기 블록 공중합체 재료의 제2 블록의 매트릭스 내에서 상기 블록 공중합체 재료의 소수 블록의 원통형 영역들로 자기-조립하도록 상기 블록 공중합체 재료를 어닐링하는 단계 - 상기 자기-조립된 블록 공중합체 재료는 두께부를 갖고, 상기 원통형 영역들은 트렌치 바닥부에 직각으로 배향되고, 상기 자기-조립된 블록 공중합체 재료의 두께부를 통해 연장됨 -
    를 포함하며,
    상기 원통형 영역들은, 상기 트렌치의 길이부에 대해 2개 열로 되어 있고, 인접한 원통형 영역이 트렌치 측벽들에 평행한 방향으로 상기 블록 공중합체 재료의 L 값의 1/2의 중심간 거리에서 지그-재그 배열로 서로로부터 오프셋되는 방법.
  37. 기판 위의 재료 층 내의 트렌치 내에 위치되는 중합체 재료로서, 상기 트렌치는 측벽들, 단부들, 바닥부, 폭부 및 길이부를 갖고, 상기 중합체 재료는 다수 중합체 블록의 매트릭스 내의 소수 중합체 블록의 원통형 중합체 영역들을 포함하는 자기-조립된 블록 공중합체 재료를 포함하고, 상기 원통형 중합체 영역들은 트렌치 바닥부에 직각으로 그리고 상기 트렌치의 길이부로 연장되는 단일 어레이로 배향되는 중합체 재료.
  38. 제37항에 있어서, 상기 트렌치는 상기 소수 중합체 블록에 대해 우선 웨팅되는 재료를 포함하는 측벽들 및 단부들과 중성 웨팅 바닥부를 갖는 중합체 재료.
  39. 제37항에 있어서, 상기 트렌치는 상기 소수 중합체 블록에 대해 우선 웨팅되는 재료를 포함하는 측벽들 및 단부들과 바닥부를 갖는 중합체 재료.
  40. 기판 위의 재료 층 내의 트렌치 내에 위치되는 중합체 재료로서, 상기 트렌치는 측벽들, 단부들, 바닥부, 폭부 및 길이부를 갖고, 상기 중합체 재료는 다수 중합체 블록의 매트릭스 내의 소수 중합체 블록의 원통형 중합체 영역들을 포함하는 자기-조립된 블록 공중합체 재료를 포함하고,
    상기 원통형 중합체 영역들은, 트렌치 바닥부에 직각으로, 그리고 상기 트렌치의 길이부에 대해 2개 열로 배향되고, 인접한 원통형 중합체 영역들이 트렌치 측벽들에 평행한 방향으로 상기 블록 공중합체 재료의 L 값의 1/2의 중심간 거리에서 지그-재그 배열로 서로로부터 오프셋되는 중합체 재료.
KR1020107020026A 2008-02-13 2009-01-27 1-차원 어레이의 블록 공중합체 원통 그리고 그 적용 KR101262460B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/030,562 US8101261B2 (en) 2008-02-13 2008-02-13 One-dimensional arrays of block copolymer cylinders and applications thereof
US12/030,562 2008-02-13

Publications (2)

Publication Number Publication Date
KR20100112196A KR20100112196A (ko) 2010-10-18
KR101262460B1 true KR101262460B1 (ko) 2013-05-08

Family

ID=40938191

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107020026A KR101262460B1 (ko) 2008-02-13 2009-01-27 1-차원 어레이의 블록 공중합체 원통 그리고 그 적용

Country Status (6)

Country Link
US (2) US8101261B2 (ko)
EP (1) EP2262721A2 (ko)
KR (1) KR101262460B1 (ko)
CN (1) CN101952195B (ko)
TW (1) TWI462871B (ko)
WO (1) WO2009102551A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627202B2 (en) 2014-08-11 2017-04-18 Samsung Electronics Co., Ltd. Methods for forming fine patterns of semiconductor device

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) * 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8114300B2 (en) 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
JP2010115832A (ja) * 2008-11-12 2010-05-27 Panasonic Corp ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法
IT1392754B1 (it) * 2008-12-18 2012-03-16 St Microelectronics Srl Nanoarray ad incrocio con strato organico attivo anisotropico
US8361704B2 (en) 2009-01-12 2013-01-29 International Business Machines Corporation Method for reducing tip-to-tip spacing between lines
US8398868B2 (en) * 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8114306B2 (en) * 2009-05-22 2012-02-14 International Business Machines Corporation Method of forming sub-lithographic features using directed self-assembly of polymers
TWI404753B (zh) * 2009-07-03 2013-08-11 Nat Univ Tsing Hua 利用團聯共聚物模板製造奈米材料之方法
WO2011094597A2 (en) * 2010-02-01 2011-08-04 The Regents Of The University Of California Graphene nanomesh and method of making the same
US8486611B2 (en) 2010-07-14 2013-07-16 Micron Technology, Inc. Semiconductor constructions and methods of forming patterns
JP5300799B2 (ja) * 2010-07-28 2013-09-25 株式会社東芝 パターン形成方法及びポリマーアロイ下地材料
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
US8232211B1 (en) * 2011-01-20 2012-07-31 International Business Machines Corporation Methods for self-aligned self-assembled patterning enhancement
KR20120126725A (ko) * 2011-05-12 2012-11-21 에스케이하이닉스 주식회사 반도체 소자의 형성 방법
WO2013028308A1 (en) 2011-08-22 2013-02-28 Dow Global Technologies Llc Composite membrane formed from polymer blend including self-assembling block copolymers
CN102320557A (zh) * 2011-09-08 2012-01-18 中国科学院研究生院 在基底上制备六角网络点阵分布的金属纳米颗粒的方法
CN103094095B (zh) * 2011-10-28 2015-10-21 中芯国际集成电路制造(北京)有限公司 制造半导体器件的方法
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
CN103187245B (zh) * 2011-12-30 2015-06-17 中芯国际集成电路制造(上海)有限公司 一种通过定向自组装嵌段共聚物的光刻方法
US9120117B2 (en) 2012-02-10 2015-09-01 Board Of Regents, The University Of Texas System Polylactide/silicon-containing block copolymers for nanolithography
US9440196B2 (en) 2012-02-21 2016-09-13 Dow Global Technologies Llc Composite membrane
JP5891075B2 (ja) * 2012-03-08 2016-03-22 東京応化工業株式会社 ブロックコポリマー含有組成物及びパターンの縮小方法
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5835123B2 (ja) * 2012-06-21 2015-12-24 Jsr株式会社 パターン形成用自己組織化組成物及びパターン形成方法
JP6239813B2 (ja) 2012-07-18 2017-11-29 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理方法
JP2014033051A (ja) * 2012-08-02 2014-02-20 Toshiba Corp パターン形成方法及び半導体装置の製造方法
US9087699B2 (en) 2012-10-05 2015-07-21 Micron Technology, Inc. Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure
US8894869B2 (en) * 2012-11-13 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process using directed self assembly
EP2733533B1 (en) * 2012-11-14 2018-02-28 IMEC vzw Etching method using block-copolymers
KR101993255B1 (ko) * 2013-01-07 2019-06-26 삼성전자주식회사 콘택 홀 형성 방법
US9312220B2 (en) * 2013-03-12 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a low-K dielectric with pillar-type air-gaps
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US8999623B2 (en) 2013-03-14 2015-04-07 Wiscousin Alumni Research Foundation Degradable neutral layers for block copolymer lithography applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
CN103408783A (zh) * 2013-04-24 2013-11-27 南京工业大学 一种具有规整垂直孔道多孔膜的制备方法
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
US10884333B2 (en) * 2013-08-06 2021-01-05 Asml Netherlands B.V. Method of designing lithography features by self-assembly of block copolymer
JP6452136B2 (ja) 2013-09-04 2019-01-16 東京エレクトロン株式会社 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9859118B2 (en) * 2013-11-25 2018-01-02 Tokyo Electron Limited Pattern forming method and heating apparatus
US9184058B2 (en) * 2013-12-23 2015-11-10 Micron Technology, Inc. Methods of forming patterns by using a brush layer and masks
FR3021321B1 (fr) * 2014-05-26 2016-06-03 Commissariat Energie Atomique Procede de realisation de motifs par auto-assemblage de copolymeres a blocs
WO2015180966A2 (en) * 2014-05-28 2015-12-03 Asml Netherlands B.V. Methods for providing lithography features on a substrate by self-assembly of block copolymers
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
KR102335109B1 (ko) * 2014-12-15 2021-12-03 삼성전자 주식회사 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
US10294359B2 (en) 2014-12-30 2019-05-21 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9305834B1 (en) * 2014-12-30 2016-04-05 GlobalFoundries, Inc. Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures
US10011713B2 (en) 2014-12-30 2018-07-03 Dow Global Technologies Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US20160186001A1 (en) * 2014-12-30 2016-06-30 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
CN104598679B (zh) * 2015-01-14 2018-01-26 中国石油大学(华东) 一种自组装纳米卷状结构的制备方法及其模拟验证方法
TWI627219B (zh) 2015-02-26 2018-06-21 羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI612379B (zh) 2015-02-26 2018-01-21 Rohm And Haas Electronic Materials Llc 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI669337B (zh) 2015-02-26 2019-08-21 美商羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
TWI588200B (zh) 2015-02-26 2017-06-21 羅門哈斯電子材料有限公司 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件
KR102402958B1 (ko) * 2015-11-11 2022-05-27 삼성전자주식회사 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법
US10056265B2 (en) 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
US11034786B2 (en) * 2016-06-16 2021-06-15 Lg Chem, Ltd. Amphiphilic triblock polymer
KR102308953B1 (ko) 2017-03-10 2021-10-05 주식회사 엘지화학 패턴화 기판의 제조 방법
CN108231984A (zh) * 2018-01-31 2018-06-29 华南理工大学 一种相分离手段实现的钙钛矿图案化膜片及其制作方法

Family Cites Families (273)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4623674A (en) * 1985-06-21 1986-11-18 Union Carbide Corporation Polymer/polyols of substituted styrenes and polyurethanes made therefrom
US4877647A (en) * 1986-04-17 1989-10-31 Kansas State University Research Foundation Method of coating substrates with solvated clusters of metal particles
US4797357A (en) 1986-05-30 1989-01-10 Eastman Kodak Company Light-stable reducible compounds and analytical compositions, elements and methods utilizing same
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5354489A (en) 1990-08-30 1994-10-11 Asahi Kasei Kogyo Kabushiki Kaisha Method for changing the viscosity of a fluid comprising a liquid crystal compound
US5622668A (en) * 1992-02-07 1997-04-22 The United States Of America As Represented By The Secretary Of The Air Force Method for preparing oriented polymer structures and said structures
ATE159978T1 (de) 1992-08-07 1997-11-15 Fujikura Kasei Kk Elektrosensitive zusammensetzung
US5382373A (en) 1992-10-30 1995-01-17 Lord Corporation Magnetorheological materials based on alloy particles
DE69313132T2 (de) 1992-11-25 1997-12-11 Hoechst Celanese Corp Metallionenreduzierung in antireflexunterschichten für photoresist
US5482656A (en) * 1993-03-04 1996-01-09 Kabushiki Kaisha Toshiba Non-linear optical devices employing a polysilane composition and a polysilane composition therefor
TW272976B (ko) 1993-08-06 1996-03-21 Ciba Geigy Ag
US6776094B1 (en) 1993-10-04 2004-08-17 President & Fellows Of Harvard College Kit For Microcontact Printing
US5512131A (en) 1993-10-04 1996-04-30 President And Fellows Of Harvard College Formation of microstamped patterns on surfaces and derivative articles
US5538655A (en) * 1994-06-29 1996-07-23 Arthur D. Little, Inc. Molecular complexes for use as electrolyte components
US5607824A (en) 1994-07-27 1997-03-04 International Business Machines Corporation Antireflective coating for microlithography
JPH0867893A (ja) 1994-08-19 1996-03-12 Lubrizol Corp:The 極性固体および有機半導体の電気流動性流体
US5620850A (en) 1994-09-26 1997-04-15 President And Fellows Of Harvard College Molecular recognition at surfaces derivatized with self-assembled monolayers
US5700902A (en) 1995-07-27 1997-12-23 Circe Biomedical, Inc. Block copolymers
WO1997006013A1 (en) 1995-08-04 1997-02-20 International Business Machines Corporation Lithographic surface or thin layer modification
US6309580B1 (en) 1995-11-15 2001-10-30 Regents Of The University Of Minnesota Release surfaces, particularly for use in nanoimprint lithography
US5772905A (en) 1995-11-15 1998-06-30 Regents Of The University Of Minnesota Nanoimprint lithography
US5879853A (en) 1996-01-18 1999-03-09 Kabushiki Kaisha Toshiba Top antireflective coating material and its process for DUV and VUV lithography systems
US6096636A (en) 1996-02-06 2000-08-01 Micron Technology, Inc. Methods of forming conductive lines
EP1124158A1 (en) 1996-02-26 2001-08-16 Matsushita Electric Industrial Co., Ltd. Pattern forming material and pattern forming method
US6190949B1 (en) 1996-05-22 2001-02-20 Sony Corporation Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof
US6143647A (en) * 1997-07-24 2000-11-07 Intel Corporation Silicon-rich block copolymers to achieve unbalanced vias
JPH1081889A (ja) 1996-09-06 1998-03-31 Bridgestone Corp 電気粘性流体用粉体
US5904824A (en) * 1997-03-07 1999-05-18 Beckman Instruments, Inc. Microfluidic electrophoresis device
US5958704A (en) 1997-03-12 1999-09-28 Ddx, Inc. Sensing system for specific substance and molecule detection
US5948470A (en) * 1997-04-28 1999-09-07 Harrison; Christopher Method of nanoscale patterning and products made thereby
US6890624B1 (en) * 2000-04-25 2005-05-10 Nanogram Corporation Self-assembled structures
US6368871B1 (en) * 1997-08-13 2002-04-09 Cepheid Non-planar microstructures for manipulation of fluid samples
US6884842B2 (en) 1997-10-14 2005-04-26 Alnis Biosciences, Inc. Molecular compounds having complementary surfaces to targets
AU3739799A (en) 1997-12-09 1999-08-09 Regents Of The University Of California, The Block polymer processing for mesostructured inorganic oxide materials
US6111323A (en) * 1997-12-30 2000-08-29 International Business Machines Corporation Reworkable thermoplastic encapsulant
US6362276B1 (en) 1998-01-07 2002-03-26 Debio Recherche Pharmaceutique S.A. Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom
US7282240B1 (en) 1998-04-21 2007-10-16 President And Fellows Of Harvard College Elastomeric mask and use in fabrication of devices
JP3464004B2 (ja) 1998-06-05 2003-11-05 旭化成株式会社 水素添加ブロック共重合体及びそれを含有したポリプロピレン系樹脂組成物
US7074498B2 (en) * 2002-03-22 2006-07-11 Borealis Technical Limited Influence of surface geometry on metal properties
US6897073B2 (en) 1998-07-14 2005-05-24 Zyomyx, Inc. Non-specific binding resistant protein arrays and methods for making the same
US6423410B1 (en) 1998-09-04 2002-07-23 Mds Proteomics, Inc. Ultrasonically generated paramagnetic polymer particles
US6713238B1 (en) * 1998-10-09 2004-03-30 Stephen Y. Chou Microscale patterning and articles formed thereby
JP2002530505A (ja) 1998-11-24 2002-09-17 ザ ダウ ケミカル カンパニー 架橋性マトリックス前駆体および気孔発生体を含有する組成物、並びにそれから製造された多孔質マトリックス
DE69923598D1 (de) * 1998-12-08 2005-03-10 Gene Logic Inc Verfahren zur befestigung organischer moleküle auf silizium
US6413587B1 (en) 1999-03-02 2002-07-02 International Business Machines Corporation Method for forming polymer brush pattern on a substrate surface
US6270946B1 (en) 1999-03-18 2001-08-07 Luna Innovations, Inc. Non-lithographic process for producing nanoscale features on a substrate
JP4127682B2 (ja) 1999-06-07 2008-07-30 株式会社東芝 パターン形成方法
JP3940546B2 (ja) * 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
JP4012173B2 (ja) 1999-06-07 2007-11-21 株式会社東芝 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター
ATE481745T1 (de) * 1999-07-02 2010-10-15 Harvard College Nanoskopischen draht enthaltende anordnung, logische felder und verfahren zu deren herstellung
AU7094400A (en) 1999-08-31 2001-03-26 E-Ink Corporation A solvent annealing process for forming a thin semiconductor film with advantageous properties
JP2001110801A (ja) 1999-10-05 2001-04-20 Takeshi Yao パターン形成方法、並びに電子素子、光学素子及び回路基板
US6998152B2 (en) 1999-12-20 2006-02-14 Micron Technology, Inc. Chemical vapor deposition methods utilizing ionic liquids
US6517933B1 (en) 2000-01-18 2003-02-11 Nano-Tex, Llc Hybrid polymer materials
US6423465B1 (en) * 2000-01-28 2002-07-23 International Business Machines Corporation Process for preparing a patterned continuous polymeric brush on a substrate surface
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US7163712B2 (en) * 2000-03-03 2007-01-16 Duke University Microstamping activated polymer surfaces
US6423474B1 (en) 2000-03-21 2002-07-23 Micron Technology, Inc. Use of DARC and BARC in flash memory processing
EP1268087A4 (en) * 2000-03-22 2007-05-23 Univ Massachusetts NANOMETRIC CYLINDER MATRICES
US7491286B2 (en) 2000-04-21 2009-02-17 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US6887332B1 (en) 2000-04-21 2005-05-03 International Business Machines Corporation Patterning solution deposited thin films with self-assembled monolayers
US7291284B2 (en) * 2000-05-26 2007-11-06 Northwestern University Fabrication of sub-50 nm solid-state nanostructures based on nanolithography
US6503841B1 (en) * 2000-07-07 2003-01-07 Agere Systems Inc. Oxide etch
US6414164B1 (en) 2000-07-12 2002-07-02 International Business Machines Corporation Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors
WO2002018080A1 (fr) 2000-08-03 2002-03-07 Upepo & Maji Inc. Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur
JP3591827B2 (ja) 2000-08-11 2004-11-24 株式会社東芝 微細構造を有する成形体の製造方法
JP2002083949A (ja) 2000-09-07 2002-03-22 Nec Corp Cmosイメージセンサ及びその製造方法
US20020084429A1 (en) 2000-10-17 2002-07-04 Craighead Harold G. Electron-beam patterning of functionalized self-assembled monolayers
WO2002041043A2 (en) 2000-11-14 2002-05-23 The Regents Of The University Of California Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications
US6358813B1 (en) * 2000-11-15 2002-03-19 International Business Machines Corporation Method for increasing the capacitance of a semiconductor capacitors
NL1016779C2 (nl) 2000-12-02 2002-06-04 Cornelis Johannes Maria V Rijn Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs.
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
FR2818650B1 (fr) * 2000-12-21 2003-02-07 Atofina Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes
US6518194B2 (en) 2000-12-28 2003-02-11 Thomas Andrew Winningham Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation
WO2002056021A2 (en) 2001-01-10 2002-07-18 Symyx Technologies Inc Polymer brushes for immobilizing molecules to a surface
US6566248B1 (en) * 2001-01-11 2003-05-20 Advanced Micro Devices, Inc. Graphoepitaxial conductor cores in integrated circuit interconnects
US6913697B2 (en) * 2001-02-14 2005-07-05 Science & Technology Corporation @ Unm Nanostructured separation and analysis devices for biological membranes
EP1374310A4 (en) 2001-03-14 2008-02-20 Univ Massachusetts NANOFABRICATION
US6537920B1 (en) 2001-03-16 2003-03-25 Advanced Micro Devices, Inc. Formation of vertical transistors using block copolymer lithography
US6924341B2 (en) * 2001-03-30 2005-08-02 The Uab Research Foundation Polymer formation in room temperature ionic liquids
EP1377519B1 (en) * 2001-04-06 2010-06-09 Carnegie-Mellon University A process for the preparation of nanostructured materials
WO2002085639A1 (en) 2001-04-25 2002-10-31 The Trustees Of Columbia University In The City Of New York Edge transfer lithography
US6809210B2 (en) 2001-06-12 2004-10-26 Lucent Technologies Inc. Method of solvating a metal in an aromatic organic liquid
KR100448170B1 (ko) * 2001-06-23 2004-09-10 주식회사 태평양 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체
GB2393578B (en) 2001-07-09 2005-10-26 Plastic Logic Ltd Lamellar polymer architecture
US6444318B1 (en) * 2001-07-17 2002-09-03 Surmodics, Inc. Self assembling monolayer compositions
DE10142691B4 (de) 2001-08-31 2006-04-20 Infineon Technologies Ag Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür
US6751491B2 (en) * 2001-09-01 2004-06-15 M Biotech Inc Analyte measuring biosensor chip using image scanning system
DE10145747A1 (de) 2001-09-17 2003-04-03 Solvent Innovation Gmbh Ionische Flüssigkeiten
US6746825B2 (en) * 2001-10-05 2004-06-08 Wisconsin Alumni Research Foundation Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates
US20030108664A1 (en) 2001-10-05 2003-06-12 Kodas Toivo T. Methods and compositions for the formation of recessed electrical features on a substrate
US20030080472A1 (en) 2001-10-29 2003-05-01 Chou Stephen Y. Lithographic method with bonded release layer for molding small patterns
US20040058059A1 (en) 2001-11-07 2004-03-25 Linford Mathew Richard Funtionalized patterned surfaces
JP2005510436A (ja) 2001-11-21 2005-04-21 ユニバーシティー オブ マサチューセッツ メソポーラス材料および方法
JP3967114B2 (ja) 2001-11-22 2007-08-29 株式会社東芝 加工方法
US7087267B2 (en) 2001-11-29 2006-08-08 International Business Machines Corporation Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization
JP3782357B2 (ja) 2002-01-18 2006-06-07 株式会社東芝 半導体発光素子の製造方法
US7115305B2 (en) 2002-02-01 2006-10-03 California Institute Of Technology Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials
US6958572B2 (en) * 2002-02-06 2005-10-25 Ut-Battelle Llc Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process
WO2003069019A1 (en) * 2002-02-11 2003-08-21 Rensselaer Polytechnic Institute Directed assembly of highly-organized carbon nanotube architectures
US7060774B2 (en) 2002-02-28 2006-06-13 Merck Patent Gesellschaft Prepolymer material, polymer material, imprinting process and their use
US6890703B2 (en) * 2002-03-06 2005-05-10 International Business Machines Corporation Preparation of crosslinked particles from polymers having activatible crosslinking groups
US6946332B2 (en) 2002-03-15 2005-09-20 Lucent Technologies Inc. Forming nanoscale patterned thin film metal layers
US7807348B2 (en) 2002-03-20 2010-10-05 Wisconsin Alumni Research Foundation Optical imaging of nanostructured substrates
US20030178707A1 (en) 2002-03-21 2003-09-25 Abbott Donald C. Preplated stamped small outline no-lead leadframes having etched profiles
US6765030B2 (en) 2002-03-22 2004-07-20 The University Of North Carolina At Chapel Hill Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy
US20040142578A1 (en) 2002-03-28 2004-07-22 Ulrich Wiesner Thin film nanostructures
US6872645B2 (en) * 2002-04-02 2005-03-29 Nanosys, Inc. Methods of positioning and/or orienting nanostructures
US6656308B2 (en) 2002-04-22 2003-12-02 International Business Machines Corporation Process of fabricating a precision microcontact printing stamp
US7135241B2 (en) 2002-05-24 2006-11-14 Board Of Regents, The University Of Texas System Light-emitting block copolymers composition, process and use
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6753250B1 (en) 2002-06-12 2004-06-22 Novellus Systems, Inc. Method of fabricating low dielectric constant dielectric films
US20030235930A1 (en) 2002-06-25 2003-12-25 Lucent Technologies Inc. Multi-impression nanofeature production
US7077992B2 (en) 2002-07-11 2006-07-18 Molecular Imprints, Inc. Step and repeat imprint lithography processes
US6932934B2 (en) 2002-07-11 2005-08-23 Molecular Imprints, Inc. Formation of discontinuous films during an imprint lithography process
US6908861B2 (en) 2002-07-11 2005-06-21 Molecular Imprints, Inc. Method for imprint lithography using an electric field
US7311943B2 (en) 2002-07-17 2007-12-25 Massachusetts Institute Of Technology Templated monolayer polymerization and replication
US20050008828A1 (en) 2002-07-25 2005-01-13 Trustees Of Stevens Institute Of Technology Patterned polymer microgel and method of forming same
US6957608B1 (en) 2002-08-02 2005-10-25 Kovio, Inc. Contact print methods
DE60333715D1 (de) * 2002-10-30 2010-09-23 Hitachi Ltd Verfahren zur Herstellung funktioneller Substrate, die kolumnare Mikrosäulen aufweisen
US20040084298A1 (en) * 2002-10-31 2004-05-06 Y.D. Yao Fabrication of nanocomposite thin films for high density magnetic recording media
US6949456B2 (en) * 2002-10-31 2005-09-27 Asm Japan K.K. Method for manufacturing semiconductor device having porous structure with air-gaps
US6911400B2 (en) 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
BR0316048B1 (pt) 2002-11-07 2014-01-28 Copolímero com estrutura controlada e utilização de um copolímero
US6699797B1 (en) * 2002-12-17 2004-03-02 Intel Corporation Method of fabrication of low dielectric constant porous metal silicate films
US6930034B2 (en) 2002-12-27 2005-08-16 International Business Machines Corporation Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence
US20040124092A1 (en) 2002-12-30 2004-07-01 Black Charles T. Inorganic nanoporous membranes and methods to form same
US7078276B1 (en) 2003-01-08 2006-07-18 Kovio, Inc. Nanoparticles and method for making the same
US6940485B2 (en) 2003-01-15 2005-09-06 Xerox Corporation Flexible micron-thin display device
TWI323479B (en) 2003-02-12 2010-04-11 Nantero Inc Devices having horizontally-disposed nanofabric articles and methods of making the same
CA2515724A1 (en) 2003-02-12 2004-08-26 Nantero, Inc Devices having vertically-disposed nanofabric articles and methods of making the same
US7001795B2 (en) 2003-02-27 2006-02-21 Micron Technology, Inc. Total internal reflection (TIR) CMOS imager
TW582059B (en) 2003-03-11 2004-04-01 Ind Tech Res Inst Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component
US7326514B2 (en) 2003-03-12 2008-02-05 Cornell Research Foundation, Inc. Organoelement resists for EUV lithography and methods of making the same
US7135523B2 (en) * 2003-03-14 2006-11-14 Industrial Technology Research Institute Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers
US6812132B2 (en) 2003-03-21 2004-11-02 Intel Corporation Filling small dimension vias using supercritical carbon dioxide
KR100618184B1 (ko) 2003-03-31 2006-08-31 비오이 하이디스 테크놀로지 주식회사 결정화 방법
US7112617B2 (en) 2003-04-22 2006-09-26 International Business Machines Corporation Patterned substrate with hydrophilic/hydrophobic contrast, and method of use
JP2004335962A (ja) 2003-05-12 2004-11-25 Seiko Epson Corp 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器
US7632544B2 (en) 2003-05-20 2009-12-15 Industrial Technology Research Institute Nanopatterned templates from oriented degradable diblock copolymer thin films
EP1479738A1 (en) 2003-05-20 2004-11-24 DSM IP Assets B.V. Hydrophobic coatings comprising reactive nano-particles
US20060124467A1 (en) 2003-05-20 2006-06-15 Industrial Technology Research Institute Metal nanodot arrays and fabrication methods thereof
US6989426B2 (en) * 2003-06-12 2006-01-24 The Hong Kong Polytechnic University Methods for producing di-block polymers
US7009227B2 (en) 2003-06-16 2006-03-07 Micron Technology, Inc. Photodiode structure and image pixel structure
US7045851B2 (en) 2003-06-20 2006-05-16 International Business Machines Corporation Nonvolatile memory device using semiconductor nanocrystals and method of forming same
GB2403847B (en) 2003-07-01 2005-11-16 Micron Technology Inc Optical channels for multi-level metal optical imagers and method for manufacturing same
US20050238889A1 (en) 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US7132370B2 (en) * 2003-08-01 2006-11-07 Interuniversitair Microelektronica Centrum (Imec) Method for selective removal of high-k material
GB0318817D0 (en) 2003-08-11 2003-09-10 Univ Cambridge Tech Method of making a polymer device
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
CA2539436A1 (en) 2003-09-23 2005-09-01 Wisconsin Alumni Research Foundation Using liquid crystals to detect affinity microcontact printed biomolecules
US7374867B2 (en) 2003-10-06 2008-05-20 Intel Corporation Enhancing photoresist performance using electric fields
WO2005084175A2 (en) * 2003-10-16 2005-09-15 The Regents Of The University Of California Nanostructures, nanogrooves, and nanowires
US7862849B2 (en) 2003-10-17 2011-01-04 Massachusetts Institute Of Technology Nanocontact printing
US7122482B2 (en) * 2003-10-27 2006-10-17 Molecular Imprints, Inc. Methods for fabricating patterned features utilizing imprint lithography
GB0325748D0 (en) 2003-11-05 2003-12-10 Koninkl Philips Electronics Nv A method of forming a patterned layer on a substrate
US7056757B2 (en) * 2003-11-25 2006-06-06 Georgia Tech Research Corporation Methods of forming oxide masks with submicron openings and microstructures formed thereby
WO2007024323A2 (en) 2005-06-17 2007-03-01 The University Of North Carolina At Chapel Hill Nanoparticle fabrication methods, systems, and materials
US7423164B2 (en) 2003-12-31 2008-09-09 Ut-Battelle, Llc Synthesis of ionic liquids
US6989324B2 (en) 2004-01-15 2006-01-24 The Regents Of The University Of California Fabrication method for arranging ultra-fine particles
US7056849B2 (en) * 2004-01-16 2006-06-06 General Electric Company Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7030495B2 (en) * 2004-03-19 2006-04-18 International Business Machines Corporation Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby
CN100429142C (zh) 2004-03-24 2008-10-29 哈尔滨工业大学 面向纳米微加工嵌段共聚物模板自组装形态调控方法
US20060013956A1 (en) * 2004-04-20 2006-01-19 Angelescu Dan E Method and apparatus for providing shear-induced alignment of nanostructure in thin films
EP1742893B1 (en) 2004-04-27 2012-10-10 The Board Of Trustees Of The University Of Illinois Composite patterning devices for soft lithography
US7244665B2 (en) 2004-04-29 2007-07-17 Micron Technology, Inc. Wafer edge ring structures and methods of formation
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
WO2006076016A2 (en) 2004-05-21 2006-07-20 Krzysztof Matyjaszewski Conducting polymers
EP2650906A3 (en) 2004-06-04 2015-02-18 The Board of Trustees of the University of Illinois Methods and devices for fabricating and assembling printable semiconductor elements
KR20070029762A (ko) 2004-06-30 2007-03-14 코닌클리케 필립스 일렉트로닉스 엔.브이. 화학적으로 패터닝된 표면을 구비한 소프트 리소그라피스탬프
CN101198902A (zh) 2004-06-30 2008-06-11 皇家飞利浦电子股份有限公司 具有化学构图表面的软平版印刷印模
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
JP4389055B2 (ja) 2004-07-27 2009-12-24 独立行政法人産業技術総合研究所 ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法
US8088293B2 (en) 2004-07-29 2012-01-03 Micron Technology, Inc. Methods of forming reticles configured for imprint lithography
US20060030495A1 (en) 2004-08-06 2006-02-09 Gregg George L Jr Bullet lubrication formula
JP2006055982A (ja) 2004-08-23 2006-03-02 Ind Technol Res Inst 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート
KR20060020830A (ko) 2004-09-01 2006-03-07 삼성코닝 주식회사 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US20060057051A1 (en) 2004-09-10 2006-03-16 Sheng Dai Highly ordered porous carbon materials having well defined nanostructures and method of synthesis
US20060060863A1 (en) * 2004-09-22 2006-03-23 Jennifer Lu System and method for controlling nanostructure growth
JP3926360B2 (ja) 2004-10-13 2007-06-06 株式会社東芝 パターン形成方法およびそれを用いた構造体の加工方法
US7196314B2 (en) 2004-11-09 2007-03-27 Omnivision Technologies, Inc. Image sensor and pixel having an anti-reflective coating over the photodiode
DE602004013338T2 (de) 2004-11-10 2009-06-10 Sony Deutschland Gmbh Stempel für die sanfte Lithographie, insbesondere für das Mikro-Kontaktdruckverfahren und Verfahren zu seiner Herstellung
US7323387B2 (en) * 2004-11-12 2008-01-29 Seagate Technology Llc Method to make nano structure below 25 nanometer with high uniformity on large scale
EP1827717A4 (en) 2004-11-22 2011-11-23 Wisconsin Alumni Res Found METHOD AND COMPOSITIONS FOR FORMING APERIODICALLY PATTERNED COPOLYMER FILMS
US20080032238A1 (en) 2004-11-23 2008-02-07 Lu Jennifer Q System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth
US20060128165A1 (en) 2004-12-13 2006-06-15 3M Innovative Properties Company Method for patterning surface modification
US7666465B2 (en) 2004-12-29 2010-02-23 Intel Corporation Introducing nanotubes in trenches and structures formed thereby
WO2006078952A1 (en) 2005-01-21 2006-07-27 University Of California Methods for fabricating a long-range ordered periodic array of nano-features, and articles comprising same
DE102005005325B4 (de) 2005-02-04 2011-12-15 Adesto Technology Corp., Inc. Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle
US7341788B2 (en) * 2005-03-11 2008-03-11 International Business Machines Corporation Materials having predefined morphologies and methods of formation thereof
US7514764B2 (en) 2005-03-23 2009-04-07 Wisconsin Alumni Research Foundation Materials and methods for creating imaging layers
US7855046B2 (en) 2005-04-07 2010-12-21 The University Of North Carolina At Charlotte Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein
KR100634327B1 (ko) 2005-04-13 2006-10-13 한국기계연구원 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치
US20060257633A1 (en) 2005-04-27 2006-11-16 Hitachi Maxell, Ltd. Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member
US20060249784A1 (en) 2005-05-06 2006-11-09 International Business Machines Corporation Field effect transistor device including an array of channel elements and methods for forming
US7767129B2 (en) 2005-05-11 2010-08-03 Micron Technology, Inc. Imprint templates for imprint lithography, and methods of patterning a plurality of substrates
US7371684B2 (en) 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
US8399057B2 (en) 2005-06-08 2013-03-19 The Regents Of The University Of California Ordered vertically oriented porous inorganic films produced through solution processing
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
KR100668846B1 (ko) 2005-06-10 2007-01-16 주식회사 하이닉스반도체 상변환 기억 소자의 제조방법
US7771917B2 (en) 2005-06-17 2010-08-10 Micron Technology, Inc. Methods of making templates for use in imprint lithography
US7507618B2 (en) 2005-06-27 2009-03-24 3M Innovative Properties Company Method for making electronic devices using metal oxide nanoparticles
US7118784B1 (en) 2005-06-27 2006-10-10 The Regents Of The University Of California Method and apparatus for controlling nucleation in self-assembled films
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7306083B2 (en) 2005-07-27 2007-12-11 Gm Global Technology Operations, Inc. Magnetorheological fluid device
WO2007019439A2 (en) 2005-08-04 2007-02-15 Angiotech International Ag Block copolymer compositions and uses thereof
US20070045642A1 (en) 2005-08-25 2007-03-01 Micron Technology, Inc. Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
EP1760527B1 (en) 2005-09-05 2012-06-06 DWI an der RWTH Aachen e.V. Photochemical method for manufacturing nanometrically surface-decorated substrates
JP4598639B2 (ja) 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
US20070183035A1 (en) 2005-10-31 2007-08-09 Koji Asakawa Short-wavelength polarizing elements and the manufacture and use thereof
WO2007055041A1 (ja) 2005-11-10 2007-05-18 National University Corporation Kyoto Institute Of Technology 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法
US20070122749A1 (en) 2005-11-30 2007-05-31 Fu Peng F Method of nanopatterning, a resist film for use therein, and an article including the resist film
DE602006000939T2 (de) 2006-01-18 2009-07-09 Consiglio Nazionale Delle Ricerche Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung
KR20080083674A (ko) 2006-01-20 2008-09-18 플렉스트로닉스, 인크 폴리티오펜을 포함하는 정전기 코팅 및 물품
JP2007194175A (ja) 2006-01-23 2007-08-02 Seiko Epson Corp 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器
US7347953B2 (en) * 2006-02-02 2008-03-25 International Business Machines Corporation Methods for forming improved self-assembled patterns of block copolymers
US20080073743A1 (en) 2006-02-17 2008-03-27 Lockheed Martin Corporation Templated growth of semiconductor nanostructures, related devices and methods
US20070208159A1 (en) 2006-03-02 2007-09-06 General Electric Company Poly(arylene ether) block copolymer compositions, methods, and articles
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070222995A1 (en) * 2006-03-27 2007-09-27 Jennifer Lu Artifact having a textured metal surface with nanometer-scale features and method for fabricating same
KR100753542B1 (ko) 2006-04-19 2007-08-30 삼성전자주식회사 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법
US8080822B2 (en) 2006-05-22 2011-12-20 Nanyang Technological University Solution-processed inorganic films for organic thin film transistors
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US20070289943A1 (en) 2006-06-14 2007-12-20 Jennifer Lu Block copolymer mask for defining nanometer-scale structures
US7605081B2 (en) * 2006-06-19 2009-10-20 International Business Machines Corporation Sub-lithographic feature patterning using self-aligned self-assembly polymers
JP4673266B2 (ja) 2006-08-03 2011-04-20 日本電信電話株式会社 パターン形成方法及びモールド
US20080038467A1 (en) 2006-08-11 2008-02-14 Eastman Kodak Company Nanostructured pattern method of manufacture
JP4421582B2 (ja) 2006-08-15 2010-02-24 株式会社東芝 パターン形成方法
US20080047930A1 (en) 2006-08-23 2008-02-28 Graciela Beatriz Blanchet Method to form a pattern of functional material on a substrate
KR100739000B1 (ko) 2006-09-11 2007-07-12 삼성전자주식회사 상변화 기억 소자의 형성 방법
KR100771886B1 (ko) 2006-09-27 2007-11-01 삼성전자주식회사 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법
US7658773B2 (en) 2006-09-29 2010-02-09 Qimonda Ag Method for fabricating a solid electrolyte memory device and solid electrolyte memory device
TWI311337B (en) 2006-10-02 2009-06-21 Au Optronics Corporatio Multi-domain vertical alignment pixel structure and fabrication method thereof
US7592247B2 (en) * 2006-10-04 2009-09-22 International Business Machines Corporation Sub-lithographic local interconnects, and methods for forming same
US7553760B2 (en) * 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US8343578B2 (en) * 2006-10-30 2013-01-01 International Business Machines Corporation Self-assembled lamellar microdomains and method of alignment
US7560222B2 (en) 2006-10-31 2009-07-14 International Business Machines Corporation Si-containing polymers for nano-pattern device fabrication
US7514339B2 (en) * 2007-01-09 2009-04-07 International Business Machines Corporation Method for fabricating shallow trench isolation structures using diblock copolymer patterning
KR20080069000A (ko) 2007-01-22 2008-07-25 삼성전자주식회사 액정 표시 장치
US8394483B2 (en) 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US7767099B2 (en) 2007-01-26 2010-08-03 International Business Machines Corporaiton Sub-lithographic interconnect patterning using self-assembling polymers
WO2008096335A2 (en) 2007-02-07 2008-08-14 Yeda Research And Development Co. Ltd. Producing an array of nanoscale structures on a substrate surface via a self-assembled template
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8557128B2 (en) 2007-03-22 2013-10-15 Micron Technology, Inc. Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers
US7999160B2 (en) * 2007-03-23 2011-08-16 International Business Machines Corporation Orienting, positioning, and forming nanoscale structures
US7888228B2 (en) 2007-04-05 2011-02-15 Adesto Technology Corporation Method of manufacturing an integrated circuit, an integrated circuit, and a memory module
US7959975B2 (en) 2007-04-18 2011-06-14 Micron Technology, Inc. Methods of patterning a substrate
US8294139B2 (en) * 2007-06-21 2012-10-23 Micron Technology, Inc. Multilayer antireflection coatings, structures and devices including the same and methods of making the same
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8372295B2 (en) * 2007-04-20 2013-02-12 Micron Technology, Inc. Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method
DE102007024653A1 (de) 2007-05-26 2008-12-04 Forschungszentrum Karlsruhe Gmbh Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8080615B2 (en) * 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US7732533B2 (en) 2007-08-31 2010-06-08 Micron Technology, Inc. Zwitterionic block copolymers and methods
US7989026B2 (en) * 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7696085B2 (en) * 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
US8168468B2 (en) 2008-02-29 2012-05-01 Freescale Semiconductor, Inc. Method of making a semiconductor device including a bridgeable material
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8114300B2 (en) * 2008-04-21 2012-02-14 Micron Technology, Inc. Multi-layer method for formation of registered arrays of cylindrical pores in polymer films
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
US8114468B2 (en) 2008-06-18 2012-02-14 Boise Technology, Inc. Methods of forming a non-volatile resistive oxide memory array
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8314206B2 (en) 2008-12-02 2012-11-20 Micron Technology, Inc. Block copolymer-comprising compositions and methods of purifying PS-b-PXVP
US8834956B2 (en) 2009-06-22 2014-09-16 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns

Non-Patent Citations (4)

* Cited by examiner, † Cited by third party
Title
C. T. Black et al. Advances in Resist Technology and Processing XXIII, edited by Qinghuang Lin, Proc. of SPIE. 2006, Vol. 6153, 615302 (11 pp.)
Kim S. H. et al. Advanced Materials. 27 December 2004, pp. 2119-2123
Roberto Olayo-Valles et al. Journal of Materials Chemistry. 2004, Vol. 14, pp. 2729-2731
Yang Xiaomin et al. Journal of Vacuum Science and Technology. 2004, Vol. 22, No. 6, pp. 3331-3334

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9627202B2 (en) 2014-08-11 2017-04-18 Samsung Electronics Co., Ltd. Methods for forming fine patterns of semiconductor device

Also Published As

Publication number Publication date
US8101261B2 (en) 2012-01-24
US8642157B2 (en) 2014-02-04
TWI462871B (zh) 2014-12-01
WO2009102551A2 (en) 2009-08-20
EP2262721A2 (en) 2010-12-22
TW200951065A (en) 2009-12-16
US20120076978A1 (en) 2012-03-29
CN101952195B (zh) 2012-11-28
CN101952195A (zh) 2011-01-19
WO2009102551A3 (en) 2009-11-26
KR20100112196A (ko) 2010-10-18
US20090200646A1 (en) 2009-08-13

Similar Documents

Publication Publication Date Title
KR101262460B1 (ko) 1-차원 어레이의 블록 공중합체 원통 그리고 그 적용
US11282741B2 (en) Methods of forming a semiconductor device using block copolymer materials
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
EP2146925B1 (en) Extensions of self-assembled structures to increased dimensions via a "bootstrap" self-templating method
US9257256B2 (en) Templates including self-assembled block copolymer films
US8512846B2 (en) Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
WO2009117238A2 (en) Methods of improving long range order in self-assembly of block copolymer films with ionic liquids

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee