TWI462871B - 嵌段共聚物圓柱體之一維陣列及其應用 - Google Patents
嵌段共聚物圓柱體之一維陣列及其應用 Download PDFInfo
- Publication number
- TWI462871B TWI462871B TW098104203A TW98104203A TWI462871B TW I462871 B TWI462871 B TW I462871B TW 098104203 A TW098104203 A TW 098104203A TW 98104203 A TW98104203 A TW 98104203A TW I462871 B TWI462871 B TW I462871B
- Authority
- TW
- Taiwan
- Prior art keywords
- block copolymer
- trench
- substrate
- block
- copolymer material
- Prior art date
Links
- 229920001400 block copolymer Polymers 0.000 title claims description 167
- 238000003491 array Methods 0.000 title description 3
- 239000000463 material Substances 0.000 claims description 263
- 239000000758 substrate Substances 0.000 claims description 134
- 229920000642 polymer Polymers 0.000 claims description 90
- 239000010410 layer Substances 0.000 claims description 84
- 238000009736 wetting Methods 0.000 claims description 74
- 238000000034 method Methods 0.000 claims description 61
- 239000004793 Polystyrene Substances 0.000 claims description 57
- 229920002223 polystyrene Polymers 0.000 claims description 52
- 238000000137 annealing Methods 0.000 claims description 49
- 230000007935 neutral effect Effects 0.000 claims description 49
- 239000011159 matrix material Substances 0.000 claims description 43
- 229920001577 copolymer Polymers 0.000 claims description 37
- 239000000203 mixture Substances 0.000 claims description 30
- 229920003171 Poly (ethylene oxide) Polymers 0.000 claims description 28
- 229920000747 poly(lactic acid) Polymers 0.000 claims description 24
- 239000002904 solvent Substances 0.000 claims description 23
- 239000004926 polymethyl methacrylate Substances 0.000 claims description 22
- 229920003229 poly(methyl methacrylate) Polymers 0.000 claims description 20
- 229920005604 random copolymer Polymers 0.000 claims description 20
- 229920001519 homopolymer Polymers 0.000 claims description 13
- 238000005530 etching Methods 0.000 claims description 12
- -1 oxygen 3-(p-methoxyphenyl)propyltrichlorodecane Chemical compound 0.000 claims description 11
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 7
- 239000001257 hydrogen Substances 0.000 claims description 7
- 229910052739 hydrogen Inorganic materials 0.000 claims description 7
- 238000004132 cross linking Methods 0.000 claims description 6
- 229910052751 metal Inorganic materials 0.000 claims description 6
- 239000002184 metal Substances 0.000 claims description 6
- CERQOIWHTDAKMF-UHFFFAOYSA-M Methacrylate Chemical compound CC(=C)C([O-])=O CERQOIWHTDAKMF-UHFFFAOYSA-M 0.000 claims description 4
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 claims description 4
- 239000002356 single layer Substances 0.000 claims description 4
- 238000011049 filling Methods 0.000 claims description 3
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 3
- 238000000926 separation method Methods 0.000 claims description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 3
- 229910001092 metal group alloy Inorganic materials 0.000 claims description 2
- 229920006395 saturated elastomer Polymers 0.000 claims description 2
- 239000004020 conductor Substances 0.000 claims 2
- 238000000151 deposition Methods 0.000 claims 2
- 229920000361 Poly(styrene)-block-poly(ethylene glycol) Polymers 0.000 claims 1
- 239000000945 filler Substances 0.000 claims 1
- 150000003949 imides Chemical class 0.000 claims 1
- 239000012212 insulator Substances 0.000 claims 1
- 229920005553 polystyrene-acrylate Polymers 0.000 claims 1
- 229910001925 ruthenium oxide Inorganic materials 0.000 claims 1
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 claims 1
- 239000004626 polylactic acid Substances 0.000 description 19
- 229920000359 diblock copolymer Polymers 0.000 description 16
- 125000006850 spacer group Chemical group 0.000 description 12
- 238000000206 photolithography Methods 0.000 description 10
- 239000004065 semiconductor Substances 0.000 description 9
- 238000001338 self-assembly Methods 0.000 description 8
- 230000015572 biosynthetic process Effects 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 6
- UHOVQNZJYSORNB-UHFFFAOYSA-N Benzene Chemical compound C1=CC=CC=C1 UHOVQNZJYSORNB-UHFFFAOYSA-N 0.000 description 6
- PPBRXRYQALVLMV-UHFFFAOYSA-N Styrene Chemical compound C=CC1=CC=CC=C1 PPBRXRYQALVLMV-UHFFFAOYSA-N 0.000 description 6
- YXFVVABEGXRONW-UHFFFAOYSA-N Toluene Chemical compound CC1=CC=CC=C1 YXFVVABEGXRONW-UHFFFAOYSA-N 0.000 description 6
- 239000002861 polymer material Substances 0.000 description 6
- 239000000470 constituent Substances 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 229910052758 niobium Inorganic materials 0.000 description 5
- 239000010955 niobium Substances 0.000 description 5
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 5
- 230000000737 periodic effect Effects 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 4
- 229920000390 Poly(styrene-block-methyl methacrylate) Polymers 0.000 description 4
- 238000004528 spin coating Methods 0.000 description 4
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 3
- OKKJLVBELUTLKV-UHFFFAOYSA-N Methanol Chemical compound OC OKKJLVBELUTLKV-UHFFFAOYSA-N 0.000 description 3
- HEMHJVSKTPXQMS-UHFFFAOYSA-M Sodium hydroxide Chemical compound [OH-].[Na+] HEMHJVSKTPXQMS-UHFFFAOYSA-M 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000009477 glass transition Effects 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 229920002120 photoresistant polymer Polymers 0.000 description 3
- 229940065514 poly(lactide) Drugs 0.000 description 3
- 229920000193 polymethacrylate Polymers 0.000 description 3
- 239000002094 self assembled monolayer Substances 0.000 description 3
- 239000013545 self-assembled monolayer Substances 0.000 description 3
- 229910052710 silicon Inorganic materials 0.000 description 3
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 3
- 239000000243 solution Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- 229920000428 triblock copolymer Polymers 0.000 description 3
- MYRTYDVEIRVNKP-UHFFFAOYSA-N 1,2-Divinylbenzene Chemical compound C=CC1=CC=CC=C1C=C MYRTYDVEIRVNKP-UHFFFAOYSA-N 0.000 description 2
- WOBHKFSMXKNTIM-UHFFFAOYSA-N Hydroxyethyl methacrylate Chemical compound CC(=C)C(=O)OCCO WOBHKFSMXKNTIM-UHFFFAOYSA-N 0.000 description 2
- VVQNEPGJFQJSBK-UHFFFAOYSA-N Methyl methacrylate Chemical compound COC(=O)C(C)=C VVQNEPGJFQJSBK-UHFFFAOYSA-N 0.000 description 2
- 229910004298 SiO 2 Inorganic materials 0.000 description 2
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- DTQVDTLACAAQTR-UHFFFAOYSA-N Trifluoroacetic acid Chemical compound OC(=O)C(F)(F)F DTQVDTLACAAQTR-UHFFFAOYSA-N 0.000 description 2
- 239000002253 acid Substances 0.000 description 2
- 238000004630 atomic force microscopy Methods 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- DSAJWYNOEDNPEQ-UHFFFAOYSA-N barium atom Chemical compound [Ba] DSAJWYNOEDNPEQ-UHFFFAOYSA-N 0.000 description 2
- AIYUHDOJVYHVIT-UHFFFAOYSA-M caesium chloride Chemical compound [Cl-].[Cs+] AIYUHDOJVYHVIT-UHFFFAOYSA-M 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 229960001701 chloroform Drugs 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000000407 epitaxy Methods 0.000 description 2
- 150000002148 esters Chemical class 0.000 description 2
- 230000001747 exhibiting effect Effects 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- KWGKDLIKAYFUFQ-UHFFFAOYSA-M lithium chloride Chemical compound [Li+].[Cl-] KWGKDLIKAYFUFQ-UHFFFAOYSA-M 0.000 description 2
- 229920002521 macromolecule Polymers 0.000 description 2
- 239000000178 monomer Substances 0.000 description 2
- 239000002105 nanoparticle Substances 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229920002818 (Hydroxyethyl)methacrylate Polymers 0.000 description 1
- ABSHBZODGOHLFR-UHFFFAOYSA-N 1,1,1-trichlorobutane Chemical compound CCCC(Cl)(Cl)Cl ABSHBZODGOHLFR-UHFFFAOYSA-N 0.000 description 1
- KHPNGCXABLTQFJ-UHFFFAOYSA-N 1,1,1-trichlorodecane Chemical compound CCCCCCCCCC(Cl)(Cl)Cl KHPNGCXABLTQFJ-UHFFFAOYSA-N 0.000 description 1
- SCYULBFZEHDVBN-UHFFFAOYSA-N 1,1-Dichloroethane Chemical compound CC(Cl)Cl SCYULBFZEHDVBN-UHFFFAOYSA-N 0.000 description 1
- NMJXESYNYFVHNY-UHFFFAOYSA-N 1-(azidomethyl)-4-ethenylbenzene Chemical compound C=CC1=CC=C(CN=[N+]=[N-])C=C1 NMJXESYNYFVHNY-UHFFFAOYSA-N 0.000 description 1
- KGIGUEBEKRSTEW-UHFFFAOYSA-N 2-vinylpyridine Chemical compound C=CC1=CC=CC=N1 KGIGUEBEKRSTEW-UHFFFAOYSA-N 0.000 description 1
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- 229910052684 Cerium Inorganic materials 0.000 description 1
- KRHYYFGTRYWZRS-UHFFFAOYSA-M Fluoride anion Chemical compound [F-] KRHYYFGTRYWZRS-UHFFFAOYSA-M 0.000 description 1
- 229910004140 HfO Inorganic materials 0.000 description 1
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- 229910017855 NH 4 F Inorganic materials 0.000 description 1
- 208000034530 PLAA-associated neurodevelopmental disease Diseases 0.000 description 1
- 229920002125 Sokalan® Polymers 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- XXFXTBNFFMQVKJ-UHFFFAOYSA-N [diphenyl(trityloxy)methyl]benzene Chemical compound C=1C=CC=CC=1C(C=1C=CC=CC=1)(C=1C=CC=CC=1)OC(C=1C=CC=CC=1)(C=1C=CC=CC=1)C1=CC=CC=C1 XXFXTBNFFMQVKJ-UHFFFAOYSA-N 0.000 description 1
- 230000006978 adaptation Effects 0.000 description 1
- 229920003232 aliphatic polyester Polymers 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 239000011260 aqueous acid Substances 0.000 description 1
- 239000007864 aqueous solution Substances 0.000 description 1
- BCZWPKDRLPGFFZ-UHFFFAOYSA-N azanylidynecerium Chemical compound [Ce]#N BCZWPKDRLPGFFZ-UHFFFAOYSA-N 0.000 description 1
- CFJRGWXELQQLSA-UHFFFAOYSA-N azanylidyneniobium Chemical compound [Nb]#N CFJRGWXELQQLSA-UHFFFAOYSA-N 0.000 description 1
- 229940076134 benzene Drugs 0.000 description 1
- 238000012661 block copolymerization Methods 0.000 description 1
- 238000010504 bond cleavage reaction Methods 0.000 description 1
- CQEYYJKEWSMYFG-UHFFFAOYSA-N butyl acrylate Chemical compound CCCCOC(=O)C=C CQEYYJKEWSMYFG-UHFFFAOYSA-N 0.000 description 1
- XQPRBTXUXXVTKB-UHFFFAOYSA-M caesium iodide Inorganic materials [I-].[Cs+] XQPRBTXUXXVTKB-UHFFFAOYSA-M 0.000 description 1
- 238000005266 casting Methods 0.000 description 1
- GWXLDORMOJMVQZ-UHFFFAOYSA-N cerium Chemical compound [Ce] GWXLDORMOJMVQZ-UHFFFAOYSA-N 0.000 description 1
- 229910000420 cerium oxide Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000007334 copolymerization reaction Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 229920006037 cross link polymer Polymers 0.000 description 1
- VYQRBKCKQCRYEE-UHFFFAOYSA-N ctk1a7239 Chemical compound C12=CC=CC=C2N2CC=CC3=NC=CC1=C32 VYQRBKCKQCRYEE-UHFFFAOYSA-N 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000010494 dissociation reaction Methods 0.000 description 1
- 230000005593 dissociations Effects 0.000 description 1
- 239000002355 dual-layer Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000012634 fragment Substances 0.000 description 1
- 238000013090 high-throughput technology Methods 0.000 description 1
- XMBWDFGMSWQBCA-UHFFFAOYSA-N hydrogen iodide Chemical compound I XMBWDFGMSWQBCA-UHFFFAOYSA-N 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 239000011810 insulating material Substances 0.000 description 1
- 125000005647 linker group Chemical group 0.000 description 1
- HSZCZNFXUDYRKD-UHFFFAOYSA-M lithium iodide Inorganic materials [Li+].[I-] HSZCZNFXUDYRKD-UHFFFAOYSA-M 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229920006030 multiblock copolymer Polymers 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 229910000484 niobium oxide Inorganic materials 0.000 description 1
- URLJKFSTXLNXLG-UHFFFAOYSA-N niobium(5+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Nb+5].[Nb+5] URLJKFSTXLNXLG-UHFFFAOYSA-N 0.000 description 1
- TVMXDCGIABBOFY-UHFFFAOYSA-N octane Chemical compound CCCCCCCC TVMXDCGIABBOFY-UHFFFAOYSA-N 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- BMMGVYCKOGBVEV-UHFFFAOYSA-N oxo(oxoceriooxy)cerium Chemical compound [Ce]=O.O=[Ce]=O BMMGVYCKOGBVEV-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000005191 phase separation Methods 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 229920006254 polymer film Polymers 0.000 description 1
- 238000006116 polymerization reaction Methods 0.000 description 1
- 238000010526 radical polymerization reaction Methods 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 230000007017 scission Effects 0.000 description 1
- 238000000935 solvent evaporation Methods 0.000 description 1
- 229920001169 thermoplastic Polymers 0.000 description 1
- 239000004416 thermosoftening plastic Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 230000001052 transient effect Effects 0.000 description 1
- 230000007704 transition Effects 0.000 description 1
- 238000004627 transmission electron microscopy Methods 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000005406 washing Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C1/00—Manufacture or treatment of devices or systems in or on a substrate
- B81C1/00015—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
- B81C1/00023—Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
- B81C1/00031—Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B82—NANOTECHNOLOGY
- B82Y—SPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
- B82Y30/00—Nanotechnology for materials or surface science, e.g. nanocomposites
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/0002—Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- B—PERFORMING OPERATIONS; TRANSPORTING
- B81—MICROSTRUCTURAL TECHNOLOGY
- B81C—PROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
- B81C2201/00—Manufacture or treatment of microstructural devices or systems
- B81C2201/01—Manufacture or treatment of microstructural devices or systems in or on a substrate
- B81C2201/0101—Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
- B81C2201/0147—Film patterning
- B81C2201/0149—Forming nanoscale microstructures using auto-arranging or self-assembling material
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/70—Nanostructure
- Y10S977/778—Nanostructure within specified host or matrix material, e.g. nanocomposite films
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/70—Nanostructure
- Y10S977/778—Nanostructure within specified host or matrix material, e.g. nanocomposite films
- Y10S977/784—Electrically conducting, semi-conducting, or semi-insulating host material
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/70—Nanostructure
- Y10S977/788—Of specified organic or carbon-based composition
- Y10S977/789—Of specified organic or carbon-based composition in array format
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S977/00—Nanotechnology
- Y10S977/70—Nanostructure
- Y10S977/788—Of specified organic or carbon-based composition
- Y10S977/789—Of specified organic or carbon-based composition in array format
- Y10S977/79—Of specified organic or carbon-based composition in array format with heterogeneous nanostructures
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24058—Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24058—Structurally defined web or sheet [e.g., overall dimension, etc.] including grain, strips, or filamentary elements in respective layers or components in angular relation
- Y10T428/24124—Fibers
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24174—Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10T—TECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
- Y10T428/00—Stock material or miscellaneous articles
- Y10T428/24—Structurally defined web or sheet [e.g., overall dimension, etc.]
- Y10T428/24174—Structurally defined web or sheet [e.g., overall dimension, etc.] including sheet or component perpendicular to plane of web or sheet
- Y10T428/24182—Inward from edge of web or sheet
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Nanotechnology (AREA)
- Inorganic Chemistry (AREA)
- Analytical Chemistry (AREA)
- Composite Materials (AREA)
- Materials Engineering (AREA)
- Crystallography & Structural Chemistry (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Formation Of Insulating Films (AREA)
- Drying Of Semiconductors (AREA)
- Manufacture Of Macromolecular Shaped Articles (AREA)
- Graft Or Block Polymers (AREA)
- Micromachines (AREA)
- Semiconductor Memories (AREA)
Description
本發明之實施例係關於製作自組裝嵌段共聚物薄膜之方法及由彼等方法產生之裝置。
隨著奈米級機械、電力、化學及生物裝置及系統發展的增加,需要新的製程及材料來製作奈米級裝置及組件。隨著半導體特徵之尺寸縮小到不可容易地藉由習用微影術達成之大小,與導線進行電接觸已變成一重大挑戰。光微影處理方法在以亞30奈米級製作結構及特徵時存在困難。自組裝二嵌段共聚物之使用為以奈米尺寸進行圖案化提供另一途徑。二嵌段共聚物膜藉由構成聚合物嵌段在退火後(例如,藉由在高於該聚合物之玻璃態轉變溫度時實施熱退火或藉由溶劑退火)之微相分離自發組裝成週期性結構,從而以奈米級尺寸形成有序域。
可藉由二嵌段共聚物AB嵌段之分子量及體積分率來控制膜形態(包含經微相分離之域的大小及形狀)以主要產生片層狀、圓柱形或球形形態。舉例而言,對於二嵌段聚合物之兩種嵌段(AB)之比率大於約80:20之體積分率而言,一嵌段共聚物膜可微相分離並自組裝成週期性球形域,其中聚合物B球體由聚合物A基質包圍。對於兩種嵌段介於約60:40與80:20間之比率而言,該二嵌段共聚物於聚合物A基質內組裝成聚合物B圓柱體之週期性六角形密堆積或蜂窩狀陣列。對於介於約50:50與60:40間之比率而言,形成該等嵌段之片層狀域或交替條紋。域大小通常介於5-50nm之間。
研究者已報導藉由於狹窄凹槽內模板化球形形態嵌段共聚物以於主要嵌段基質中產生嵌段共聚物之次要嵌段之1-D球體陣列。然而,1-D球體陣列提供不良蝕刻遮罩結構,其中即使可移除該球體材料,殘餘多孔膜仍具有極小縱橫比。另外,毗鄰凹槽中之球體沿y軸偏移且不對準。此外,在半導體系統之下伏基板中形成結構之應用中需要形成觸點之元件、導線及/或其它元件(例如,DRAM電容器)呈複雜佈局。
提供製作可解決該等問題之有序奈米結構之一維陣列之膜的方法將為有用的。
參照圖式,以下描述提供本發明實施例之裝置及方法之說明性實例。此描述僅出於說明性目的而非出於限制本發明之目的。
在本申請案之上下文中,術語「半導體基板」或「半導電性基板」或「半導電性晶圓片段」或「晶圓片段」或「晶圓」應理解為意指包括半導體材料(包括但不限於體型半導電性材料)之任一構造,例如,半導體晶圓(單獨或在其上包括其它材料之組合件中)及半導電性材料層(單獨或在包括其它材料之組合件中)。術語「基板」係指任一支撐結構,包括但不限於上述半導電性基板、晶圓片段或晶圓。
如在本文中使用之「Lo
」係在退火時由自組裝(SA)嵌段共聚物自組裝之結構的固有週期性或間距值(區段週期(bulk period)或重複單元)。如在本文中使用之「LB
」係嵌段共聚物與其構成均聚物中之一者或多者之摻合物的週期性或間距值。「L」在本文中用於指示嵌段共聚物或摻合物之圓柱體之中心到中心圓柱體間距或間隔,並對於純淨嵌段共聚物而言等於「Lo
」且對於共聚物摻合物而言等於「LB
」。
在本發明之實施例中,聚合物材料(例如,膜、層)係藉由嵌段共聚物之引導式自組裝來製備,其中在空氣界面處具有兩種聚合物域。嵌段共聚物材料藉由構成聚合物嵌段在退火後之微相分離自發組裝成週期性結構,從而以奈米級尺寸形成有序域。在本發明之實施例中,垂直定向之一維(1-D)圓柱體陣列形成於溝槽內。在其它實施例中,在每一個溝槽中可形成兩列圓柱體。在自組裝後,接著可使用形成於基板上之垂直定向之圓柱體圖案作為(例如)蝕刻遮罩以供藉由選擇性地移除自組裝嵌段共聚物中之一種嵌段來在下伏基板中圖案化奈米大小之特徵。由於此方法中所涉及域大小及週期(L)係由嵌段共聚物(MW)之鏈長度確定,因此解析度可超過其它技術(例如,習用光微影術)。使用該技術之處理成本顯著低於遠紫外線(EUV)光微影術,但其具有相當的解析度。
圖1-6中圖解說明一種根據本發明一個實施例製作可界定一維(1-D)奈米級、垂直定向之圓柱體陣列之自組裝嵌段共聚物材料之方法。
所描述實施例涉及與製圖外延技術組合之圓柱態嵌段共聚物之熱退火,其利用微影界定之溝槽作為導軌,該溝槽具有:一底板,其由對兩種聚合物嵌段中性潤濕之材料組成;及側壁及端部,其對一種聚合物嵌段優先潤濕並在引導該嵌段共聚物於聚合物基質中自組裝成單列圓柱體之有序1-D陣列中起限制作用,該單列圓柱體之有序1-D陣列垂直於該溝槽底板定向並與該等溝槽側壁對齊。在某些實施例中,在每一個溝槽中可形成兩列圓柱體。
該嵌段共聚物或摻合物經構造以使得所有該等聚合物嵌段在退火期間對空氣界面會具有均等偏好。對於熱退火而言,此二嵌段共聚物主要包含(例如):聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其它PS-b-聚(丙烯酸酯)或PS-b-聚(甲基丙烯酸酯)、聚(苯乙烯)-b-聚(交酯)(PS-b-PLA)及聚(苯乙烯)-b-聚(丙烯酸第三丁基酯)(PS-b-PtBA)。雖然在所圖解說明之實施例中使用PS-b-PMMA二嵌段共聚物,但亦可使用其它類型之嵌段共聚物(亦即,三嵌段或多嵌段共聚物)。三嵌段共聚物之實例包含ABC共聚物及ABA共聚物(例如,PS-PMMA-PS及PMMA-PS-PMMA)。
嵌段共聚物之L值可(例如)藉由調整該嵌段共聚物之分子量來加以調節。嵌段共聚物材料亦可調配為二元或三元摻合物(其包括一嵌段共聚物及與該嵌段共聚物中之聚合物嵌段同類型之聚合物的一種或多種均聚物(HP)),以產生會增大聚合物域之大小並增加L值之摻合物。該等均聚物之體積分率可在0%至約60%之範圍內。三元二嵌段共聚物摻合物之一個實例係PS-b-PMMA/PS/PMMA摻合物,例如,60%的46K/21K PS-b-PMMA、20%的20K聚苯乙烯及20%的20K聚(甲基丙烯酸甲酯)。亦可在熱退火期間使用PS-PEO與約0-40%的PEO均聚物(HP)之摻合物來產生垂直圓柱體;據信,所添加PEO均聚物可至少部分地用於將PEO域之表面能降低至PS之表面能。
膜形態(包含經微相分離之域的域大小及週期(Lo
))可藉由嵌段共聚物之鏈長度(分子量,MW)及二嵌段共聚物之AB嵌段之體積分率加以控制來(主要)產生圓柱形形態。舉例而言,對於兩種嵌段比率通常介於約60:40與80:20間之體積分率而言,該二嵌段共聚物會微相分離並於聚合物A基質內自組裝成聚合物B之週期性圓柱形域。於PS基質中形成約20nm直徑圓柱形PMMA域之圓柱體形成PS-b-PMMA共聚物材料(Lo
~35nm)之一個實例係由總分子量(Mn)為67kg/mol之約70%的PS及30%的PMMA組成。
如圖1-1B中所描繪,提供基板10,其可為矽、氧化矽、氮化矽、氧氮化矽、氧碳化矽以及其它材料。如進一步描繪,導線12(或其它有效區,例如半導電區域)位於基板10內。
在所描述實施例中之任一者中,單個溝槽或多個溝槽可形成於基板中,並可橫跨線路(或其它有效區)之陣列之整個寬度。在本發明之實施例中,基板10具有間距為L之導線12(或其它有效區)之陣列。該(等)溝槽形成於有效區12(例如,線路)上方以使得在對該嵌段共聚物材料實施退火時,每一個圓柱體將位於單一有效區12(例如,導線)上方。在某些實施例中,以使每一個毗鄰溝槽18之端部24對準或以使彼此稍微偏移小於5%L之方式形成多個溝槽以使得毗鄰溝槽中之各圓柱體對準並位於相同線路12上方。
在所圖解說明之實施例中,中性潤濕材料14(例如,無規共聚物)已形成於基板10上方。材料層16(或一個或多個材料層)可接著形成於該中性潤濕材料上方並經蝕刻以形成垂直於導線12陣列定向之溝槽18,如圖2-2B中所示。材料層16之若干部分在該等溝槽之間及外部形成間隔體20。溝槽18具有下述結構:相對側壁22、相對端部24、底板26、寬度(wt
)、長度(lt
)及深度(Dt
)。
在圖3-4中所圖解說明之另一實施例中,材料層16'可形成於基板10'上,經蝕刻以形成溝槽18',且中性潤濕材料14'可接著形成於溝槽底板26'上。舉例而言,無規共聚物材料可沈積至溝槽18'中並經交聯以形成中性潤濕材料層。可隨後移除該等溝槽外部之表面上(例如,間隔體20'上)之材料(例如,未經交聯之無規共聚物)。
可使用具有能夠以L級(10-100nm)進行圖案化之暴露系統之微影工具形成單個或多個溝槽18(如圖所示)。此等暴露系統包含(例如)如此項技術中已知及使用的極短紫外光(EUV)微影術、近接X射線及電子束(e-beam)微影術。習用光微影術可獲得(最小)約58nm特徵。
亦可使用一稱為「間距加倍」或「間距增倍」之方法使光微影技術能超越其最小間距,如(例如)在美國專利第5,328,810號(Lowrey等人)、美國專利第7,115,525號(Abatchev等人)、美國專利2006/0281266(Wells)及美國專利2007/0023805(Wells)中所述。簡言之,線路圖案係以光微影方式形成於上覆光阻劑材料之可消耗材料層中,而該可消耗材料層覆蓋於基板上,該可消耗材料層經蝕刻以形成預留位或心軸,剝離該光阻劑,於該等心軸之側部上形成間隔體,且接著移除該等心軸,從而留下該等間隔體作為圖案化該基板之遮罩。因此,在初始光微影術形成界定一個特徵及一個空間之圖案時,相同寬度現界定兩個特徵及兩個空間,其中該等空間由該等間隔體界定。因此,能夠藉由光微影技術形成之最小特徵大小有效地下降至約30nm或更小。
於溝槽內形成垂直定向之奈米圓柱體之單一(1-D)陣列或層時所涉及因素包含:該溝槽之寬度(wt
)及深度(Dt
)、嵌段共聚物或摻合物之調配以達成嵌段共聚物材料之期望間距(L)及厚度(t)。
舉例而言,沈積至具有中性潤濕底板之75-nm寬溝槽中且具有35-nm間距或L值之嵌段共聚物或摻合物在退火時會產生35-nm直徑垂直圓柱體之Z字形圖案,該等垂直圓柱體在該溝槽之長度(lt
)範圍內偏移約該間距距離的一半或約0.5*L,而非單列垂直圓柱體陣列沿該溝槽之中心與側壁對準。隨著溝槽寬度(wt
)減小及/或嵌段共聚物之週期性(L值)增加,例如藉由通過添加兩種構成均聚物以形成三元摻合物,在溝槽中心存在自兩列垂直圓柱體至一列垂直圓柱體之轉變。溝槽側壁22沿x軸及y軸之邊界條件強加其中每一個溝槽皆含有「n」個數目之特徵(例如,圓柱體)之結構。
在某些實施例中,以為嵌段共聚物之約L至約1.5*L(或1.5X間距值)之寬度(wt
)構造溝槽18以使得約為L之澆注嵌段共聚物材料(或摻合物)在退火時會自組裝成單列垂直圓柱體,其中毗鄰圓柱體之中心到中心間距距離為或約為L。例如,在使用具有約50nm間距值或L之圓柱態嵌段共聚物中,溝槽18之寬度(wt
)可為約1-1.5*50nm或約50-80nm。該等溝槽之長度(lt
)為或約為nL或L之整數倍,通常在約n*10至約n*100nm之範圍內(其中,n係例如圓柱體等特徵或結構之數目)。溝槽18之深度(Dt
)大於L(Dt
>L)。毗鄰溝槽間之間隔體20之寬度可變化且通常為約L至約nL。在某些實施例中,溝槽尺寸係約20-100nm寬(wt
)及約100-25,000nm長度(lt
)約10-100nm深度(Dt
)。
現參照圖5-5B,將固有間距為或約為Lo
之自組裝、圓柱態嵌段共聚物材料28(或經摻合以具有為或約為LB
之間距之嵌段共聚物與均聚物之三元摻合物)沈積至溝槽18中,以使得所沈積嵌段共聚物在溝槽上之厚度(t1
)在退火之後通常為或約為L,且該嵌段共聚物材料會自組裝以橫跨該溝槽之寬度(wt
)形成圓柱體之單層。舉例而言,在該溝槽內之圓柱態PS-b-PMMA嵌段共聚物材料28之典型厚度(t1
)係該嵌段共聚物材料之L值的約±20%(例如,約10-100nm),以於每一個溝槽內在聚合物基質內形成直徑約為0.5*L(例如,5-50nm,或例如,約20nm)之單一列圓柱體。嵌段共聚物材料28之厚度可藉助(例如)橢圓測量技術來量測。
舉例而言,該嵌段共聚物材料可藉由旋轉澆注(旋轉塗覆)自該共聚物存於諸如二氯乙烷(CH2
Cl2
)或甲苯等有機溶劑中之稀溶液(例如,約0.25-2wt%溶液)沈積。毛細管力會將過量的嵌段共聚物材料28(例如,大於單層)拉到溝槽18中。如圖所示,嵌段共聚物材料之薄層或膜28a可沈積至在該等溝槽外部(例如,在間隔體20上)之材料層16上。在退火時,薄膜28a將流入該等溝槽中,而留下俯視可見之在材料層16上的無結構刷層。
在本實施例中,溝槽底板26經結構化為中性潤濕(對該共聚物之兩種嵌段具有均等親和力)以引導垂直於該等溝槽底板定向之圓柱形聚合物域的形成,且溝槽側壁22及端部24經結構化為受該嵌段共聚物之一種嵌段優先潤濕以在該等聚合物嵌段自組裝時引導該等圓柱體與該等側壁對齊。因應於溝槽表面之潤濕性質,在退火時,圓柱態嵌段共聚物之較佳或次要嵌段會自組裝以在該溝槽之長度範圍內於聚合物基質之中心處形成單一列圓柱形域並與該溝槽之側壁及邊緣分開以形成薄界面或潤濕層,如圖6-6B中所描繪。熵力促使中性潤濕表面受到兩種嵌段潤濕,且熵力促使優先潤濕表面受到較佳嵌段(例如,次要嵌段)潤濕。
為了(例如)在使用PS-b-PMMA嵌段共聚物時提供優先潤濕表面,材料層16可由如下材料組成:矽(及自生氧化物)、氧化物(例如,氧化矽、SiOx
)、氮化矽、氧碳化矽、氧化銦錫(ITO)、氧氮化矽、及抗蝕劑材料(例如,以甲基丙烯酸酯為主之抗蝕劑及聚二甲基戊二醯亞胺抗蝕劑)以及其它材料,該材料層16展示對PMMA嵌段之優先潤濕。在使用PS-PMMA圓柱體態嵌段共聚物材料時,該共聚物材料會自組裝以於PS基質中形成薄界面層及PMMA之圓柱體。
在其它實施例中,優先潤濕材料(例如,經含-OH部分(例如,甲基丙烯酸羥乙基酯)改性之聚甲基丙烯酸甲酯(PMMA)聚合物)可(例如)藉助旋轉塗覆並接著加熱(例如,至約170℃)來施加至該等溝槽表面上以使端OH基團末端接枝至該等溝槽之氧化物側壁22及端部24。未接枝之材料可藉由用適當的溶劑(例如,甲苯)沖洗來移除。例如,參見Mansky等人之Science,1997,275,1458-1460及In等人之Langmuir,2006,22,7855-7860。
中性潤濕溝槽底板26可使該共聚物材料之兩種嵌段潤濕該溝槽之底板。可藉由如下步驟提供中性潤濕材料14:將中性潤濕聚合物(例如,中性潤濕無規共聚物)施加至基板10上、形成材料層16並接著蝕刻該等溝槽以曝露下伏中性潤濕材料,如圖2-2B中所圖解說明。
在圖3-4中所圖解說明之另一實施例中,中性潤濕無規共聚物材料可在形成溝槽18'後藉由澆注或旋轉塗覆至該等溝槽中而(例如)作為毯層施加,如圖4中所描繪。該無規共聚物材料可接著經熱處理以使該材料藉由毛細管作用流入該等溝槽之底部,此產生由經交聯之中性潤濕無規共聚物組成之層(墊)14'。在另一實施例中,該等溝槽內之無規共聚物材料可曝光(例如,經由遮罩或光罩)以使該無規共聚物在該等溝槽內交聯從而形成中性潤濕材料14'。隨後可移除該等溝槽外部(例如,在間隔體20'上)未經交聯之無規共聚物材料。
中性潤濕表面可藉由施加由與存於嵌段共聚物中者相同之單體組成之無規共聚物來以特定方式加以製備且經修整以使得每一單體之莫耳分率適合形成中性潤濕表面。舉例而言,在使用聚(苯乙烯-嵌段-甲基丙烯酸甲酯)嵌段共聚物(PS-b-PMMA)時,中性潤濕材料14可自光可交聯之無規PS:PMMA共聚物(PS-r-PMMA)之薄膜形成,其展示對PS及PMMA之非優先或中性潤濕(例如,含有約0.6莫耳分率之苯乙烯之PS-PMMA無規共聚物),該中性潤濕材料可澆注至基板10上(例如,藉助旋轉塗覆)。該無規共聚物材料可藉由化學接枝(於氧化物基板上)或藉由熱或光交聯(任一表面)而固定在適當位置以形成對PS及PMMA中性潤濕之墊且當將嵌段共聚物材料澆注至其上時因該交聯而不溶。
在另一實施例中,聚苯乙烯(PS)、具有羥基基團之聚甲基丙烯酸酯(PMMA)(例如,甲基丙烯酸2-羥乙基酯)之中性潤濕無規共聚物(P(S-r-MMA-r-HEMA))(例如,約58%之PS)可藉由在約160℃下加熱約48小時而作為約5-10nm厚之中性潤濕層14選擇性地接枝至基板10(例如,氧化物)。例如,參見In等人之Langmuir,2006,22,7855-7860。
對PS-b-PMMA中性潤濕之表面亦可藉由旋轉塗覆諸如苯乙烯及甲基丙烯酸甲酯之苯并環丁烯-或疊氮基甲基苯乙烯-官能化之無規共聚物(例如,聚(苯乙烯-r-苯并環丁烯-r-甲基丙烯酸甲酯(PS-r-PMMA-r-BCB))等光或熱可交聯無規共聚物之毯層來製備。舉例而言,此無規共聚物可包括約42%的PMMA、約(58-x)%的PS及x%(例如,約2-3%)的聚苯并環丁烯或聚(對-疊氮基甲基苯乙烯))。疊氮基甲基苯乙烯-官能化之無規共聚物可經UV光交聯(例如,1-5MW/cm^2暴露約15秒至約30分鐘)或經熱交聯(例如,在約170℃下交聯約4小時)以形成作為中性潤濕層14之交聯聚合物墊。苯并環丁烯-官能化之無規共聚物可經熱交聯(例如,在約200℃下交聯約4小時或在約250℃下交聯約10分鐘)。
在其中基板10為矽(及自生氧化物)之另一實施例,可藉由氫端接矽來提供PS-b-PMMA之另一中性潤濕表面。可(例如)藉助氫電漿來蝕刻溝槽18之底板26以移除氧化物材料並形成氫端接矽,該氫端接矽係對嵌段共聚物材料之兩種嵌段具有均等親和力之中性潤濕材料。可藉助習用製程製備氫端接矽,例如,藉由暴露於氟化氫(HF)及緩衝HF或氟化銨(NH4
F)之水溶液對矽基板(存在自生氧化物,約12-15)實施氟離子蝕刻,藉由HF蒸氣處理或藉由氫電漿處理(例如,原子氫)。氫端接矽基板可進一步藉由將無規共聚物(例如,PS-r-PMMA)選擇性地接枝至基板上以產生中性潤濕表面來加以處理,例如,藉由使用可將該聚合物連接至該表面之二烯連接基團(例如,二乙烯基苯)對苯乙烯與甲基丙烯酸甲酯實施原位自由基聚合以產生約10-15nm厚之膜來加以處理。
在又一實施例中,可藉由接枝基於三氯矽烷之SAM之自組裝單層(SAM)(例如,接枝至氧化物(例如,SiO2
)之3-(對-甲氧基苯基)丙基三氯矽烷)來提供PS-b-PMMA及PS-b-PEO之中性潤濕表面,例如,如由D.H. Park在Nanotechnolog 18(2007),第355304頁中所述。
在本實施例中,嵌段共聚物材料28接著經熱退火(箭頭↓)以使該等聚合物嵌段根據溝槽表面之優先及中性潤濕而發生相分離並自組裝以形成自組裝聚合物材料30,如圖6-6B中所圖解說明。熱退火可在高於該共聚物材料之組成嵌段之玻璃態轉變溫度之溫度下進行。舉例而言,可在約180-230℃溫度下於真空爐中對PS-b-PMMA共聚物材料實施全面退火達約1-24小時以達成自組裝形態。舉例而言,可使用原子力顯微鏡(AFM)、透射電子顯微鏡(TEM)、掃描電子顯微鏡(SEM)來檢查經退火共聚物材料30之最終形態(例如,垂直定向之圓柱體)。
在其它實施例中,並非對嵌段共聚物材料實施全面加熱,而是可對基板10上之共聚物材料28之部分或區段施加區帶或局部熱退火。舉例而言,可橫跨位於基板上方或下方之熱至冷溫度梯度32(圖6A)移動該基板(或熱源可相對於該基板移動,例如,如箭頭→所指)以使得該嵌段共聚物材料在經過該熱源後冷卻時自組裝。只有加熱至高於該等組成聚合物嵌段之玻璃態轉變溫度之彼等嵌段共聚物材料部分會自組裝,且未經充分加熱之材料區保持無序且沒有自組裝。橫跨基板「拉動」該經加熱區帶相對於全面熱退火可更快速地處理並產生更佳有序結構。
在退火時,圓柱態嵌段共聚物材料28會於一聚合物嵌段(例如,PS)之聚合物基質36內自組裝成由另一聚合物嵌段(例如,PMMA)之垂直定向之圓柱體34組成之聚合物材料30(例如,膜)。藉由溝槽18之寬度(wt
)及嵌段共聚物組成(例如,固有間距為或約為L之PS-b-PMMA)之特徵與對兩種聚合物嵌段(例如,無規接枝共聚物)展示中性或非優先潤濕之溝槽底板26及受該嵌段共聚物之次要或較佳嵌段(例如,PMMA嵌段)優先潤濕之側壁22共同提供之約束在主要聚合物嵌段(例如,PS)之基質36內產生次要聚合物嵌段(例如,PMMA)之單列(1-D陣列)垂直定向之圓柱形域34,該等圓柱形域34對齊並平行於溝槽之側壁22。圓柱體34之直徑通常會為兩圓柱體間之中心到中心距離的約一半。在退火時,次要嵌段之層與溝槽之側壁22及端部24分開並潤濕該等溝槽之側壁22及端部24以形成薄潤濕層34a,其中層34a之厚度通常為兩毗鄰圓柱體34間之中心到中心距離的約四分之一。舉例而言,PMMA域之層會潤濕氧化物界面,所附著PS域因此自該氧化物材料分離。
在某些實施例中,自組裝嵌段共聚物材料30係藉由圓柱形域(圓柱體)34之陣列來界定,每一圓柱體之直徑為或約為0.5*L,其中該列中圓柱體之數目(n)取決於溝槽之長度,且每兩個圓柱體間之中心到中心距離(間距距離,p)為或約為L。
視情況,在該嵌段共聚物材料退火且排序後,該共聚物材料可經處理以使各聚合物段(例如,PS段)交聯從而固定並增強該等自組裝聚合物嵌段之強度。該等聚合物可結構化為內在交聯(例如,在暴露於紫外線(UV)輻射時,包含深紫外線(DUV)輻射)或該共聚物材料之一種聚合物嵌段可經調配以含有交聯劑。
一般而言,該等溝槽外部之膜28a將具有不足以導致自組裝之厚度。視情況,可移除在該等溝槽外部(例如,在間隔體20上)之嵌段共聚物材料之未經結構化薄膜28a,如圖6-6B中所圖解說明。舉例而言,可經由光罩(未顯示)選擇性地暴露該等溝槽區域以僅使溝槽18內之經退火且自組裝聚合物材料30交聯,且接著可用適當的溶劑(例如,甲苯)實施洗滌以移除嵌段共聚物材料28a之未經交聯部分(例如,在間隔體20上),從而在該溝槽內留下對齊之自組裝聚合物材料並暴露在該等溝槽上方/外部之材料層16之表面。在另一實施例中,可對經退火聚合物材料30實施全面交聯,可施加光阻劑材料來圖案化並暴露在該等溝槽區域外部之聚合物材料28a區,且可(例如)藉由氧氣(O2
)電漿處理來移除聚合物材料28a之暴露部分。
所施加自組裝聚合物材料30係作為蝕刻遮罩以在基板10中形成開口。舉例而言,如在圖7-7B中所圖解說明,在一個實施例中,可選擇性地移除自組裝聚合物材料30之圓柱形聚合物域34,產生具有暴露溝槽底板之開口40之聚合物基質36。舉例而言,可藉由UV暴露/乙酸顯影或藉由選擇性反應離子蝕刻(RIE)來選擇性地移除PMMA域。接著可使用殘餘多孔聚合物(例如,PS)基質36作為遮罩以使用(例如)選擇性反應離子蝕刻(RIE)製程如圖8-8B中所描繪來蝕刻(箭頭↓↓)一系列開口或導線12之接觸孔42、半導電區域或下伏基板10(或底層)之其它有效區。
接著可視需要而實施進一步處理。舉例而言,如圖9-9B中所描繪,可移除殘餘基質36並用材料44(例如,金屬或金屬合金,尤其是例如Cu、Al、W、Si及Ti3
N4
)填充基板開口42以形成導線12之圓柱形觸點陣列。亦可用金屬-絕緣體-金屬堆來填充該基板中之圓柱形開口42以形成具有絕緣材料(例如,SiO2
、Al2
O3
、HfO2
、ZrO2
、SrTiO3
及諸如此類)之電容器。
本發明之方法之另一實施例聯合利用溶劑退火與製圖外延技術來引導圓柱態嵌段共聚物材料在溝槽內之排序及對齊(如圖10-15中所描繪)以於聚合物基質中形成單列垂直定向之圓柱體1-D陣列。
該二嵌段共聚物經構造以使得兩種聚合物嵌段在溶劑退火期間皆會潤濕空氣界面。二嵌段共聚物之實例包含聚(苯乙烯)-b-聚(氧化乙烯)(PS-b-PEO);具有可解離連接點之PS-b-PEO嵌段共聚物,例如,在PS與PEO嵌段間之三苯甲基(trityl)醚連接基團(視情況與低濃度(例如,約1%)之諸如KCl、KI、LiCl、LiI、CsCl或CsI等鹽錯合(Zhang等人,Adv. Mater. 2007,19,1571-1576));摻雜有經PEO塗覆之金奈米粒子之PS-b-PMMA嵌段共聚物,該等金奈米粒子之大小係小於自組裝圓柱體直徑(Park等人,Macromolecules,2007,40(11),8119-8124);聚(苯乙烯)-b-聚(甲基丙烯酸甲酯)(PS-b-PMMA)或其它PS-b-聚(丙烯酸酯)或PS-b-聚(甲基丙烯酸酯)、聚(苯乙烯)-b-聚(交酯)(PS-b-PLA)、聚(苯乙烯)-b-聚(乙烯基吡啶)(PS-b-PVP)、聚(苯乙烯)-b-聚(丙烯酸第三丁基酯)(PS-b-PtBA)、及聚(苯乙烯)-b-聚(乙烯-共-丁烯(PS-b-(PS-co-PB))。三嵌段共聚物之實例包含諸如聚(苯乙烯-b-甲基丙烯酸甲酯-b-氧化乙烯)(PS-b-PMMA-b-PEO)等ABC聚合物及諸如PS-b-PI-b-PS等ABA共聚物。
該利用溶劑退火之實施例可消除中性潤濕材料於溝槽底板上之形成,此可減少處理步驟之數目。另外,每一溝槽表面(例如,側壁22"、端部24"、底板26")皆經結構化以受PS-b-PEO嵌段共聚物材料之次要嵌段(例如,PEO)優先潤濕。
溝槽18"亦可經結構化以具有約1-1.5*L或該嵌段共聚物材料之間距值之1至倍的寬度(wt
)。舉例而言,對於L值為約50nm之圓柱態PS-b-PEO共聚物而言,該溝槽經構造以具有約50nm之寬度(wt
)。該等溝槽之深度(Dt
)可為或約為L。
參照圖10-10B,顯示具有導線12"(或其它有效區)之基板10"及其中溝槽18"已經蝕刻之上覆材料層16"。基板10"及界定溝槽表面之材料層16"可為固有地優先潤濕一種聚合物嵌段之材料,或在其它實施例中,可在該等溝槽之表面上施加優先潤濕材料。舉例而言,在使用PS-b-PEO嵌段共聚物時,基板10"及材料層16"可由如下材料形成:矽(及自生氧化物)、氧化物(例如,氧化矽、SiOx
)、氮化矽、氧碳化矽、氧化銦錫(ITO)、氧氮化矽、及抗蝕劑材料(諸如以甲基丙烯酸酯為主之抗蝕劑)以及其它材料,材料層16"展示對PEO嵌段之優先潤濕。在使用PS-PEO圓柱體態嵌段共聚物材料時,該共聚物材料會自組裝以於PS基質中形成PEO之圓柱體及在溝槽之側壁22"及端部24"上形成薄界面刷層或潤濕層。
固有間距為或約為L之圓柱態PS-b-PEO嵌段共聚物材料28"(或與均聚物之摻合物)可沈積至溝槽18"中,如圖11-11B中所示。當使用溶劑退火時,沈積至溝槽中之嵌段共聚物材料之厚度(t1
)可為約該材料之L值或更大,例如,多達約該L值之1000%。
PS-b-PEO二嵌段共聚物之兩種嵌段(AB)之體積分率通常為約60:40及80:20之比率,以使得該嵌段共聚物會於聚合物A(亦即,PS)之基質內微相分離並自組裝成聚合物B(亦即,PEO)之圓柱形域。於PS之基質中形成約25nm直徑圓柱形PEO域之圓柱體形成PS-b-PEO共聚物材料(L=50nm)之實例係由總分子量(Mn)約為75kg/mol之約70%的PS及30%的PEO組成。雖然在該說明性實施例中使用二嵌段共聚物,但亦可使用三嵌段或多嵌段共聚物。
PS-b-PEO嵌段共聚物材料亦可調配為包括PS-b-PEO嵌段共聚物及一種或多種均聚物(亦即,聚苯乙烯(PS)及聚氧化乙烯(PEO)之二元或三元摻合物以產生增大聚合物域之大小並增加聚合物之L值的摻合物。該等均聚物之體積分率可在自0%至約40%之範圍內。三元二嵌段共聚物摻合物之實例係PS-b-PEO/PS/PEO摻合物。該聚合物之L值亦可藉由調整該嵌段共聚物之分子量來加以調節。
接著對PS-b-PEO嵌段共聚物材料28"實施溶劑退火(箭頭↓)以形成自組裝聚合物材料30",如圖12-12B中所圖解說明。
在溶劑退火中,該嵌段共聚物材料因暴露於對兩種嵌段皆「良好的」溶劑(例如,苯、氯仿或氯仿/辛烷混合物)之蒸氣中而膨脹。將嵌段共聚物材料28"暴露於溶劑蒸氣以使該材料之兩種聚合物嵌段(PS,PEO)緩慢地膨脹。接著使該(等)溶劑蒸氣緩慢地自該膨脹聚合物材料擴散出並蒸發。該溶劑飽和蒸氣提供與共聚物材料28"之中性空氣界面46",此可貫穿該共聚物材料引導垂直特徵之形成。溶劑蒸發所形成之梯度可達成自組裝並形成始於空氣表面界面46"並向下達到溝槽18"之底板26"之結構,其中垂直定向之圓柱形域34"之形成係由溝槽側壁22"引導並自空氣界面46"完全延伸至基板表面(溝槽底板26")。在某些實施例中,可在水於膜上凝聚時在高濕度(例如,約70-85%)中實施溶劑退火,該膜會在溶劑(例如,苯)蒸發時冷卻。
由溝槽18"之寬度(wt
)及嵌段共聚物組成28"之特徵、優先潤濕側壁22"及端部24"以及溶劑退火共同提供之約束於主要聚合物嵌段(例如,PS)之基質36"內產生次要聚合物嵌段(例如,PEO)之單列垂直定向之一維(1-D)圓柱形域34"陣列,其中該次要嵌段與溝槽之側壁22"分開以形成潤濕層34a",其厚度通常係約毗鄰圓柱體34"之中心到中心距離的四分之一。在某些實施例中,該等圓柱體之直徑為或約為0.5*L(例如,約為兩圓柱體間之中心到中心距離的一半),該列中之圓柱體數目(n)取決於溝槽之長度(lt
),且兩圓柱體域間之中心到中心距離(間距距離,p)為或約為L。
視情況,經退火及有序聚合物材料30"可經處理以使各聚合物段交聯(例如,PS基質36")。接著可視情況移除在該等溝槽外部之嵌段共聚物材料之未經結構化薄膜28a",如圖12-12B中所示。
如圖13-13B中所描繪,自組裝聚合物材料30"(視情況交聯)接著可經處理以形成(例如)用於藉由選擇性地移除一種聚合物域(例如,PS或PEO)而於基板或下伏材料層中蝕刻開口之蝕刻遮罩。舉例而言,可選擇性地移除水溶性PEO圓柱形域34"以於PS材料層36"中產生開口40",PS材料層36"可用作(例如)微影模板或遮罩來在半導體處理期間以奈米大小範圍(亦即,約10-100nm)於下伏基板10"(圖14-14B)中蝕刻開口42"。可(例如)藉由將自組裝嵌段共聚物材料30"(視情況交聯)暴露於氫碘酸水溶液或單獨暴露於水來實施PEO相域34"之移除,此會將PEO拉動至表面,而不會解離與PS域之鍵結。在其中PS-b-PEO嵌段共聚物包含定位於聚合物嵌段間之酸可解離連接基團(例如,三苯甲醇連接基團)之實施例中,可將經交聯之聚合物材料30"暴露於酸水溶液(例如,三氟乙酸水溶液)或暴露於酸蒸氣來將該聚合物解離成PEO及PS片段(S. Yurt等人,"Scission of Diblock Copolymers into Their Constituent Blocks,"Macromolecules 2006,39,1670-1672)。接著可用水沖洗以移除解離之PEO域34"。在其它實施例中,暴露於水以將該等PEO域拉動至表面,繼而亦可實施短暫氧(O2
)電漿蝕刻以移除該等PEO域。
如圖15-15B中所示,接著可移除殘餘聚合物基質36"且可用合意的材料44"填充已形成於基板中之開口42"。
本發明之方法之另一實施例利用熱退火結合包括聚交酯(或聚乳酸)之圓柱態嵌段共聚物材料及製圖外延法來於聚合物基質中形成單列垂直定向之1-D圓柱體陣列。聚交酯嵌段共聚物材料之實例包含聚(苯乙烯)-b-聚(交酯)(或聚(乳酸))(PS-b-PLA)。
所描述之實施例可免除於溝槽底板上形成中性潤濕材料,因此可減少處理步驟之數目。該實施例亦利用熱退火製程,此可提供較利用溶劑退火更快速的處理。另外,使用聚乳酸(PLA)、生物可降解熱塑性脂族聚酯可使PLA域較為容易地顯影及移除以透過聚合物基質(例如,PS等等)形成圓柱形空隙。使用對次要嵌段(例如,PS-b-PLA共聚物材料之PLA嵌段)優先潤濕之相同或高度類似材料來結構化溝槽表面(例如,側壁、端部、底板)。
亦可參照圖10-15描述本實施例。參照圖10-10B,可由對PLA嵌段固有地優先潤濕之材料形成基板10"及材料層16",或在其它實施例中,可將優先潤濕材料施加至溝槽18"之表面上,且使用相同或十分類似材料來界定該等溝槽之側壁22"、端部24"及底板26"。舉例而言,對PS-b-PLA嵌段共聚物之PLA嵌段優先潤濕之材料主要包含氧化物(例如,氧化矽、SiOx
)、矽(及自生氧化物)、氮化矽、氧碳化矽、氧化銦錫(ITO)、氧氮化矽及抗蝕劑材料(例如,以甲基丙烯酸酯為主之抗蝕劑)。
在本實施例中,溝槽18"經結構化以具有為該PS-b-PLA共聚物材料之約1.5*L值之寬度(wt
)、為或約為nLo
(其中,n=圓柱體數目)之長度(lt
)及大於L之深度(Dt
)(Dt
>L)以使得澆注至該溝槽中之厚度約為該共聚物材料之固有L值的圓柱態嵌段共聚物(或摻合物)會在退火時在該溝槽之長度(lt
)範圍內自組裝成n個圓柱體之單一層,該等圓柱體之直徑為或約為0.5*L,且兩毗鄰圓柱體之中心到中心距離(p)為或約為L。
固有間距為或約為L之圓柱態PS-b-PLA嵌段共聚物材料28"(或三嵌段或多嵌段共聚物或與均聚物之摻合物)可沈積至溝槽18"中,如圖11-11B中所示。舉例而言,PS-b-PLA共聚物材料(L=49nm)可由總分子量(Mn
)約為60.5kg/mol之約71%的PS及29%的PLA組成以於PS之基質中形成約27nm直徑圓柱形PLA域。
在將共聚物材料28"澆注至溝槽18"中時,兩種聚合物嵌段(例如,PLA及PS)往往會均等地潤濕空氣界面46",且次要(例如,PLA)嵌段會優先潤濕該溝槽之表面22"、24"、26"以於每一溝槽表面上形成薄潤濕層34a",如圖12-12B中所圖解說明。現翻向圖16-16B,在本實施例中,潤濕層34a'''係由PLA 48a'''與PS 48b'''形成之雙層。該潤濕層之PS 48b'''部分(以虛線---描繪)與總體PS基質36'''保持連續,如圖所示。
嵌段共聚物材料28'''之熱退火結合由溝槽18'''之寬度(wt
)、優先潤濕溝槽表面22'''、24'''、26'''及嵌段共聚物之組成所提供約束可使次要聚合物嵌段(例如,PLA嵌段)自組裝以於主要聚合物嵌段(例如,PS)之基質36'''內形成單列垂直定向之圓柱形域34''',其中PLA 48a'''/PS 48b'''雙層沿溝槽表面22'''、24'''、26'''方向。在某些實施例中,嵌段共聚物材料28'''可經如先前所述「局部退火」。如圖16A-16B中所示,PLA圓柱形域34'''自空氣界面46'''至由PLA/PS雙層48a'''/48b'''組成之潤濕層34a'''延伸,於溝槽底板26'''處上覆基板10'''之表面。與PLA層48a'''共價鍵結之PS層48b'''與PS嵌段(基質26''')接觸,而該PS嵌段又與PLA圓柱體域34'''共價鍵結。
經退火聚合物材料30'''之聚合物段(例如,PS基質36''')可視情況交聯,且接著可視情況移除溝槽外部之表面上之未經結構化聚合物材料28a''',如圖16-16B中所描繪。
接著可按需要進一步處理聚合物材料30'''(例如)以形成用以於基板10'''中蝕刻開口42'之遮罩。舉例而言,如圖17-17B中所圖解說明,可(例如)藉助UV暴露及乙酸沖洗或含有氫氧化鈉之甲醇混合物水溶液選擇性地移除PLA圓柱體34'''以形成穿過PS基質延伸之圓柱形開口40'''。由於PLA/PS雙層48a'''、48b'''上覆溝槽底板,故開口40'''並非一直延伸至基板10'''表面溝槽底板26'''處。如圖18-18B中所描繪,可(例如)實施RIE蝕刻製程(箭頭↓)以移除雙層材料並暴露溝槽底板26'''及在開口40'''內之基板10'''。該RIE蝕刻可使基質(遮罩)36'''變薄,如圖所示,但未到達顯著程度。
現參照圖14-14B,接著可使用基質30"作為遮罩來於基板中蝕刻圓柱形開口42",開口42"向下到達有效區(例如,導線12")或到達半導電區域等等。隨後可移除蝕刻遮罩36"之殘餘部分並可按需要填充開口42",如參照圖15-15B所述。
在另一實施例中,該等溝槽經構造以具有約為該嵌段共聚物之1.75-2.5 *L之寬度(wt
)以便於在退火時約為L之嵌段共聚物材料或摻合物會自組裝成兩列垂直圓柱體,其中各圓柱體皆偏移以形成Z字形圖案,且兩毗鄰圓柱體間之中心到中心間距距離為或約為L之一半(~0.5*L)。舉例而言,參照圖19-19B,在使用L(間距)值為約40nm之圓柱體形成嵌段共聚物材料或或摻合物時,溝槽18可經構造以具有約70-100nm寬之寬度(wt
)(或根據1+((3的平方根)/2)*L來計算)。該溝槽之長度(lt
)可為或約為[1+0.5(n-1)]*L,其中n等於該溝槽中圓柱體之數目。對於採用嵌段共聚物熱退火之實施例(例如,圖2-8)而言,溝槽18""之深度(Dt
)可大於L(Dt
>L);或對於利用溶劑退火製程之實施例(例如,圖11-14)而言,該深度(Dt
)可為為或約為L(Dt
~L)。
視情況,可按照圖20中之虛線50所描繪使端部24""具有一角度或斜角。溝槽18""之尺寸可(例如)係約70-100nm寬(wt
)、約100-25,000nm長(lt
)及約40-200nm深(Dt
)。
上述圓柱態嵌段共聚物中之任一者(例如,PS-b-PMMA、PS-b-PEO、PS-b-PLA等等)可沈積於溝槽18""內,並按先前所述實施熱退火或溶劑退火。
製作溝槽18""以使其於側壁22""、端部24""及溝槽底板26""上具有適當的中性或優先潤濕表面以在退火時促使嵌段共聚物自組裝成垂直定向之圓柱體34"",如圖20-20B中所描繪。所得圓柱體34""係以平行於側壁22""之兩列交錯佈置形成,其中一列內之毗鄰圓柱體34""之中心到中心間距距離(p)為或約為0.5*L。圖20B圖解說明兩列圓柱體相對於下伏線12""之示意性橫截面正視圖。該自組裝聚合物膜接著可經處理以藉由移除圓柱體域34""(例如,PMMA),在下伏基板10上留下具有圓柱形開口40之聚合物基質36(例如,PS)而形成遮罩(圖21-21B),基質36接著可經蝕刻以形成開口42(以虛幻線顯示)來「隱埋」有效區(例如,線路12)且開口42接著可用合意的材料44(例如,金屬)填充(圖22-22B)以形成(例如)通至下伏線路12之觸點。在某些實施例中,導線12之特徵大小係小於圓柱體34之直徑(例如,約50%)以使得圓柱體34""之直徑變化及隨後所形成圓柱形開口42""避免可因毗鄰圓柱體之直徑重疊而產生的電短路。
在兩列圓柱體呈偏移佈置之本實施例中,對於既定嵌段共聚物間距L而言,可在基板中蝕刻接觸開口42""直至較利用單一列圓柱體之實施例(例如,圖6)中者更為密集的隱埋線12""陣列。當觸點44""偏移時,每一觸點44""可連接至單一導線12""以個別地定址該等導線。
該揭示內容之方法提供一種於聚合物基質中產生由垂直定向之圓柱體組成之自組裝二嵌段共聚物膜之手段。與電子束微影術、EUV光微影術或習用光微影術相比,該等方法可以更低成本製備奈米級有序及對齊元件。藉由此發明所產生及可獲得之特徵大小並不能藉由習用光微影術容易地加以製備。所描述方法及系統可容易地加以應用並倂入現有半導體製造法流程中且提供用於製作小結構之低成本、高通量技術。
雖然本文中已圖解說明及描述了若干具體實施例,但熟習此項技術者將瞭解,經計算以達成相同目的之任一佈置可替換該等所示具體實施例。此申請案意欲涵蓋根據所闡述本發明原則運作之任何改動或變化。因此,此發明意欲僅受申請專利範圍及其等效內容的限制。本申請案中所引用專利揭示內容、參考文獻及出版物皆以引用方式倂入本文中。
10...基板
10'...基板
10"...基板
10'''...基板
10""...下伏基板
12...導線
12"...導線
12""...下伏線路
14...中性潤濕材料
14'...中性潤濕材料
16...材料層
16'...材料層
16"...上覆材料層
18...溝槽
18'...溝槽
18"...溝槽
18""...溝槽
20...間隔體
20'...間隔體
22...側壁
22"...側壁
22'''...溝槽表面
22""...側壁
24...端部
24"...端部
24'''...溝槽表面
24""...端部
26...底板
26'...底板
26"...底板
26'''...溝槽表面
26""...溝槽底板
28...自組裝、圓柱態嵌段共聚物材料
28"...圓柱態PS-b-PEO嵌段共聚物材料
28a...薄層或膜
28a"...未經結構化薄膜
30...自組裝聚合物材料
30"...聚合物材料
32...熱至冷溫度梯度
34...垂直定向之圓柱體
34"...垂直定向之圓柱形域
34'''...垂直定向之圓柱形域
34""...圓柱體
34a...薄潤濕層
34a"...潤濕層
34a'''...潤濕層
36...聚合物基質
36"...聚合物基質
36'''...基質
36""...聚合物基質
40...開口
40"...開口
40'''...圓柱形形狀之開口
40""...圓柱形開口
42...接觸孔
42"...開口
42""...開口
44...材料
44"...合意的材料
44""...合意的材料
46"...空氣界面
46'''...空氣界面
48a'''...PLA層
48b'''...PS層
上文參照以下隨附圖式闡述本發明之實施例,該等圖式僅出於說明性目的。貫穿以下視圖,在該等圖式中將使用參考數字,且在各視圖及描述中將使用相同參考數字來指示相同或類似部件。
圖1圖解說明基板之一部分在本揭示內容一個實施例之初步處理階段的圖示性俯視平面圖,其顯示其上具有中性潤濕材料之基板。圖1A-1B係圖1中所描繪基板分別沿線1A-1A及1B-1B提取之橫截面正視圖。
圖2圖解說明圖1之基板在後續階段之圖示性俯視平面圖,其顯示溝槽在形成於該中性潤濕材料上之材料層中的形成。圖2A-2B圖解說明圖2中所描繪基板之一部分分別沿線2A-2A及2B-2B提取之橫截正視面圖。
圖3根據圖解說明基板之一部分在本揭示內容另一實施例之初步處理階段的側視圖,其顯示在形成於該基板上之材料層中具有溝槽之基板。圖4圖解說明圖3之基板在後續階段之側視圖,其顯示中性潤濕材料於溝槽內之形成。
圖5-6係圖2之基板根據本揭示內容一個實施例在溝槽內於聚合物基質中製作包含單列垂直定向圓柱體的自組裝嵌段共聚物膜之後續階段的圖示性俯視平面圖。圖5A-6A圖解說明圖5-6中所描繪基板之一部分分別沿線5A-5A及6A-6A提取之橫截面正視圖。圖5B-6B係圖5-6中所描繪基板分別沿線5B-5B及6B-6B提取之橫截面圖。
圖7-9係圖6之基板在後續階段之俯視平面圖,其圖解說明在移除該等聚合物嵌段中之一者後使用自組裝嵌段共聚物膜作為遮罩來蝕刻該基板並填充經蝕刻開口之一個實施例。圖7A-9A圖解說明圖7-9中所描繪基板之一部分分別沿線7A-7A至9A-9A提取之橫截面正視圖。圖7B-9B係圖7-9中所描繪基板分別沿線7B-7B至9B-9B提取之橫截面圖。
圖10係基板之一部分在本揭示內容另一實施例之初步處理階段的圖示性俯視平面圖,其顯示在暴露該基板時材料層中之溝槽。圖10A-10B係圖10中所描繪基板分別沿線10A-10A及10B-10B提取之橫截面正視圖。
圖11-12係圖10之基板在於材料層之溝槽內製作自組裝嵌段共聚物膜之後續階段的圖示性俯視平面圖。圖11A-12A圖解說明圖11-12中所描繪基板之一部分分別沿線11A-11A及12A-12A提取之橫截面正視圖。圖11B-12B係圖11-12中所描繪基板分別沿線11B-11B及12B-12B提取之橫截面圖。
圖13-15係圖12之基板在後續階段之俯視平面圖,其圖解說明在根據該揭示內容一個實施例移除該等聚合物嵌段中之一者後使用該自組裝嵌段共聚物膜作為遮罩來蝕刻該基板並填充所蝕刻開口。圖13A-15A圖解說明圖13-15中所描繪基板之一部分分別沿線13A-13A至15A-15A提取之橫截面正視圖。圖13B-15B係圖13-15中所描繪基板分別沿線13B-13B至15B-15B提取之橫截面圖。
圖16係根據本發明之另一實施例圖12之基板之一部分的俯視平面圖,其顯示沿溝槽表面之雙層潤濕層。圖16A-16B係圖16中所描繪基板分別沿線16A-16A及16B-16B提取之橫截面正視圖。
圖17-18係圖16之基板在後續階段之俯視平面圖,其顯示根據本發明之另一實施例移除該等聚合物域中之一者以在該基板中形成開口。圖17A-18A圖解說明圖17-18中所描繪基板之一部分分別沿線17A-17A至18A-18A提取之橫截面正視圖。圖17B-18B係圖17-18中所描繪基板分別沿線17B-17B至18B-18B提取之橫截面圖。
圖19-22圖解說明用於在單個溝槽中形成兩列圓柱體之本發明另一實施例。圖19圖解說明基板之一部分在初步處理階段之俯視平面圖,其顯示在材料層中之溝槽。圖19A-19B係圖19中所描繪基板之一部分分別沿線19A-19A及19B-19B提取之橫截面正視圖。
圖20係圖19之基板在根據本發明一個實施例於溝槽內製作自組裝圓柱態嵌段共聚物材料之後續階段的俯視平面圖。圖21係圖20之基板在移除該嵌段共聚物材料之圓柱形域後之後續階段的俯視平面圖。圖22係圖21在蝕刻該基板並填充經蝕刻開口後之俯視平面圖。圖20A-22A係圖20-22之基板分別沿線20A-20A、21A-21A及22A-22A提取之橫截面正視圖。圖20B-22B係圖20-22之基板分別沿線20B-20B、21B-21B及22B-22B提取之橫截面正視圖,其顯示兩列圓柱體。
10""...下伏基板
44""...合意的材料
Claims (40)
- 一種於基板上形成奈米結構化聚合物材料之方法,其包括:於該基板上一材料層中之一溝槽內形成自組裝嵌段共聚物材料,該溝槽具有一中性潤濕底板、對該嵌段共聚物材料之一次要嵌段優先潤濕之相對側壁及端部;及對該嵌段共聚物材料實施退火,以使得該嵌段共聚物材料於該嵌段共聚物材料之第二嵌段之基質內自組裝成該嵌段共聚物材料之第一嵌段之圓柱形域,該自組裝嵌段共聚物材料具有一厚度,且該等圓柱形聚合物域係垂直於該溝槽底板定向並在該溝槽之長度範圍內穿過該自組裝嵌段共聚物材料之厚度以一維單一陣列延伸。
- 如請求項1之方法,其中該嵌段共聚物包括聚苯乙烯及聚甲基丙烯酸甲酯。
- 如請求項1之方法,其中該嵌段共聚物材料包括該嵌段共聚物材料與該第一嵌段、該第二嵌段或二者之均聚物的摻合物。
- 如請求項1之方法,其中該溝槽之寬度為約L至約1.5 X L,長度為約nL及深度為大於約L。
- 如請求項1之方法,其中該中性潤濕底板包括無規共聚物材料。
- 如請求項1之方法,其中該中性潤濕底板包括氫端鍵結矽。
- 如請求項1之方法,其中該中性潤濕底板包括接枝至氧 化物之3-(對甲氧基苯基)丙基三氯矽烷。
- 如請求項1之方法,其進一步包括在於該溝槽內形成該自組裝嵌段共聚物材料之前,於該基板上形成該材料層;於該材料層內形成該溝槽;及於該溝槽之底板上形成該中性潤濕材料。
- 如請求項1之方法,其進一步包括在於該溝槽內形成該自組裝嵌段共聚物材料之前,於該基板之一表面上形成該中性潤濕材料;於該中性潤濕材料上方形成該材料層;及於該材料層內形成該溝槽以暴露在該溝槽底板處之該中性潤濕材料。
- 如請求項1之方法,其中該溝槽之該等側壁及端部包括選自由以下組成之群組之材料:氧化物、氮化矽、氧碳化矽、氧氮化矽、氧化銦錫(ITO)、甲基丙烯酸酯抗蝕劑及聚二甲基戊二醯亞胺抗蝕劑。
- 如請求項1之方法,其中對該嵌段共聚物材料所實施之退火包括熱退火。
- 如請求項1之方法,其中對該嵌段共聚物材料所實施之退火包括溶劑退火。
- 如請求項1之方法,其進一步包括在退火之後選擇性地使該第二嵌段之域交聯。
- 如請求項1之方法,其進一步包括選擇性地移除該第一嵌段以形成穿過該第二嵌段之基質延伸之圓柱形開口。
- 如請求項13之方法,其進一步包括透過該等開口蝕刻該基板之未遮掩部分。
- 一種於基板上形成聚合物層之方法,其包括:於該基板上一材料層中之一溝槽中沈積一圓柱態嵌段共聚物材料至厚度約為該溝槽中之該嵌段共聚物材料之L值或更大,該溝槽具有對該嵌段共聚物材料之第一嵌段優先潤濕之側壁、端部及底板;及對該嵌段共聚物材料實施溶劑退火,以使得該嵌段共聚物材料於該嵌段共聚物材料之第二嵌段之基質內自組裝成該嵌段共聚物材料之第一嵌段之圓柱形域,該自組裝嵌段共聚物材料具有一厚度,且該等圓柱形域係垂直於該溝槽底板定向並在該溝槽之長度範圍內穿過該自組裝嵌段共聚物材料之該厚度以一維單一陣列延伸。
- 如請求項16之方法,其中對該嵌段共聚物材料所實施之溶劑退火包括藉助飽和溶劑蒸氣之分壓實施熱退火。
- 如請求項16之方法,其中該嵌段共聚物材料包括聚苯乙烯及聚氧化乙烯。
- 如請求項18之方法,其中該嵌段共聚物包括可解離PS-b-PEO。
- 如請求項16之方法,其中該溝槽之寬度約為該嵌段共聚物材料之L值的1-1.5倍。
- 一種於基板上形成聚合物層之方法,其包括:於該基板上一材料層中之一溝槽中沈積一圓柱態嵌段共聚物材料至厚度約為該溝槽中之該嵌段共聚物材料之 L值,該溝槽具有對該嵌段共聚物材料之第一嵌段優先潤濕之側壁、端部及底板;及對該嵌段共聚物材料實施熱退火,以使得該嵌段共聚物材料於該嵌段共聚物材料之第二嵌段之基質內自組裝成該嵌段共聚物材料之第一嵌段之圓柱形域,該自組裝嵌段共聚物材料具有一厚度,且該等圓柱形域係垂直於該溝槽底板定向並穿過該自組裝嵌段共聚物材料之該厚度延伸。
- 如請求項21之方法,其中該嵌段共聚物材料包括聚苯乙烯及聚交酯。
- 如請求項21之方法,其中該溝槽之寬度約為該嵌段共聚物材料之L值至約1-1.5*L。
- 如請求項21之方法,其中該溝槽之該等側壁、端部及底板包括氧化矽。
- 如請求項22之方法,其中該嵌段共聚物材料於該溝槽之該等側壁、端部及底板上形成一潤濕層,該潤濕層包括由聚交酯及聚苯乙烯形成之雙層;該方法進一步包括在退火之後:選擇性地移除該第一嵌段以形成穿過該第二嵌段之基質延伸之圓柱形開口;及蝕刻以移除該等開口內之該溝槽底板上之雙層從而暴露該基板。
- 如請求項25之方法,其進一步包括透過該等開口蝕刻該基板之暴露部分。
- 一種蝕刻基板之方法,其包括:對位於上覆該基板之一材料層之一溝槽中的一嵌段共聚物材料實施退火,該溝槽具有對該嵌段共聚物之一次要嵌段優先潤濕之相對側壁及端部、一底板、一寬度及一長度,其中該嵌段共聚物材料於一主要嵌段之一基質中形成該次要嵌段之垂直定向圓柱體之一維單一陣列,該經退火之嵌段共聚物材料具有一厚度,且該等垂直定向之圓柱體穿過該經退火嵌段共聚物之該厚度延伸;選擇性地移除該等嵌段中之一者以形成暴露該基板之開口;及蝕刻該基板之暴露部分以於其中形成開口。
- 如請求項27之方法,其進一步包括在移除該等嵌段中之一者之前選擇性地使該等嵌段中之另一者交聯。
- 如請求項27之方法,其中該溝槽底板係中性潤濕。
- 如請求項27之方法,其中該溝槽底板係優先潤濕。
- 如請求項30之方法,其中該嵌段共聚物材料係選自由以下組成之群組:包括聚苯乙烯及聚氧化乙烯之嵌段共聚物材料、及包括聚苯乙烯及聚交酯之嵌段共聚物材料。
- 如請求項27之方法,其中該蝕刻於該基板中形成一對齊、可定址、次微影開口之圖案。
- 如請求項27之方法,其進一步包括用一填充材料填充該基板中之該等開口。
- 如請求項33之方法,其中該填充材料包括金屬、金屬合金及金屬/絕緣體/金屬堆疊。
- 一種蝕刻基板之方法,其包括:於上覆該基板之一材料層中之一溝槽內形成一嵌段共聚物材料,該溝槽具有對該嵌段共聚物材料之一次要聚合物嵌段優先潤濕之相對側壁及端部、一底板、一寬度及一長度;在該嵌段共聚物材料中引起微相分離,以於該溝槽內在第二聚合物嵌段之一基質中形成由第一聚合物嵌段所組成之圓柱形域,該等圓柱形域係垂直於該溝槽底板定向並以在該溝槽之長度範圍內延伸之一維單一陣列與該等側壁對齊;視情況,選擇性地使該聚合物基質交聯;選擇性地移除該等圓柱形域以形成穿過該第二聚合物崁段材料之基質之開口;及透過該基質中之該等開口蝕刻該基板。
- 一種於基板上形成奈米結構化聚合物材料之方法,其包括:於該基板上一材料層中之一溝槽內形成一自組裝嵌段共聚物材料,該溝槽具有一中性潤濕底板、對該嵌段共聚物材料之一次要嵌段優先潤濕之相對側壁及端部;及對該嵌段共聚物材料實施退火,以使得該嵌段共聚物材料於該嵌段共聚物材料之一第二嵌段之一基質內自組裝成該嵌段共聚物之第一嵌段之圓柱形域,該自組裝嵌段共聚物材料具有一厚度,且該等圓柱形聚合物域係垂直於該溝槽底板定向並穿過該自組裝嵌段共聚物材料之 該厚度延伸;其中該等圓柱形域在該溝槽之長度範圍內分成兩列,其中毗鄰的圓柱形域沿平行於該等溝槽側壁之方向以約為該嵌段共聚物材料之L值之一半的中心到中心距離以Z字形佈置彼此偏移。
- 一種聚合物材料,其係位於上覆一基板之一材料層中之一溝槽內,該溝槽垂直地與一下伏導線對準且具有側壁、端部、一底板、一寬度及一長度,該聚合物材料包括自組裝嵌段共聚物材料,該自組裝嵌段共聚物材料包括位於一主要聚合物嵌段之一基質中之一次要聚合物嵌段之圓柱形聚合物域,該等圓柱形聚合物域係垂直於該溝槽底板定向並在該溝槽之長度範圍內以一維單一陣列延伸。
- 如請求項37之聚合物材料,其中該溝槽具有一中性潤濕底板及包括對該次要聚合物嵌段優先潤濕之材料的側壁及端部。
- 如請求項37之聚合物材料,其中該溝槽具有一底板、包括對該次要聚合物嵌段優先潤濕之材料之側壁及端部。
- 一種聚合物材料,其係位於上覆一基板之一材料層中之一溝槽內,該溝槽垂直地與一下伏導線對準且具有側壁、端部、一底板、一寬度及一長度,該聚合物材料包括一自組裝嵌段共聚物材料,該自組裝嵌段共聚物材料包括位於一主要聚合物嵌段之一基質中之一次要聚合物嵌段之圓柱形聚合物域; 其中該等圓柱形聚合物域係垂直於該溝槽底板定向並在該溝槽之長度範圍內分成兩列,其中毗鄰的圓柱形聚合物域沿平行於該等溝槽側壁之方向以約為該嵌段共聚物材料之L值之一半的中心到中心距離以Z字形佈置彼此偏移。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/030,562 US8101261B2 (en) | 2008-02-13 | 2008-02-13 | One-dimensional arrays of block copolymer cylinders and applications thereof |
Publications (2)
Publication Number | Publication Date |
---|---|
TW200951065A TW200951065A (en) | 2009-12-16 |
TWI462871B true TWI462871B (zh) | 2014-12-01 |
Family
ID=40938191
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW098104203A TWI462871B (zh) | 2008-02-13 | 2009-02-10 | 嵌段共聚物圓柱體之一維陣列及其應用 |
Country Status (6)
Country | Link |
---|---|
US (2) | US8101261B2 (zh) |
EP (1) | EP2262721A2 (zh) |
KR (1) | KR101262460B1 (zh) |
CN (1) | CN101952195B (zh) |
TW (1) | TWI462871B (zh) |
WO (1) | WO2009102551A2 (zh) |
Families Citing this family (82)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8394483B2 (en) | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US7767099B2 (en) * | 2007-01-26 | 2010-08-03 | International Business Machines Corporaiton | Sub-lithographic interconnect patterning using self-assembling polymers |
US8083953B2 (en) | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US7959975B2 (en) | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8097175B2 (en) | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US8294139B2 (en) | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US8372295B2 (en) | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
US8999492B2 (en) | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US8425982B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8426313B2 (en) * | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8114300B2 (en) * | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
JP2010115832A (ja) * | 2008-11-12 | 2010-05-27 | Panasonic Corp | ブロックコポリマーの自己組織化促進方法及びそれを用いたブロックコポリマーの自己組織化パターン形成方法 |
IT1392754B1 (it) * | 2008-12-18 | 2012-03-16 | St Microelectronics Srl | Nanoarray ad incrocio con strato organico attivo anisotropico |
US8361704B2 (en) * | 2009-01-12 | 2013-01-29 | International Business Machines Corporation | Method for reducing tip-to-tip spacing between lines |
US8398868B2 (en) * | 2009-05-19 | 2013-03-19 | International Business Machines Corporation | Directed self-assembly of block copolymers using segmented prepatterns |
US8114306B2 (en) * | 2009-05-22 | 2012-02-14 | International Business Machines Corporation | Method of forming sub-lithographic features using directed self-assembly of polymers |
TWI404753B (zh) * | 2009-07-03 | 2013-08-11 | Nat Univ Tsing Hua | 利用團聯共聚物模板製造奈米材料之方法 |
US9012882B2 (en) | 2010-02-01 | 2015-04-21 | The Regents Of The University Of California | Graphene nanomesh and method of making the same |
US8486611B2 (en) | 2010-07-14 | 2013-07-16 | Micron Technology, Inc. | Semiconductor constructions and methods of forming patterns |
JP5300799B2 (ja) * | 2010-07-28 | 2013-09-25 | 株式会社東芝 | パターン形成方法及びポリマーアロイ下地材料 |
US8304493B2 (en) | 2010-08-20 | 2012-11-06 | Micron Technology, Inc. | Methods of forming block copolymers |
US8232211B1 (en) * | 2011-01-20 | 2012-07-31 | International Business Machines Corporation | Methods for self-aligned self-assembled patterning enhancement |
KR20120126725A (ko) * | 2011-05-12 | 2012-11-21 | 에스케이하이닉스 주식회사 | 반도체 소자의 형성 방법 |
US20140178582A1 (en) | 2011-08-22 | 2014-06-26 | Dow Global Technologies Llc | Composite membrane formed from polymer blend including self-assembling block copolymers |
CN102320557A (zh) * | 2011-09-08 | 2012-01-18 | 中国科学院研究生院 | 在基底上制备六角网络点阵分布的金属纳米颗粒的方法 |
CN103094095B (zh) * | 2011-10-28 | 2015-10-21 | 中芯国际集成电路制造(北京)有限公司 | 制造半导体器件的方法 |
US8900963B2 (en) | 2011-11-02 | 2014-12-02 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related structures |
CN103187245B (zh) * | 2011-12-30 | 2015-06-17 | 中芯国际集成电路制造(上海)有限公司 | 一种通过定向自组装嵌段共聚物的光刻方法 |
CN104254557B (zh) | 2012-02-10 | 2016-06-29 | 得克萨斯大学体系董事会 | 用于纳米平版印刷术的聚丙交酯/含硅嵌段共聚物 |
WO2013126238A1 (en) | 2012-02-21 | 2013-08-29 | Dow Global Technologies Llc | Composite membrane |
JP5891075B2 (ja) * | 2012-03-08 | 2016-03-22 | 東京応化工業株式会社 | ブロックコポリマー含有組成物及びパターンの縮小方法 |
US9005877B2 (en) | 2012-05-15 | 2015-04-14 | Tokyo Electron Limited | Method of forming patterns using block copolymers and articles thereof |
JP5835123B2 (ja) * | 2012-06-21 | 2015-12-24 | Jsr株式会社 | パターン形成用自己組織化組成物及びパターン形成方法 |
JP6239813B2 (ja) | 2012-07-18 | 2017-11-29 | 株式会社Screenセミコンダクターソリューションズ | 基板処理装置および基板処理方法 |
JP2014033051A (ja) * | 2012-08-02 | 2014-02-20 | Toshiba Corp | パターン形成方法及び半導体装置の製造方法 |
US9087699B2 (en) | 2012-10-05 | 2015-07-21 | Micron Technology, Inc. | Methods of forming an array of openings in a substrate, and related methods of forming a semiconductor device structure |
US8894869B2 (en) * | 2012-11-13 | 2014-11-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Lithography process using directed self assembly |
EP2733533B1 (en) * | 2012-11-14 | 2018-02-28 | IMEC vzw | Etching method using block-copolymers |
KR101993255B1 (ko) * | 2013-01-07 | 2019-06-26 | 삼성전자주식회사 | 콘택 홀 형성 방법 |
US9312220B2 (en) | 2013-03-12 | 2016-04-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for a low-K dielectric with pillar-type air-gaps |
US20140273534A1 (en) | 2013-03-14 | 2014-09-18 | Tokyo Electron Limited | Integration of absorption based heating bake methods into a photolithography track system |
US8975009B2 (en) | 2013-03-14 | 2015-03-10 | Tokyo Electron Limited | Track processing to remove organic films in directed self-assembly chemo-epitaxy applications |
US9147574B2 (en) | 2013-03-14 | 2015-09-29 | Tokyo Electron Limited | Topography minimization of neutral layer overcoats in directed self-assembly applications |
US8980538B2 (en) | 2013-03-14 | 2015-03-17 | Tokyo Electron Limited | Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents |
US8999623B2 (en) | 2013-03-14 | 2015-04-07 | Wiscousin Alumni Research Foundation | Degradable neutral layers for block copolymer lithography applications |
US9209014B2 (en) | 2013-03-15 | 2015-12-08 | Tokyo Electron Limited | Multi-step bake apparatus and method for directed self-assembly lithography control |
CN103408783A (zh) * | 2013-04-24 | 2013-11-27 | 南京工业大学 | 一种具有规整垂直孔道多孔膜的制备方法 |
US9229328B2 (en) | 2013-05-02 | 2016-01-05 | Micron Technology, Inc. | Methods of forming semiconductor device structures, and related semiconductor device structures |
US10884333B2 (en) * | 2013-08-06 | 2021-01-05 | Asml Netherlands B.V. | Method of designing lithography features by self-assembly of block copolymer |
KR102394994B1 (ko) | 2013-09-04 | 2022-05-04 | 도쿄엘렉트론가부시키가이샤 | 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리 |
US9625815B2 (en) | 2013-09-27 | 2017-04-18 | Intel Corporation | Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging |
US9177795B2 (en) | 2013-09-27 | 2015-11-03 | Micron Technology, Inc. | Methods of forming nanostructures including metal oxides |
US9349604B2 (en) | 2013-10-20 | 2016-05-24 | Tokyo Electron Limited | Use of topography to direct assembly of block copolymers in grapho-epitaxial applications |
US9793137B2 (en) | 2013-10-20 | 2017-10-17 | Tokyo Electron Limited | Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines |
US9859118B2 (en) * | 2013-11-25 | 2018-01-02 | Tokyo Electron Limited | Pattern forming method and heating apparatus |
US9184058B2 (en) | 2013-12-23 | 2015-11-10 | Micron Technology, Inc. | Methods of forming patterns by using a brush layer and masks |
FR3021321B1 (fr) * | 2014-05-26 | 2016-06-03 | Commissariat Energie Atomique | Procede de realisation de motifs par auto-assemblage de copolymeres a blocs |
US10410914B2 (en) * | 2014-05-28 | 2019-09-10 | Asml Netherlands B.V. | Methods for providing lithography features on a substrate by self-assembly of block copolymers |
KR102270752B1 (ko) | 2014-08-11 | 2021-07-01 | 삼성전자주식회사 | 반도체 소자의 미세 패턴 형성 방법 |
US9385129B2 (en) * | 2014-11-13 | 2016-07-05 | Tokyo Electron Limited | Method of forming a memory capacitor structure using a self-assembly pattern |
KR102335109B1 (ko) * | 2014-12-15 | 2021-12-03 | 삼성전자 주식회사 | 미세 패턴 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법 |
US10294359B2 (en) | 2014-12-30 | 2019-05-21 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
US20160186001A1 (en) * | 2014-12-30 | 2016-06-30 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
US10011713B2 (en) | 2014-12-30 | 2018-07-03 | Dow Global Technologies Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
US9305834B1 (en) * | 2014-12-30 | 2016-04-05 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits using designs of integrated circuits adapted to directed self-assembly fabrication to form via and contact structures |
US11021630B2 (en) | 2014-12-30 | 2021-06-01 | Rohm And Haas Electronic Materials Llc | Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same |
CN104598679B (zh) * | 2015-01-14 | 2018-01-26 | 中国石油大学(华东) | 一种自组装纳米卷状结构的制备方法及其模拟验证方法 |
TWI627219B (zh) | 2015-02-26 | 2018-06-21 | 羅門哈斯電子材料有限公司 | 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件 |
TWI588200B (zh) | 2015-02-26 | 2017-06-21 | 羅門哈斯電子材料有限公司 | 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件 |
TWI612379B (zh) | 2015-02-26 | 2018-01-21 | Rohm And Haas Electronic Materials Llc | 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件 |
TWI669337B (zh) | 2015-02-26 | 2019-08-21 | 美商羅門哈斯電子材料有限公司 | 用於定向自組裝的共聚物調配物、其製造方法以及包括其的物件 |
KR102402958B1 (ko) * | 2015-11-11 | 2022-05-27 | 삼성전자주식회사 | 반도체 장치의 패턴 형성 방법 및 반도체 장치의 제조 방법 |
US10056265B2 (en) | 2016-03-18 | 2018-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Directed self-assembly process with size-restricted guiding patterns |
US9947597B2 (en) | 2016-03-31 | 2018-04-17 | Tokyo Electron Limited | Defectivity metrology during DSA patterning |
US11034786B2 (en) * | 2016-06-16 | 2021-06-15 | Lg Chem, Ltd. | Amphiphilic triblock polymer |
KR102308953B1 (ko) | 2017-03-10 | 2021-10-05 | 주식회사 엘지화학 | 패턴화 기판의 제조 방법 |
CN108231984A (zh) * | 2018-01-31 | 2018-06-29 | 华南理工大学 | 一种相分离手段实现的钙钛矿图案化膜片及其制作方法 |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6962823B2 (en) * | 2002-04-02 | 2005-11-08 | Nanosys, Inc. | Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices |
US20060231525A1 (en) * | 1999-06-07 | 2006-10-19 | Koji Asakawa | Method for manufacturing porous structure and method for forming pattern |
US20060249796A1 (en) * | 2002-03-22 | 2006-11-09 | Avto Tavkhelidze | Influence of surface geometry on metal properties |
US20070281220A1 (en) * | 2006-06-02 | 2007-12-06 | Sandhu Gurtej S | Topography based patterning |
Family Cites Families (269)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4623674A (en) * | 1985-06-21 | 1986-11-18 | Union Carbide Corporation | Polymer/polyols of substituted styrenes and polyurethanes made therefrom |
US4877647A (en) * | 1986-04-17 | 1989-10-31 | Kansas State University Research Foundation | Method of coating substrates with solvated clusters of metal particles |
US4797357A (en) | 1986-05-30 | 1989-01-10 | Eastman Kodak Company | Light-stable reducible compounds and analytical compositions, elements and methods utilizing same |
US5328810A (en) | 1990-05-07 | 1994-07-12 | Micron Technology, Inc. | Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process |
US5354489A (en) | 1990-08-30 | 1994-10-11 | Asahi Kasei Kogyo Kabushiki Kaisha | Method for changing the viscosity of a fluid comprising a liquid crystal compound |
US5622668A (en) * | 1992-02-07 | 1997-04-22 | The United States Of America As Represented By The Secretary Of The Air Force | Method for preparing oriented polymer structures and said structures |
EP0588482B1 (en) | 1992-08-07 | 1997-11-05 | Fujikura Kasei Co., Ltd. | Electro-sensitive composition |
US5382373A (en) | 1992-10-30 | 1995-01-17 | Lord Corporation | Magnetorheological materials based on alloy particles |
EP0671025B1 (en) | 1992-11-25 | 1997-08-13 | Hoechst Celanese Corporation | Metal ion reduction in bottom anti-reflective coatings for photoresists |
US5482656A (en) * | 1993-03-04 | 1996-01-09 | Kabushiki Kaisha Toshiba | Non-linear optical devices employing a polysilane composition and a polysilane composition therefor |
TW272976B (zh) | 1993-08-06 | 1996-03-21 | Ciba Geigy Ag | |
US5512131A (en) | 1993-10-04 | 1996-04-30 | President And Fellows Of Harvard College | Formation of microstamped patterns on surfaces and derivative articles |
US6776094B1 (en) | 1993-10-04 | 2004-08-17 | President & Fellows Of Harvard College | Kit For Microcontact Printing |
US5538655A (en) * | 1994-06-29 | 1996-07-23 | Arthur D. Little, Inc. | Molecular complexes for use as electrolyte components |
US5607824A (en) | 1994-07-27 | 1997-03-04 | International Business Machines Corporation | Antireflective coating for microlithography |
JPH0867893A (ja) | 1994-08-19 | 1996-03-12 | Lubrizol Corp:The | 極性固体および有機半導体の電気流動性流体 |
US5620850A (en) | 1994-09-26 | 1997-04-15 | President And Fellows Of Harvard College | Molecular recognition at surfaces derivatized with self-assembled monolayers |
US5700902A (en) | 1995-07-27 | 1997-12-23 | Circe Biomedical, Inc. | Block copolymers |
DE69516528T2 (de) | 1995-08-04 | 2000-11-23 | International Business Machines Corp., Armonk | Lithografie oder dünnschicht modifizierung |
US6309580B1 (en) | 1995-11-15 | 2001-10-30 | Regents Of The University Of Minnesota | Release surfaces, particularly for use in nanoimprint lithography |
US5772905A (en) | 1995-11-15 | 1998-06-30 | Regents Of The University Of Minnesota | Nanoimprint lithography |
US5879853A (en) | 1996-01-18 | 1999-03-09 | Kabushiki Kaisha Toshiba | Top antireflective coating material and its process for DUV and VUV lithography systems |
US6096636A (en) | 1996-02-06 | 2000-08-01 | Micron Technology, Inc. | Methods of forming conductive lines |
EP1124158A1 (en) | 1996-02-26 | 2001-08-16 | Matsushita Electric Industrial Co., Ltd. | Pattern forming material and pattern forming method |
US6190949B1 (en) | 1996-05-22 | 2001-02-20 | Sony Corporation | Silicon thin film, group of silicon single crystal grains and formation process thereof, and semiconductor device, flash memory cell and fabrication process thereof |
US6143647A (en) * | 1997-07-24 | 2000-11-07 | Intel Corporation | Silicon-rich block copolymers to achieve unbalanced vias |
JPH1081889A (ja) | 1996-09-06 | 1998-03-31 | Bridgestone Corp | 電気粘性流体用粉体 |
US5904824A (en) * | 1997-03-07 | 1999-05-18 | Beckman Instruments, Inc. | Microfluidic electrophoresis device |
US5958704A (en) | 1997-03-12 | 1999-09-28 | Ddx, Inc. | Sensing system for specific substance and molecule detection |
US5948470A (en) * | 1997-04-28 | 1999-09-07 | Harrison; Christopher | Method of nanoscale patterning and products made thereby |
US6890624B1 (en) * | 2000-04-25 | 2005-05-10 | Nanogram Corporation | Self-assembled structures |
US6368871B1 (en) * | 1997-08-13 | 2002-04-09 | Cepheid | Non-planar microstructures for manipulation of fluid samples |
US6884842B2 (en) | 1997-10-14 | 2005-04-26 | Alnis Biosciences, Inc. | Molecular compounds having complementary surfaces to targets |
US6592764B1 (en) | 1997-12-09 | 2003-07-15 | The Regents Of The University Of California | Block copolymer processing for mesostructured inorganic oxide materials |
US6111323A (en) * | 1997-12-30 | 2000-08-29 | International Business Machines Corporation | Reworkable thermoplastic encapsulant |
CA2316834C (en) | 1998-01-07 | 2006-01-03 | Shearwater Polymers, Inc. | Degradable heterobifunctional poly(ethylene glycol) acrylates and gels and conjugates derived therefrom |
US7282240B1 (en) | 1998-04-21 | 2007-10-16 | President And Fellows Of Harvard College | Elastomeric mask and use in fabrication of devices |
JP3464004B2 (ja) | 1998-06-05 | 2003-11-05 | 旭化成株式会社 | 水素添加ブロック共重合体及びそれを含有したポリプロピレン系樹脂組成物 |
US6897073B2 (en) | 1998-07-14 | 2005-05-24 | Zyomyx, Inc. | Non-specific binding resistant protein arrays and methods for making the same |
US6423410B1 (en) | 1998-09-04 | 2002-07-23 | Mds Proteomics, Inc. | Ultrasonically generated paramagnetic polymer particles |
US6713238B1 (en) * | 1998-10-09 | 2004-03-30 | Stephen Y. Chou | Microscale patterning and articles formed thereby |
ATE323132T1 (de) | 1998-11-24 | 2006-04-15 | Dow Global Technologies Inc | Eine zusammensetzung enthaltend einen vernetzbaren matrixpercursor und eine porenstruktur bildendes material und eine daraus hergestellte poröse matrix |
CA2354260A1 (en) * | 1998-12-08 | 2000-06-15 | Gene Logic, Inc. | Process for attaching organic molecules to silicon |
US6413587B1 (en) | 1999-03-02 | 2002-07-02 | International Business Machines Corporation | Method for forming polymer brush pattern on a substrate surface |
US6270946B1 (en) | 1999-03-18 | 2001-08-07 | Luna Innovations, Inc. | Non-lithographic process for producing nanoscale features on a substrate |
JP4012173B2 (ja) | 1999-06-07 | 2007-11-21 | 株式会社東芝 | 多孔質構造体の製造方法、多孔質構造体形成材料、パターン形成方法、パターン形成材料、電気化学セル、および中空糸フィルター |
JP4127682B2 (ja) | 1999-06-07 | 2008-07-30 | 株式会社東芝 | パターン形成方法 |
CA2372707C (en) * | 1999-07-02 | 2014-12-09 | President And Fellows Of Harvard College | Nanoscopic wire-based devices, arrays, and method of their manufacture |
US6312971B1 (en) | 1999-08-31 | 2001-11-06 | E Ink Corporation | Solvent annealing process for forming a thin semiconductor film with advantageous properties |
JP2001110801A (ja) | 1999-10-05 | 2001-04-20 | Takeshi Yao | パターン形成方法、並びに電子素子、光学素子及び回路基板 |
US6998152B2 (en) | 1999-12-20 | 2006-02-14 | Micron Technology, Inc. | Chemical vapor deposition methods utilizing ionic liquids |
US6517933B1 (en) | 2000-01-18 | 2003-02-11 | Nano-Tex, Llc | Hybrid polymer materials |
US6423465B1 (en) * | 2000-01-28 | 2002-07-23 | International Business Machines Corporation | Process for preparing a patterned continuous polymeric brush on a substrate surface |
US6573030B1 (en) | 2000-02-17 | 2003-06-03 | Applied Materials, Inc. | Method for depositing an amorphous carbon layer |
US7163712B2 (en) * | 2000-03-03 | 2007-01-16 | Duke University | Microstamping activated polymer surfaces |
US6423474B1 (en) | 2000-03-21 | 2002-07-23 | Micron Technology, Inc. | Use of DARC and BARC in flash memory processing |
EP1268087A4 (en) * | 2000-03-22 | 2007-05-23 | Univ Massachusetts | NANOMETRIC CYLINDER MATRICES |
US6887332B1 (en) | 2000-04-21 | 2005-05-03 | International Business Machines Corporation | Patterning solution deposited thin films with self-assembled monolayers |
US7491286B2 (en) | 2000-04-21 | 2009-02-17 | International Business Machines Corporation | Patterning solution deposited thin films with self-assembled monolayers |
US7291284B2 (en) * | 2000-05-26 | 2007-11-06 | Northwestern University | Fabrication of sub-50 nm solid-state nanostructures based on nanolithography |
US6503841B1 (en) * | 2000-07-07 | 2003-01-07 | Agere Systems Inc. | Oxide etch |
US6414164B1 (en) | 2000-07-12 | 2002-07-02 | International Business Machines Corporation | Synthesis of soluble derivatives of sexithiophene and their use as the semiconducting channels in thin-film filed-effect transistors |
WO2002018080A1 (fr) | 2000-08-03 | 2002-03-07 | Upepo & Maji Inc. | Composition de solution colloidale metallique et conducteur ou encre destine a la formation d'un motif semi-conducteur la renfermant, et procede de formation d'un motif conducteur ou semi-conducteur |
JP3591827B2 (ja) | 2000-08-11 | 2004-11-24 | 株式会社東芝 | 微細構造を有する成形体の製造方法 |
JP2002083949A (ja) | 2000-09-07 | 2002-03-22 | Nec Corp | Cmosイメージセンサ及びその製造方法 |
US20020084429A1 (en) | 2000-10-17 | 2002-07-04 | Craighead Harold G. | Electron-beam patterning of functionalized self-assembled monolayers |
WO2002041043A2 (en) | 2000-11-14 | 2002-05-23 | The Regents Of The University Of California | Inorganic/block copolymer-dye composites and dye doped mesoporous materials for optical and sensing applications |
US6358813B1 (en) * | 2000-11-15 | 2002-03-19 | International Business Machines Corporation | Method for increasing the capacitance of a semiconductor capacitors |
NL1016779C2 (nl) | 2000-12-02 | 2002-06-04 | Cornelis Johannes Maria V Rijn | Matrijs, werkwijze voor het vervaardigen van precisieproducten met behulp van een matrijs, alsmede precisieproducten, in het bijzonder microzeven en membraanfilters, vervaardigd met een dergelijke matrijs. |
US6432811B1 (en) * | 2000-12-20 | 2002-08-13 | Intel Corporation | Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures |
FR2818650B1 (fr) * | 2000-12-21 | 2003-02-07 | Atofina | Procede d'hydrogenation de copolymeres a blocs insatures et copolymeres a blocs hydrogenes |
US6518194B2 (en) | 2000-12-28 | 2003-02-11 | Thomas Andrew Winningham | Intermediate transfer layers for nanoscale pattern transfer and nanostructure formation |
AU2002246978A1 (en) | 2001-01-10 | 2002-07-24 | Symyx Technologies, Inc. | Polymer brushes for immobilizing molecules to a surface |
US6566248B1 (en) * | 2001-01-11 | 2003-05-20 | Advanced Micro Devices, Inc. | Graphoepitaxial conductor cores in integrated circuit interconnects |
US6913697B2 (en) * | 2001-02-14 | 2005-07-05 | Science & Technology Corporation @ Unm | Nanostructured separation and analysis devices for biological membranes |
KR100878281B1 (ko) * | 2001-03-14 | 2009-01-12 | 유니버시티 오브 매사츄세츠 | 나노 제조 |
US6537920B1 (en) | 2001-03-16 | 2003-03-25 | Advanced Micro Devices, Inc. | Formation of vertical transistors using block copolymer lithography |
WO2002079269A1 (en) * | 2001-03-30 | 2002-10-10 | Uab Research Foundation | Polymer formation in room temperature ionic liquids |
US7056455B2 (en) * | 2001-04-06 | 2006-06-06 | Carnegie Mellon University | Process for the preparation of nanostructured materials |
WO2002085639A1 (en) | 2001-04-25 | 2002-10-31 | The Trustees Of Columbia University In The City Of New York | Edge transfer lithography |
US6809210B2 (en) | 2001-06-12 | 2004-10-26 | Lucent Technologies Inc. | Method of solvating a metal in an aromatic organic liquid |
KR100448170B1 (ko) * | 2001-06-23 | 2004-09-10 | 주식회사 태평양 | 폴리에틸렌이민을 친수성 블록으로 갖고 폴리에스테르계고분자를 소수성 블록으로 갖는 양친성 생분해성 블록공중합체 및 이를 이용한 수용액 상에서의 고분자자기조합 회합체 |
WO2003007398A1 (en) | 2001-07-09 | 2003-01-23 | Plastic Logic Limited | Progressive aligned deposition |
US6444318B1 (en) * | 2001-07-17 | 2002-09-03 | Surmodics, Inc. | Self assembling monolayer compositions |
DE10142691B4 (de) | 2001-08-31 | 2006-04-20 | Infineon Technologies Ag | Verfahren zum Nachweis biochemischer Reaktionen sowie eine Vorrichtung hierfür |
US6751491B2 (en) * | 2001-09-01 | 2004-06-15 | M Biotech Inc | Analyte measuring biosensor chip using image scanning system |
DE10145747A1 (de) | 2001-09-17 | 2003-04-03 | Solvent Innovation Gmbh | Ionische Flüssigkeiten |
US20030108664A1 (en) | 2001-10-05 | 2003-06-12 | Kodas Toivo T. | Methods and compositions for the formation of recessed electrical features on a substrate |
US6746825B2 (en) * | 2001-10-05 | 2004-06-08 | Wisconsin Alumni Research Foundation | Guided self-assembly of block copolymer films on interferometrically nanopatterned substrates |
US20030080472A1 (en) | 2001-10-29 | 2003-05-01 | Chou Stephen Y. | Lithographic method with bonded release layer for molding small patterns |
US20040058059A1 (en) | 2001-11-07 | 2004-03-25 | Linford Mathew Richard | Funtionalized patterned surfaces |
AU2002352903A1 (en) | 2001-11-21 | 2003-06-10 | University Of Massachusetts | Mesoporous materials and methods |
JP3967114B2 (ja) | 2001-11-22 | 2007-08-29 | 株式会社東芝 | 加工方法 |
US7087267B2 (en) | 2001-11-29 | 2006-08-08 | International Business Machines Corporation | Materials and methods for immobilization of catalysts on surfaces and for selective electroless metallization |
JP3782357B2 (ja) | 2002-01-18 | 2006-06-07 | 株式会社東芝 | 半導体発光素子の製造方法 |
US7115305B2 (en) | 2002-02-01 | 2006-10-03 | California Institute Of Technology | Method of producing regular arrays of nano-scale objects using nano-structured block-copolymeric materials |
US6958572B2 (en) * | 2002-02-06 | 2005-10-25 | Ut-Battelle Llc | Controlled non-normal alignment of catalytically grown nanostructures in a large-scale synthesis process |
CA2475790A1 (en) * | 2002-02-11 | 2003-08-21 | Rensselaer Polytechnic Institute | Directed assembly of highly-organized carbon nanotube architectures |
JP2004002702A (ja) | 2002-02-28 | 2004-01-08 | Merck Patent Gmbh | プレポリマー材料、ポリマー材料、インプリンティングプロセスおよびその使用 |
US6890703B2 (en) * | 2002-03-06 | 2005-05-10 | International Business Machines Corporation | Preparation of crosslinked particles from polymers having activatible crosslinking groups |
US6946332B2 (en) | 2002-03-15 | 2005-09-20 | Lucent Technologies Inc. | Forming nanoscale patterned thin film metal layers |
US7807348B2 (en) | 2002-03-20 | 2010-10-05 | Wisconsin Alumni Research Foundation | Optical imaging of nanostructured substrates |
US20030178707A1 (en) | 2002-03-21 | 2003-09-25 | Abbott Donald C. | Preplated stamped small outline no-lead leadframes having etched profiles |
US6765030B2 (en) | 2002-03-22 | 2004-07-20 | The University Of North Carolina At Chapel Hill | Methods of forming polymeric structures using carbon dioxide and polymeric structures formed therapy |
US20040142578A1 (en) | 2002-03-28 | 2004-07-22 | Ulrich Wiesner | Thin film nanostructures |
US6656308B2 (en) | 2002-04-22 | 2003-12-02 | International Business Machines Corporation | Process of fabricating a precision microcontact printing stamp |
US7135241B2 (en) | 2002-05-24 | 2006-11-14 | Board Of Regents, The University Of Texas System | Light-emitting block copolymers composition, process and use |
US7307343B2 (en) * | 2002-05-30 | 2007-12-11 | Air Products And Chemicals, Inc. | Low dielectric materials and methods for making same |
US6753250B1 (en) | 2002-06-12 | 2004-06-22 | Novellus Systems, Inc. | Method of fabricating low dielectric constant dielectric films |
US20030235930A1 (en) | 2002-06-25 | 2003-12-25 | Lucent Technologies Inc. | Multi-impression nanofeature production |
US7077992B2 (en) | 2002-07-11 | 2006-07-18 | Molecular Imprints, Inc. | Step and repeat imprint lithography processes |
US6932934B2 (en) | 2002-07-11 | 2005-08-23 | Molecular Imprints, Inc. | Formation of discontinuous films during an imprint lithography process |
US6908861B2 (en) | 2002-07-11 | 2005-06-21 | Molecular Imprints, Inc. | Method for imprint lithography using an electric field |
US7311943B2 (en) | 2002-07-17 | 2007-12-25 | Massachusetts Institute Of Technology | Templated monolayer polymerization and replication |
US20050008828A1 (en) | 2002-07-25 | 2005-01-13 | Trustees Of Stevens Institute Of Technology | Patterned polymer microgel and method of forming same |
US6957608B1 (en) | 2002-08-02 | 2005-10-25 | Kovio, Inc. | Contact print methods |
DE60333715D1 (de) * | 2002-10-30 | 2010-09-23 | Hitachi Ltd | Verfahren zur Herstellung funktioneller Substrate, die kolumnare Mikrosäulen aufweisen |
US20040084298A1 (en) * | 2002-10-31 | 2004-05-06 | Y.D. Yao | Fabrication of nanocomposite thin films for high density magnetic recording media |
US6949456B2 (en) * | 2002-10-31 | 2005-09-27 | Asm Japan K.K. | Method for manufacturing semiconductor device having porous structure with air-gaps |
US6911400B2 (en) | 2002-11-05 | 2005-06-28 | International Business Machines Corporation | Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same |
BR0316048B1 (pt) | 2002-11-07 | 2014-01-28 | Copolímero com estrutura controlada e utilização de um copolímero | |
US6699797B1 (en) * | 2002-12-17 | 2004-03-02 | Intel Corporation | Method of fabrication of low dielectric constant porous metal silicate films |
US6930034B2 (en) | 2002-12-27 | 2005-08-16 | International Business Machines Corporation | Robust ultra-low k interconnect structures using bridge-then-metallization fabrication sequence |
US20040124092A1 (en) | 2002-12-30 | 2004-07-01 | Black Charles T. | Inorganic nanoporous membranes and methods to form same |
US7078276B1 (en) | 2003-01-08 | 2006-07-18 | Kovio, Inc. | Nanoparticles and method for making the same |
US6940485B2 (en) | 2003-01-15 | 2005-09-06 | Xerox Corporation | Flexible micron-thin display device |
TWI323479B (en) | 2003-02-12 | 2010-04-11 | Nantero Inc | Devices having horizontally-disposed nanofabric articles and methods of making the same |
WO2004072334A2 (en) | 2003-02-12 | 2004-08-26 | Nantero, Inc. | Nanofabric articles and methods of making the same |
US7001795B2 (en) | 2003-02-27 | 2006-02-21 | Micron Technology, Inc. | Total internal reflection (TIR) CMOS imager |
TW582059B (en) | 2003-03-11 | 2004-04-01 | Ind Tech Res Inst | Organic component, method for forming organic semiconductor layer with aligned molecules, and method for forming organic component |
US7326514B2 (en) | 2003-03-12 | 2008-02-05 | Cornell Research Foundation, Inc. | Organoelement resists for EUV lithography and methods of making the same |
US7135523B2 (en) * | 2003-03-14 | 2006-11-14 | Industrial Technology Research Institute | Nanoscale helical microstructures and channels from chiral poly(L-lactide) block containing block copolymers |
US6812132B2 (en) | 2003-03-21 | 2004-11-02 | Intel Corporation | Filling small dimension vias using supercritical carbon dioxide |
KR100618184B1 (ko) * | 2003-03-31 | 2006-08-31 | 비오이 하이디스 테크놀로지 주식회사 | 결정화 방법 |
US7112617B2 (en) | 2003-04-22 | 2006-09-26 | International Business Machines Corporation | Patterned substrate with hydrophilic/hydrophobic contrast, and method of use |
JP2004335962A (ja) | 2003-05-12 | 2004-11-25 | Seiko Epson Corp | 薄膜パターン形成方法、デバイスとその製造方法及び電気光学装置並びに電子機器 |
US20060124467A1 (en) | 2003-05-20 | 2006-06-15 | Industrial Technology Research Institute | Metal nanodot arrays and fabrication methods thereof |
EP1479738A1 (en) | 2003-05-20 | 2004-11-24 | DSM IP Assets B.V. | Hydrophobic coatings comprising reactive nano-particles |
US7632544B2 (en) | 2003-05-20 | 2009-12-15 | Industrial Technology Research Institute | Nanopatterned templates from oriented degradable diblock copolymer thin films |
US6989426B2 (en) * | 2003-06-12 | 2006-01-24 | The Hong Kong Polytechnic University | Methods for producing di-block polymers |
US7009227B2 (en) | 2003-06-16 | 2006-03-07 | Micron Technology, Inc. | Photodiode structure and image pixel structure |
US7045851B2 (en) | 2003-06-20 | 2006-05-16 | International Business Machines Corporation | Nonvolatile memory device using semiconductor nanocrystals and method of forming same |
GB2403847B (en) | 2003-07-01 | 2005-11-16 | Micron Technology Inc | Optical channels for multi-level metal optical imagers and method for manufacturing same |
US20050238889A1 (en) | 2003-07-10 | 2005-10-27 | Nancy Iwamoto | Layered components, materials, methods of production and uses thereof |
US7132370B2 (en) * | 2003-08-01 | 2006-11-07 | Interuniversitair Microelektronica Centrum (Imec) | Method for selective removal of high-k material |
GB0318817D0 (en) | 2003-08-11 | 2003-09-10 | Univ Cambridge Tech | Method of making a polymer device |
US7361991B2 (en) | 2003-09-19 | 2008-04-22 | International Business Machines Corporation | Closed air gap interconnect structure |
US8133680B2 (en) | 2003-09-23 | 2012-03-13 | Wisconsin Alumni Research Foundation | Using liquid crystals to detect affinity microcontact printed biomolecules |
US7374867B2 (en) | 2003-10-06 | 2008-05-20 | Intel Corporation | Enhancing photoresist performance using electric fields |
WO2005084175A2 (en) * | 2003-10-16 | 2005-09-15 | The Regents Of The University Of California | Nanostructures, nanogrooves, and nanowires |
US7862849B2 (en) | 2003-10-17 | 2011-01-04 | Massachusetts Institute Of Technology | Nanocontact printing |
US7122482B2 (en) * | 2003-10-27 | 2006-10-17 | Molecular Imprints, Inc. | Methods for fabricating patterned features utilizing imprint lithography |
GB0325748D0 (en) | 2003-11-05 | 2003-12-10 | Koninkl Philips Electronics Nv | A method of forming a patterned layer on a substrate |
US7056757B2 (en) * | 2003-11-25 | 2006-06-06 | Georgia Tech Research Corporation | Methods of forming oxide masks with submicron openings and microstructures formed thereby |
US7423164B2 (en) | 2003-12-31 | 2008-09-09 | Ut-Battelle, Llc | Synthesis of ionic liquids |
US6989324B2 (en) | 2004-01-15 | 2006-01-24 | The Regents Of The University Of California | Fabrication method for arranging ultra-fine particles |
US7056849B2 (en) * | 2004-01-16 | 2006-06-06 | General Electric Company | Nanoscale ordered composites of covalent ceramics for high-temperature structural applications via block-copolymer-assisted assembly and method of making |
US7405147B2 (en) | 2004-01-30 | 2008-07-29 | International Business Machines Corporation | Device and methodology for reducing effective dielectric constant in semiconductor devices |
US7030495B2 (en) * | 2004-03-19 | 2006-04-18 | International Business Machines Corporation | Method for fabricating a self-aligned nanocolumnar airbridge and structure produced thereby |
CN100429142C (zh) | 2004-03-24 | 2008-10-29 | 哈尔滨工业大学 | 面向纳米微加工嵌段共聚物模板自组装形态调控方法 |
US20060013956A1 (en) * | 2004-04-20 | 2006-01-19 | Angelescu Dan E | Method and apparatus for providing shear-induced alignment of nanostructure in thin films |
CN102004393B (zh) | 2004-04-27 | 2013-05-01 | 伊利诺伊大学评议会 | 用于软光刻法的复合构图设备 |
US7244665B2 (en) | 2004-04-29 | 2007-07-17 | Micron Technology, Inc. | Wafer edge ring structures and methods of formation |
US7625694B2 (en) | 2004-05-06 | 2009-12-01 | Micron Technology, Inc. | Selective provision of a diblock copolymer material |
WO2006076016A2 (en) | 2004-05-21 | 2006-07-20 | Krzysztof Matyjaszewski | Conducting polymers |
KR101260981B1 (ko) * | 2004-06-04 | 2013-05-10 | 더 보오드 오브 트러스티스 오브 더 유니버시티 오브 일리노이즈 | 인쇄가능한 반도체소자들의 제조 및 조립방법과 장치 |
EP1763704A2 (en) | 2004-06-30 | 2007-03-21 | Koninklijke Philips Electronics N.V. | Soft lithographic stamp with a chemically patterned surface |
CN101198902A (zh) | 2004-06-30 | 2008-06-11 | 皇家飞利浦电子股份有限公司 | 具有化学构图表面的软平版印刷印模 |
US7387939B2 (en) | 2004-07-19 | 2008-06-17 | Micron Technology, Inc. | Methods of forming semiconductor structures and capacitor devices |
JP4389055B2 (ja) | 2004-07-27 | 2009-12-24 | 独立行政法人産業技術総合研究所 | ブロック共重合体−クレイナノコンポジットの高配向膜およびその製造方法 |
US8088293B2 (en) | 2004-07-29 | 2012-01-03 | Micron Technology, Inc. | Methods of forming reticles configured for imprint lithography |
US20060030495A1 (en) | 2004-08-06 | 2006-02-09 | Gregg George L Jr | Bullet lubrication formula |
JP2006055982A (ja) | 2004-08-23 | 2006-03-02 | Ind Technol Res Inst | 組織化分解ジブロックコポリマー薄膜からのナノパターン化テンプレート |
KR20060020830A (ko) | 2004-09-01 | 2006-03-07 | 삼성코닝 주식회사 | 계면활성제를 템플릿으로 이용한 저유전성 메조포러스박막의 제조방법 |
US7115525B2 (en) | 2004-09-02 | 2006-10-03 | Micron Technology, Inc. | Method for integrated circuit fabrication using pitch multiplication |
US20060057051A1 (en) | 2004-09-10 | 2006-03-16 | Sheng Dai | Highly ordered porous carbon materials having well defined nanostructures and method of synthesis |
US20060060863A1 (en) * | 2004-09-22 | 2006-03-23 | Jennifer Lu | System and method for controlling nanostructure growth |
JP3926360B2 (ja) | 2004-10-13 | 2007-06-06 | 株式会社東芝 | パターン形成方法およびそれを用いた構造体の加工方法 |
US7196314B2 (en) | 2004-11-09 | 2007-03-27 | Omnivision Technologies, Inc. | Image sensor and pixel having an anti-reflective coating over the photodiode |
EP1657070B1 (en) | 2004-11-10 | 2008-04-23 | Sony Deutschland GmbH | A stamp for soft lithography, in particular micro contact printing and a method of preparing the same |
US7323387B2 (en) * | 2004-11-12 | 2008-01-29 | Seagate Technology Llc | Method to make nano structure below 25 nanometer with high uniformity on large scale |
US8287957B2 (en) | 2004-11-22 | 2012-10-16 | Wisconsin Alumni Research Foundation | Methods and compositions for forming aperiodic patterned copolymer films |
US20080032238A1 (en) * | 2004-11-23 | 2008-02-07 | Lu Jennifer Q | System and method for controlling the size and/or distribution of catalyst nanoparticles for nanostructure growth |
US20060128165A1 (en) | 2004-12-13 | 2006-06-15 | 3M Innovative Properties Company | Method for patterning surface modification |
US7666465B2 (en) | 2004-12-29 | 2010-02-23 | Intel Corporation | Introducing nanotubes in trenches and structures formed thereby |
US8178165B2 (en) * | 2005-01-21 | 2012-05-15 | The Regents Of The University Of California | Method for fabricating a long-range ordered periodic array of nano-features, and articles comprising same |
DE102005005325B4 (de) | 2005-02-04 | 2011-12-15 | Adesto Technology Corp., Inc. | Verfahren zur Herstellung einer resistiv schaltenden nicht-flüchtigen Speicherzelle |
US7341788B2 (en) | 2005-03-11 | 2008-03-11 | International Business Machines Corporation | Materials having predefined morphologies and methods of formation thereof |
US7514764B2 (en) | 2005-03-23 | 2009-04-07 | Wisconsin Alumni Research Foundation | Materials and methods for creating imaging layers |
US7855046B2 (en) | 2005-04-07 | 2010-12-21 | The University Of North Carolina At Charlotte | Method and apparatus for fabricating shaped structures and shaped structures including one- , two- or three-dimensional patterns incorporated therein |
KR100634327B1 (ko) | 2005-04-13 | 2006-10-13 | 한국기계연구원 | 롤-투-롤 윤전인쇄방식을 이용한 전자소자의 제조방법 및그 제조장치 |
US20060257633A1 (en) | 2005-04-27 | 2006-11-16 | Hitachi Maxell, Ltd. | Method for modifying surface of polymer substrate, method for forming plated film on polymer substrate, method for producing polymer member, and coating member |
US20060249784A1 (en) | 2005-05-06 | 2006-11-09 | International Business Machines Corporation | Field effect transistor device including an array of channel elements and methods for forming |
US7767129B2 (en) | 2005-05-11 | 2010-08-03 | Micron Technology, Inc. | Imprint templates for imprint lithography, and methods of patterning a plurality of substrates |
US7371684B2 (en) | 2005-05-16 | 2008-05-13 | International Business Machines Corporation | Process for preparing electronics structures using a sacrificial multilayer hardmask scheme |
US8399057B2 (en) | 2005-06-08 | 2013-03-19 | The Regents Of The University Of California | Ordered vertically oriented porous inorganic films produced through solution processing |
US7396781B2 (en) | 2005-06-09 | 2008-07-08 | Micron Technology, Inc. | Method and apparatus for adjusting feature size and position |
KR100668846B1 (ko) | 2005-06-10 | 2007-01-16 | 주식회사 하이닉스반도체 | 상변환 기억 소자의 제조방법 |
CN102016814B (zh) | 2005-06-17 | 2013-10-23 | 北卡罗来纳大学查珀尔希尔分校 | 纳米粒子制备方法、系统及材料 |
US7771917B2 (en) | 2005-06-17 | 2010-08-10 | Micron Technology, Inc. | Methods of making templates for use in imprint lithography |
US7507618B2 (en) | 2005-06-27 | 2009-03-24 | 3M Innovative Properties Company | Method for making electronic devices using metal oxide nanoparticles |
US7118784B1 (en) | 2005-06-27 | 2006-10-10 | The Regents Of The University Of California | Method and apparatus for controlling nucleation in self-assembled films |
US7776715B2 (en) | 2005-07-26 | 2010-08-17 | Micron Technology, Inc. | Reverse construction memory cell |
US7306083B2 (en) | 2005-07-27 | 2007-12-11 | Gm Global Technology Operations, Inc. | Magnetorheological fluid device |
CA2618404A1 (en) | 2005-08-04 | 2007-02-15 | Angiotech International Ag | Block copolymer compositions and uses thereof |
US20070045642A1 (en) | 2005-08-25 | 2007-03-01 | Micron Technology, Inc. | Solid-state imager and formation method using anti-reflective film for optical crosstalk reduction |
US7456928B2 (en) | 2005-08-29 | 2008-11-25 | Micron Technology, Inc. | Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography |
EP1760527B1 (en) | 2005-09-05 | 2012-06-06 | DWI an der RWTH Aachen e.V. | Photochemical method for manufacturing nanometrically surface-decorated substrates |
JP4598639B2 (ja) | 2005-09-27 | 2010-12-15 | Okiセミコンダクタ株式会社 | 半導体装置の製造方法 |
US20070183025A1 (en) | 2005-10-31 | 2007-08-09 | Koji Asakawa | Short-wavelength polarizing elements and the manufacture and use thereof |
WO2007055041A1 (ja) | 2005-11-10 | 2007-05-18 | National University Corporation Kyoto Institute Of Technology | 配向したシリンダー構造を有するブロック共重合体膜およびその製造方法 |
US20070122749A1 (en) | 2005-11-30 | 2007-05-31 | Fu Peng F | Method of nanopatterning, a resist film for use therein, and an article including the resist film |
DE602006000939T2 (de) | 2006-01-18 | 2009-07-09 | Consiglio Nazionale Delle Ricerche | Nanometervorrichtung zur Messung der Leitfähigkeit und Quanteneffekte einzelner Moleküle sowie Verfahren zur Herstellung und Verwendung |
US20090155579A1 (en) | 2006-01-20 | 2009-06-18 | Plextronics, Inc. | Electrostatic coatings and articles comprising polythiophenes |
JP2007194175A (ja) | 2006-01-23 | 2007-08-02 | Seiko Epson Corp | 導体パターン用インク、導体パターン、配線基板及び電気光学装置並びに電子機器 |
US7347953B2 (en) | 2006-02-02 | 2008-03-25 | International Business Machines Corporation | Methods for forming improved self-assembled patterns of block copolymers |
US20080073743A1 (en) | 2006-02-17 | 2008-03-27 | Lockheed Martin Corporation | Templated growth of semiconductor nanostructures, related devices and methods |
US20070208159A1 (en) | 2006-03-02 | 2007-09-06 | General Electric Company | Poly(arylene ether) block copolymer compositions, methods, and articles |
US7579278B2 (en) | 2006-03-23 | 2009-08-25 | Micron Technology, Inc. | Topography directed patterning |
US20070222995A1 (en) * | 2006-03-27 | 2007-09-27 | Jennifer Lu | Artifact having a textured metal surface with nanometer-scale features and method for fabricating same |
KR100753542B1 (ko) | 2006-04-19 | 2007-08-30 | 삼성전자주식회사 | 수지 조성물, 이를 이용한 패턴 형성 방법 및 커패시터형성 방법 |
US8080822B2 (en) | 2006-05-22 | 2011-12-20 | Nanyang Technological University | Solution-processed inorganic films for organic thin film transistors |
US20070289943A1 (en) | 2006-06-14 | 2007-12-20 | Jennifer Lu | Block copolymer mask for defining nanometer-scale structures |
US7605081B2 (en) * | 2006-06-19 | 2009-10-20 | International Business Machines Corporation | Sub-lithographic feature patterning using self-aligned self-assembly polymers |
JP4673266B2 (ja) | 2006-08-03 | 2011-04-20 | 日本電信電話株式会社 | パターン形成方法及びモールド |
US20080038467A1 (en) | 2006-08-11 | 2008-02-14 | Eastman Kodak Company | Nanostructured pattern method of manufacture |
JP4421582B2 (ja) | 2006-08-15 | 2010-02-24 | 株式会社東芝 | パターン形成方法 |
US20080047930A1 (en) | 2006-08-23 | 2008-02-28 | Graciela Beatriz Blanchet | Method to form a pattern of functional material on a substrate |
KR100739000B1 (ko) | 2006-09-11 | 2007-07-12 | 삼성전자주식회사 | 상변화 기억 소자의 형성 방법 |
KR100771886B1 (ko) | 2006-09-27 | 2007-11-01 | 삼성전자주식회사 | 블럭 공중합체를 사용한 미세 콘택홀 형성 방법 및 반도체소자 제조 방법 |
US7658773B2 (en) | 2006-09-29 | 2010-02-09 | Qimonda Ag | Method for fabricating a solid electrolyte memory device and solid electrolyte memory device |
TWI311337B (en) | 2006-10-02 | 2009-06-21 | Au Optronics Corporatio | Multi-domain vertical alignment pixel structure and fabrication method thereof |
US7592247B2 (en) * | 2006-10-04 | 2009-09-22 | International Business Machines Corporation | Sub-lithographic local interconnects, and methods for forming same |
US7553760B2 (en) * | 2006-10-19 | 2009-06-30 | International Business Machines Corporation | Sub-lithographic nano interconnect structures, and method for forming same |
US8343578B2 (en) * | 2006-10-30 | 2013-01-01 | International Business Machines Corporation | Self-assembled lamellar microdomains and method of alignment |
US7560222B2 (en) | 2006-10-31 | 2009-07-14 | International Business Machines Corporation | Si-containing polymers for nano-pattern device fabrication |
US7514339B2 (en) * | 2007-01-09 | 2009-04-07 | International Business Machines Corporation | Method for fabricating shallow trench isolation structures using diblock copolymer patterning |
KR20080069000A (ko) | 2007-01-22 | 2008-07-25 | 삼성전자주식회사 | 액정 표시 장치 |
US8394483B2 (en) * | 2007-01-24 | 2013-03-12 | Micron Technology, Inc. | Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly |
US7767099B2 (en) | 2007-01-26 | 2010-08-03 | International Business Machines Corporaiton | Sub-lithographic interconnect patterning using self-assembling polymers |
WO2008096335A2 (en) | 2007-02-07 | 2008-08-14 | Yeda Research And Development Co. Ltd. | Producing an array of nanoscale structures on a substrate surface via a self-assembled template |
US7964107B2 (en) * | 2007-02-08 | 2011-06-21 | Micron Technology, Inc. | Methods using block copolymer self-assembly for sub-lithographic patterning |
US8083953B2 (en) | 2007-03-06 | 2011-12-27 | Micron Technology, Inc. | Registered structure formation via the application of directed thermal energy to diblock copolymer films |
US8557128B2 (en) | 2007-03-22 | 2013-10-15 | Micron Technology, Inc. | Sub-10 nm line features via rapid graphoepitaxial self-assembly of amphiphilic monolayers |
US7999160B2 (en) * | 2007-03-23 | 2011-08-16 | International Business Machines Corporation | Orienting, positioning, and forming nanoscale structures |
US7888228B2 (en) | 2007-04-05 | 2011-02-15 | Adesto Technology Corporation | Method of manufacturing an integrated circuit, an integrated circuit, and a memory module |
US8097175B2 (en) | 2008-10-28 | 2012-01-17 | Micron Technology, Inc. | Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure |
US7959975B2 (en) | 2007-04-18 | 2011-06-14 | Micron Technology, Inc. | Methods of patterning a substrate |
US8294139B2 (en) * | 2007-06-21 | 2012-10-23 | Micron Technology, Inc. | Multilayer antireflection coatings, structures and devices including the same and methods of making the same |
US8372295B2 (en) * | 2007-04-20 | 2013-02-12 | Micron Technology, Inc. | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method |
DE102007024653A1 (de) | 2007-05-26 | 2008-12-04 | Forschungszentrum Karlsruhe Gmbh | Stempel für das Mikrokontaktdrucken und Verfahren zu seiner Herstellung |
US8404124B2 (en) | 2007-06-12 | 2013-03-26 | Micron Technology, Inc. | Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces |
US8080615B2 (en) * | 2007-06-19 | 2011-12-20 | Micron Technology, Inc. | Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide |
KR101291223B1 (ko) | 2007-08-09 | 2013-07-31 | 한국과학기술원 | 블록 공중합체를 이용한 미세 패턴 형성 방법 |
US7732533B2 (en) * | 2007-08-31 | 2010-06-08 | Micron Technology, Inc. | Zwitterionic block copolymers and methods |
US7989026B2 (en) * | 2008-01-12 | 2011-08-02 | International Business Machines Corporation | Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films |
US8999492B2 (en) | 2008-02-05 | 2015-04-07 | Micron Technology, Inc. | Method to produce nanometer-sized features with directed assembly of block copolymers |
US8101261B2 (en) | 2008-02-13 | 2012-01-24 | Micron Technology, Inc. | One-dimensional arrays of block copolymer cylinders and applications thereof |
US7696085B2 (en) * | 2008-02-20 | 2010-04-13 | International Business Machines Corporation | Dual damascene metal interconnect structure having a self-aligned via |
US8168468B2 (en) | 2008-02-29 | 2012-05-01 | Freescale Semiconductor, Inc. | Method of making a semiconductor device including a bridgeable material |
US8425982B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Methods of improving long range order in self-assembly of block copolymer films with ionic liquids |
US8426313B2 (en) | 2008-03-21 | 2013-04-23 | Micron Technology, Inc. | Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference |
US8114300B2 (en) * | 2008-04-21 | 2012-02-14 | Micron Technology, Inc. | Multi-layer method for formation of registered arrays of cylindrical pores in polymer films |
US8114301B2 (en) | 2008-05-02 | 2012-02-14 | Micron Technology, Inc. | Graphoepitaxial self-assembly of arrays of downward facing half-cylinders |
US8114468B2 (en) | 2008-06-18 | 2012-02-14 | Boise Technology, Inc. | Methods of forming a non-volatile resistive oxide memory array |
US8088551B2 (en) | 2008-10-09 | 2012-01-03 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8173034B2 (en) | 2008-11-17 | 2012-05-08 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
US8314206B2 (en) | 2008-12-02 | 2012-11-20 | Micron Technology, Inc. | Block copolymer-comprising compositions and methods of purifying PS-b-PXVP |
US8834956B2 (en) | 2009-06-22 | 2014-09-16 | Micron Technology, Inc. | Methods of utilizing block copolymer to form patterns |
-
2008
- 2008-02-13 US US12/030,562 patent/US8101261B2/en active Active
-
2009
- 2009-01-27 CN CN2009801050240A patent/CN101952195B/zh active Active
- 2009-01-27 KR KR1020107020026A patent/KR101262460B1/ko not_active IP Right Cessation
- 2009-01-27 EP EP09711291A patent/EP2262721A2/en not_active Withdrawn
- 2009-01-27 WO PCT/US2009/032130 patent/WO2009102551A2/en active Application Filing
- 2009-02-10 TW TW098104203A patent/TWI462871B/zh not_active IP Right Cessation
-
2011
- 2011-12-06 US US13/312,383 patent/US8642157B2/en active Active
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20060231525A1 (en) * | 1999-06-07 | 2006-10-19 | Koji Asakawa | Method for manufacturing porous structure and method for forming pattern |
US20060249796A1 (en) * | 2002-03-22 | 2006-11-09 | Avto Tavkhelidze | Influence of surface geometry on metal properties |
US6962823B2 (en) * | 2002-04-02 | 2005-11-08 | Nanosys, Inc. | Methods of making, positioning and orienting nanostructures, nanostructure arrays and nanostructure devices |
US20070281220A1 (en) * | 2006-06-02 | 2007-12-06 | Sandhu Gurtej S | Topography based patterning |
Non-Patent Citations (1)
Title |
---|
Yang, XiaoMin; Xiao, Shuaigang; Liu, Chao; Pelhos, Kalman; Minor, K., "Nanoscopic templates using self-assembled cylindrical diblock copolymers for patterned media," Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures , vol.22, no.6, pp.3331,3334, Nov 2004^&rn^ ^&rn^ * |
Also Published As
Publication number | Publication date |
---|---|
CN101952195B (zh) | 2012-11-28 |
US20090200646A1 (en) | 2009-08-13 |
CN101952195A (zh) | 2011-01-19 |
US20120076978A1 (en) | 2012-03-29 |
WO2009102551A3 (en) | 2009-11-26 |
EP2262721A2 (en) | 2010-12-22 |
TW200951065A (en) | 2009-12-16 |
US8642157B2 (en) | 2014-02-04 |
US8101261B2 (en) | 2012-01-24 |
KR20100112196A (ko) | 2010-10-18 |
KR101262460B1 (ko) | 2013-05-08 |
WO2009102551A2 (en) | 2009-08-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI462871B (zh) | 嵌段共聚物圓柱體之一維陣列及其應用 | |
US11282741B2 (en) | Methods of forming a semiconductor device using block copolymer materials | |
US8455082B2 (en) | Polymer materials for formation of registered arrays of cylindrical pores | |
US9142420B2 (en) | Extensions of self-assembled structures to increased dimensions via a “bootstrap” self-templating method | |
US9257256B2 (en) | Templates including self-assembled block copolymer films |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
MM4A | Annulment or lapse of patent due to non-payment of fees |