JPS62102543A - 同平坦面の金属層および絶縁層の形成方法 - Google Patents

同平坦面の金属層および絶縁層の形成方法

Info

Publication number
JPS62102543A
JPS62102543A JP61214224A JP21422486A JPS62102543A JP S62102543 A JPS62102543 A JP S62102543A JP 61214224 A JP61214224 A JP 61214224A JP 21422486 A JP21422486 A JP 21422486A JP S62102543 A JPS62102543 A JP S62102543A
Authority
JP
Japan
Prior art keywords
layer
metal
polishing
conductive film
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP61214224A
Other languages
English (en)
Other versions
JPH0777218B2 (ja
Inventor
クラウス・デイートリツチ・ベイヤー
ウイリアム・レスリー・ガスリー
スタンレー・リチヤード・マーカレウイツクズ
エリツク・メンデル
ウイリアム・ジヨン・パトリツク
キヤサリーン・アリス・ペリー
ウイリアム・アロン・プリスキン
ヤコブ・ライズマン
ポール・マーチン・シヤイブル
チヤールズ・ランバート・スタンドレイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPS62102543A publication Critical patent/JPS62102543A/ja
Publication of JPH0777218B2 publication Critical patent/JPH0777218B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は高性能VLSI半導体チップの製造に関し、さ
らに具体的には、改善された研摩スラリを用いる化学機
械的研屡技術により基板上に同平坦面の金属/絶縁体膜
を作るだめの方法に関するものである。上記方法は平坦
化されたマルチレベル金属半導体構造の製造に広い用途
を見出すことができる。
〔従来技術及び発明が解決しようとする問題点〕半導体
チップは接点が配線金属線条のパターンにより相互接続
されたデバイスの配列から成る。
VLSIチップでは、これらの金属パターンを多層化し
、絶縁材料の層によシ多層化する。それぞれの金属配線
パターン間の相互接続は上記絶縁材料の層を貫いてエツ
チングした穴(すなわちバイヤホール)により行なう。
典型的なチップ設計は1つまたは2つの配線レベルから
成シ、最新の技術では3つの配線レベルである。回路の
コストや性能に関して製造工程で常に要求されているの
は、補足的な配線レベルによって付加的な処理工程が必
要になっても、その回路に競争力があるということであ
る。しかし、今日広く用いられているとは言え、パイヤ
ホールを用いる技術は多くの制限と欠点を有し、第2図
から明らかに理解されるように、金属層の数が増加する
に従って配線は増々難しくなる。
第2図に示す半導体構造10は上記従来技術の典型的な
一例である。それは二酸化シリコン(s i02 )の
パターン化された第一の絶縁層12をその上に有する所
定の導電形のシリコン基板11から成る。第ルベルのメ
タライズをパイヤホール14を介して基板の領域15と
電気的に接触する金属ランド13により表す。それは、
たとえばオーミックコンタクトとして、バイポーラ・ト
ランジスタ(図示せず)のエミッタ領域と接触する。
金属ランド16によシ表される第2レベルのメタライズ
は第2の絶縁層18のパイヤホール17を介して金属ラ
ンド16と電気的に接触する。この構造を第3の絶縁層
19でパッシベートする。
第2図に示す構造は一定の割合で描かれていないが、標
準的工程から生じる平坦からはほど遠い非常に不規則な
表面についての概念を示す。
そのような構造で知られている問題点は、第1に間の絶
縁層が薄くなることに帰因した第1および第2レベルの
メタライズ間の位置Aにおける電位短絡であシ、第2に
位置Bにおける金属層が薄くなることに帰因した位置B
での電位開放の問題(いわゆるネッキング効果)である
。これらの問題はこの産業で要求されている高水準の信
頼性にとっては受は入れ難いものである。したがって、
現在真剣に必要とされているのは、そのような不規則な
表面を平坦化するという差し迫った問題を解決するため
パイヤホール技術を改善することである。
最新の平坦化技術の典型的な一例をヨーロッパ特許出願
番号80302457.9に見出すことができる。この
参照文献によれば、半導体構造の表面におけるどのよう
な種類の突起も次の工程により除去することができる。
すなわち、上記表面にほぼ平坦な表面を有するフオトレ
ジスの層を形成し、次にフォトレジストと上記突起を形
成する材料の両方を同じ速度でエツチングする反応ガス
を用いてこの構造の上部表面を乾式エツチングする。
除去される材料が燐ケイ酸塩ガラス(PSG)のときは
、反応ガスは弗素化合物と酸素の混合物であり、材料が
アルミニウムのときに、反応ガスは塩素をベースとした
化合物と水素または酸素の混合物である。それぞれの材
料に対して反応ガスを適切に選択しなければならない。
この工程は以下に挙げる幾つかの重大な欠点を有する。
fl)第2レベル(および次のレベルの)メタライズの
みが平坦化されるので、第2レベルの金属ランドに対す
るネッキングの電位危険が依然として存続する(ヨーロ
ッパ特許出願番号80302457.9の第5図参照)
(2)第2の絶縁層は第ルベルの金属ランドが第1の絶
縁層の上にある位置では非常に薄い。このことはそれぞ
れのレベルにおける金属線間の短絡および望ましくない
寄生キャパシタンスおよび結合をも引起す可能性がある
(ヨーロッパ特許出願番号80502457.9の第5
図参照〕。
(3)工程を終らせるだめのエツチング停止障壁が元々
備わっておらず、さらにウェハ内およびウェハ間にエツ
チング速度の変動があるので、エツチング・バック動作
を非常に正確に制御しなければならない。危険は第ルベ
ルのメタライズの上部1=出することである(ヨーロッ
パ特許出願番号80302457.9の第5図参照)。
(4)  上記エツチング停止障壁がないので、反応ガ
スの性質を変えて、アルミニウムの乾式エツチングを2
段階工程で行なう(第12および第15図参照)。
さらに一般には、レジスト平坦化媒体を有する金属のプ
ラズマ・エツチングまたは反応性イオン・エツチング(
RIE)は半導体デバイスを平坦化するだめの好ましい
方法に見えるが、それらの技術に固有な限界を有する。
第1に、これらの技術は全ての金属に用いることはでき
ず、揮発性反応生成物を形成する金属にのみ用いること
ができる。次に、アルミニウムに関する限シ、この金属
の表面における薄いAl2O3層の存在によシ工程は複
雑になる。このAl2O3層を除去するため予期不能な
開始時期が必要とされ、さらにアルミニウム層自体の急
速で不均一な除去がそれに続き、そのため制御するのが
難しい工程になっていることが報告されていた。最後に
、RIE工程は複雑で費用がかかる。さらに、レジスト
の使用は汚染源にもなり得る。
金属および絶縁体を平坦化するため化学機械的研摩工程
を用いることについてはこれまで全く提案されていなか
った。機械的研摩(すなわち摩耗研摩)の使用が試験目
的のための第2レベルのメタライズにおけるアルミニウ
ム・ランドの急速除去に対するC、H,スラリブナ(S
crivner)により書かれた2つの論文に最近報告
された。
IBMテクニカル・ディスクロージャ・プルテン、Vo
l、20、No、11A1P、4430−4451.1
978年4月に発表された第1の論文では、試験用チッ
プの特別な設計は研究室での容易な診断に向いていると
記載されている。記載されたこの試験用チップを使うに
は、人はパイヤホールを分離するため第2レベルにおけ
る金属を除去する能力を持たねばならない。これはウニ
ノー全体を並行研摩することによシ摩耗的に達成される
。金属は調査のためバイヤホール内に元のまま残される
研摩スラリの組成は明らかにされていないが、水をベー
スとしたシリカまたはアルミナ・スラリのような標準的
研摩スラリを用いることができる。
さらに、1つの研摩スラリの使用に関する情報fIBM
テクニカル・ディスクロージャ・プルテンVo1.24
、No、4.1981年、P、2158に発表された第
2の論文に見出すことができる。
後者によれば、試験用チップまたはそれを含む1枚のウ
ェハを金属スタッド(直径2.5cm)上に載せ、次に
それをチップの表面を研摩する市販の並行研摩装置の中
に挿入する。この論文は前に引用した技術の欠点をはっ
きりと指摘し、具体的には、研摩工程がウェハにとって
破壊的であると述べている。また、ウェハのわずかな面
積のみが関係するときは、論文はチップ上の限定された
部分における第2レベルの金属2手で除去するためアル
ミナ粉末のスラリに浸した鉛筆形の消しゴムの使用を提
案している。
アルミナ・スラリを有する上記機械的研摩技術を多層化
された金属構造の研摩に当業者が適用するの全妨げる幾
つかの理由がある。第1に、アルミナは研摩剤であると
考えられる。ラッピングに用いられるとはいえ、シリカ
・スラリと比べて結晶損傷傾向が太きいため、アルミナ
はシリコン基板の最終的化学機械的研摩のためには用い
られない。しかし、T、フナツ(Funatsu)への
米国特許第4375675号は513N4工ツチング停
止層に対してポリシリコン充填物の選択的な化学機械的
除去を行なうためアルカリ添加剤を有するアルミナ・ス
ラリを用いるポリシリコン分離平坦化工程について記載
している。しかし、能動および受動デバイスは両方とも
工程のこの段階では未だ形成されなかった。同様な開示
を米国特許第3911562号に見出すことができる。
別の理由は、絶縁表面からAfi−Cu層を除去するた
め水音ベースとしたアルミナ・スラリを用いる機械的研
摩の使用はメタライズ構造を作るだめの制御可能な工程
をもたらさないということである。そのようなスラリは
An−CuおよびS 102を同じエツチング速度で研
摩し、絶縁層の相当な除去をもたらすことが後段に示さ
れる(表■参照)。
したがって、依然として基板上に同平坦面の金属/絶縁
体膜を作る新しい改良された方法が要求されている。
したがって、本発明の主な目的は複雑な、不完全に制御
された、費用のかかる、さらに汚染のもととなる菟式エ
ツチング平坦化技術を必要とすることなく基板上に同Y
坦面(、Coplanar)の金属/絶縁体膜を作るた
めの方法を提供することにある。
本発明の別の目的は金属または絶縁体の性質に関するい
かなる制限も持たない化学機械的技術によシ基板上に同
平坦面の金属/絶縁体膜を作るだめの方法を提供するこ
とにある。
本発明の別の目的は良好な金属形状が得られる化学機械
的技術により基板上に同平坦面の金属/絶縁体膜を作る
ための方法を提供することにある。
本発明のさらに別の目的は、絶縁体または金属を非常に
制御性の良い工程において自動エツチング停止障壁とし
て使用することを許容するため絶縁体に対するのとはか
なシ違う金属に対する除去速度を有する改善された選択
的スラリ?用いる化学機械的技術により、基板上に同平
坦面の金属/絶縁体膜を作るための方法を提供すること
にある。
〔問題点を解決するための手段〕
本発明によれば、基板を用意する工程、少くともパイヤ
ホールと、線状くぼみ、またはそれらの組合せを有する
絶縁材料の層を上記基板上に形成する工程(第1の実施
例)、さらに導電性金属をこの構造に付着する工程を含
み、上記金属は絶縁材料に対する場合よりかなり速い金
属に対する除去速度を有するスラリで化学機械的に研摩
されることを特徴とする方法により同平坦面の金属/絶
縁体膜が基板上に作られる。したがって、上記絶縁材料
の層は所望なら、自動研摩またはエツチング停止層とし
て用いることができる。その代シに、研摩停止層だけを
絶縁材料の上部において用いることもできる。
AN−Cuのようなアルミニウムをベースとした合金と
S i O2のようなドープされた、またはドープされ
ていないガラス質をそれぞれ金属および絶縁材料として
用いる場合は、スラリは約3よシ小さいp を生じるた
め希酸(典型的にはHNO6溶液)内のアルミナ粉末か
ら成ることが好ましい。
所望ならば、好適な研摩停止材料は窒化シリコン膜であ
る。
上記方法は多層化された金属半導体構造の製造工程にお
けるどのレベルのメタライズにおいてでも使うことがで
き、さらに平坦な表面を作るため所期の回数だけ繰返す
ことができる。
第2の実施例では、パターン化された導電性材料の層を
基板上に形成し、絶縁材料の層を基板に付着する。絶縁
材料をスラリで化学機械的に研摩する。研摩停止層自体
はやはシ随意である。両実施例では、絶縁層はSiO2
またはポリイミド、または他の材料で良い。
〔実施例〕
例I 第1A図には、はぼ平坦な表面を有し、絶縁層22を上
に有する半導体物体または絶縁物体でよい基板21から
成る構造2Qが描かれている。たとえば、層22全形成
する誘電体材料は厚さが所期の金属の厚さと下側にある
誘電体の厚さくゼロの場合もあり得る)の相に等しいス
パッタリングされた二酸化シリコンでよい。研摩停止層
、たとえばS iz、 N 4を研摩での一層良好な厚
さの制御のためS i O2(石英)の上部に付着する
ことができる。層22を標準的なフォトリトグラフィ技
術でパターン化して、所期のパターン、たとえばトレン
チ24を生じる。トレンチとは、絶縁層の厚さを部分的
に、または完全に貫通してもよい任意の形状のくぼみを
意味する。次にトレンチに金属を満たし、たとえば金属
線条23aを作る。Al1−Cu合金のような高導電性
金属が層23が構造に一律に付着され、さらに上記トレ
ンチ24を満たす。
以下の方法に従って、合金をスパッタリングされたS 
r 02の上部表面まで除去し、トレンチ内のものはそ
のままにしておく。
構造を直径18インチのストラスバーブ(Strasb
augh)片面研摩装置のような市販の並行研摩装置、
またはIBMテクニカル・ディスクo−ジーv−プルテ
ィン、Vol、15、N006.1972年11月、P
、1760〜1761に記載された装置の中に置く。好
ましい組成の研摩スラリを2つの異なる方法で調整する
ことができる。
1リツトルのDI水中に浮遊する1グラムのA p、 
20 z。
粉末(0,06ミクロンの寸法)を90ccのDI水中
における10ミリリツトルのHN Osの容液と混合し
、約5のpHを得る。または、2台のポンプ装置を用い
て、硝酸をニードル弁により第1の溶液に加えて同じp
Hヲ得る。その他の研摩条件を以下に要約する。
研摩媒体 :pHが約3の酸をベースとしたアルミナ・
スラリ スラリ流速:120cc/分 研摩圧  : 1400〜5700Kg/m2研摩パッ
ド:ローデル(Rodel’) 210  I 2(ロ
ーデル・プロダクツ社製) 水をベースとしたアルミナ・スラリを単独または別の希
酸と組合せて用いて、11−Cu合金およびスパッタリ
ングされたSiO2の研摩速度を測定した。その結果を
下の表■に示す。
表■ 1 アルミナ+DI水 30nm/分 30nm/分 
 12 アルミナ+DI水85nm/分 33nm/分
  3+硫酸(pH2,2) 3 アルミナ+DI水1107n/G   8層m/分
  16+硝酸(pH2,2) 4 アルミナ+DI水150nrQ/分 425nm/
分  3+酢酸(pH2,8) 表Iの試験は酸の添加が水をベースとしたアルミナ・ス
ラリのエツチング能力をある程度(事例2および4)ま
たは大幅に(事例3)改善することを示す。水をベース
としたアルミナ・スラリは金属を除去したり金属試料を
調整するため研摩剤として一般に用いられてきたり、さ
らに上述のように、試験または調査のため半導体構造の
表面から金属ランドを除去するため用いられてきたこと
は明らかである。しかし、事例1から明らかなように、
水中のA l 205の使用はAl−Cuとスパッタリ
ングされた8 102間に所期の除去選択性を何等もた
らさず、これは不十分にしか制御できない工程で810
2層のかなpの部分も除去されること?意味する。対照
的に、約6より小さいpfHf生じるための酸の添加、
特にHNO6の添加はAlt −Cuの除去速度を大幅
に増大させる化学機械的研摩スラリを生じ、一方、予期
しないことであるが、スパッタリングされたSiO2の
除去速度を同時に減少させ、全体として他とは異なる大
きなエツチング速度比をもたらす。HNO6は金属に対
する周知のエツチング剤であるが、驚くべきことには、
本方法では、金属の工程の終りにおいてトレンチ内で腐
食されない。上記方法は12回の別々の研摩作業におい
て再現可能なことが分った。詳細には、A l / C
uとスパッタリングされたS iO2の間の他とは異な
る大きなエツチング速度比は自動エツチング停止障壁と
して働くSio2層であるトレンチ内の残りの金属の厚
さに対するすぐれた制御を保証する。
第1B図のようにトレンチ24を満たす金属26aの上
部表面は絶縁層に埋め込まれた導体の線または線条とし
て考えることができる。したがって、広い用途と見出す
ことが可能な非常に滑かな表面を備える同平坦面の金属
/絶縁体膜を結果として得る。
例■ 半導体構造60の限定された部分を第3A図に示す。そ
れはスパッタリングされたS r 02のような誘電体
材料のパターン化された層32でパッシベートされた所
定の導電形のシリコン基板61からなる。絶縁層はバイ
ヤ(またはスルー)ホール36を備える。バイヤホール
により、絶縁層の厚さを完全に貫通し、金属で満たされ
たときそれぞれのレベルに置かれた導電性材料の間に電
気的相互接続をもたらす穴を意味する。Al−Cuのよ
うな高導電性金属の層64を基板上に一律に付着した。
金属は既にシリコン基板に形成されていた拡散領域35
とオーミックコンタクトをなす。シリコン基板と関連し
て説明したが、基板は分離形(たとえば)セラミック、
ガラス、または工程の前の段階で形成された金属層のい
ずれかであってもよいことは言うまでもない。
例Iに関して説明した化学機械的研摩技術を実施した後
で、第3B図に示す構造を結果として得る。パイヤホー
ル63は完全に金属で満たされ、その上部表面は絶縁層
32の上部表面と同平坦面である。金属54aはたとえ
ばスタッドと考えることができる。したがって、この場
合には、次にマルチレベルの相互接続体系で用いること
ができる同平坦面の金属/絶縁体環も作られる。金属付
着の前に、プラチナ・シリサイド接点が領域35で形成
されるか、または、所望ならば、充填金属が冶金と接触
することができる。
例■ 例■に関して教示された導電性の線が、または例■に教
示された金属充填パイヤホールのいずれかを形成する工
程の組合せは平坦化されたマルチレベル金属構造の製造
をもたらす。第4図に示すように、マルチレベル金属構
造40は多層金属構造42を備えた基板41から成る。
構造42は上述の化学機械的研摩技術を連続的に適用し
て、絶縁体44内に導電性の線43を、次に絶縁体46
内に金属充填パイヤホール45を、最後に絶縁体48内
に導電性の線47を形成することによシ形成される。
S 102の代シにポリイミドを誘電体材料として使う
ことができる。スパッタリングされたS iO2層を標
準的スパッタリング技術により付着し、ポリイミドを標
準的な回転および硬化工程によシ塗布する。絶縁層はほ
ぼ平坦な表面を覆って塗布されるので、層は平坦化した
膜である必要はなく、したがって、酸化物が関係すると
ころではPECVDのような高速付着技術を用いること
ができる。ドープされた、またはドープされていないガ
ラス質のような他の誘電体材料、さらに種々の重合体も
用いることができる。使用される材料における唯一の制
限は工程の残シの部分との適合性と絶縁層内にトレンチ
またはバイヤホールを形成する能力である。両方とも標
準的フォトリトグラフィ技術を用いて形成する。次に、
意図した金属パターンを湿式またはRIEエツチング技
術によシ誘電体に転写するが、微細な寸法が必要とされ
るところでは後者の方が好ましい。トレンチまたはパイ
ヤホールを形成するため使用可能な他の技術には、投射
レーザ支援エツチング、スパッタリング技術または反応
イオン・ビーム・エツチングがある。絶縁層内に完成さ
れたトレンチまたはノ(イヤホールの寸法により画定さ
れるので、微細な金属形状が得られることが分る。絶縁
体のRIEは金属のRIEよりもよく理解されると共に
もつと制御性にすぐれた工程である。本発明はその差異
を利用できる。スパッタリング、CVDまたは電気めっ
きを含むどのような共形的技術によって金属を付着して
もよい。もちろん、アルミニウムとその合金(An−S
t 、 An−Cu )が好ましいとは言え、本発明は
それらに限定されるものではなく、他の金属も同様に使
うことができる。選択的な化学機械的研摩を用いて同平
坦面の金属/絶縁体膜を作る本発明の広い概念は広い用
途を有する。
大きな除去速度比を有する化学機械的研摩技術を金属と
誘電体材料の多くの組合せに対して見出すことができる
。化学機械的技術の利点は、それが引揚げ工程よシ速く
、費用が安く、より微細な寸法にまで及ぶことができる
ことである。金属RIE技術に比べて広範囲の金属に適
用可能である。
乾式エツチング平坦化技術とは著しく違て、化学機械的
平坦化技術は被覆材料が始めに覆われた材料の上部表面
と同平坦面である平坦な構造を生じる。何故ならば、選
択的スラリは自動エツチング停止層として用いられる後
者の材料を大幅に除去しないからである。乾式エツチン
グ平坦化技術よシ広範囲の金属に適用可能であり、さら
にもつと制御性が良い。
本発明の第2の実施例では、絶縁材料の層を第5図に示
すような下のレベルのパターン化された金属の上に付着
する。スラリの成分を適当に変えて、本発明の化学機械
的研摩技術は研摩の終了時に露出される下側にある導電
性金属より大幅に速い速度で上側にある絶縁材料を選択
的に除去することによシ、絶縁された構造を断続線50
まで平坦化するように適応できる。たとえば、絶縁材料
がスパッタリングされたS iO2であり、金属がA塁
−Cuであるとき、水酸化カリウムの塩基性溶液(pH
約11乃至11.5)とほぼ1乃至10%の固体含量を
有するシリカ粒子とを含むスラリか好適である。研摩パ
ッドの材料は好ましくはポリエステルであり、研摩負荷
の下で変形しないように十分固い。最初の平坦化作用の
間は、下側にある金属構造の形状のため、高い地点51
における絶縁材料は低い地点52および55におけるよ
シ速い速度で除去される。さらに、所望ならば、研摩エ
ツチング停止層を単独で用いることができるが、スラリ
の選択度が下側にある層の除去速度に関連した上側にあ
る層の除去速度に関して増大するときは随意になる。好
適な研摩エツチング停止材料には、たとえば、ポリイミ
ド膜のような有機重合体(エツチング・プラズマまたは
CVDがS r 02を付着したときン、またはプラズ
マ窒化シリコン、MgOまたはA 1203のような無
機材料(エツチングが8102をスパッタリングしたと
き)がある。
下側にある構造の表面の残シの部分に対して、51のよ
うな高い地点の形状の寸法および密度にかかわらず、付
着された絶縁材料の厚さをLおよびにレベルの金Rを含
わせた厚さよp小さくすることが平坦化の最適な結果を
得るために好ましいことが第2の実施例で分った。一般
に、化学機械的研摩において、絶縁材料の完全な平坦化
が達成される前にスタッドの金属が到達されるように絶
縁材料の厚さを選ばなければならない。通常、5102
の厚さが大きすぎるときは、第5図のS r 02を大
きなスタッドのような大きな形状にわたって、またはス
タッドの大きな配列にわたって均一に除去することは分
離されたスタッドにわたって除去するよりも難しい。S
io2の厚さを覆われる金属の厚さよりも幾分小さい量
に制限することにより、S s O2はそれぞれの高い
地点にわたってほぼ同時に除去される傾向がある。
〔発明の効果〕
複雑で費用がかがシ、汚染のもととなる乾式エツチング
平坦化技術を必要とすることなく、基板上に同平坦面(
Coplaanar)金属/絶縁体膜を作ることができ
る。
【図面の簡単な説明】
第1Aおよび第1B図は同平坦面の金属/絶縁体表面を
作るため絶縁層内に形成された導電性の線に適用された
本発明の第1の実施例の方法を示す多層金属半導体構造
の概略断面図、第2図は標準的工程に従って製造され、
典型的な平坦でない表面を示す多層金属半導体構造の概
略断面図、第3Aおよび第6B図は同平坦面の金属/絶
縁体膜を作るため絶縁体層内に形成された金属充填パイ
ヤホールの形成に適用された本発明の第1の実施例の方
法を示す多層金属半導体構造の概略断面図、第4図は平
坦化されたマルチレベル金属構造2作るため上記工程を
組合わせる本発明の第1の実施例の方法を示す多層金属
半導体構造の概略断面図、第5図は同平坦面の絶縁体/
金属表面を作るため下側のレベルのパターン化された金
属の上に付着された絶縁材料の層に適用された本発明の
第2の実施例の方法を示す多層金属半導体構造の概略断
面図である。 20.30.40・・・・半導体構造、21.31.4
1・・・・基板、22.32・・・・誘電体層、23.
34・・・・導電性金属層、23a、45・・・・金属
線条、24・・・・トレンチ、33.45・・・・バイ
ヤホール、44.46・・・・絶縁体。 出願人 インターナZけル・ビジ木ス・マシーンズ・コ
ーポレーション復代理人 弁理士  篠   1)  
文   雄第4A図 第3A図

Claims (3)

    【特許請求の範囲】
  1. (1)同平坦面(Coplaanar)の導電性膜およ
    び絶縁性の膜を備えた構造を基板上に作るための方法で
    あつて、 上記基板上に平坦でない表面を有する上記導電性の膜ま
    たは上記絶縁体の膜のいずれか一方の第1の層を形成し
    、 上記第1の層の上部表面の形状に従う上部表面を有する
    上記導電性の膜または上記絶縁体の膜の他方の第2の層
    を上記第1の層上に付着し、上記第1および第2の層の
    表面が実質的に同平坦面になるまで上記第2の層を上記
    第1の層より速い速度で除去するため、スラリを用いて
    上記構造を化学機械的に研摩することを特徴とする、同
    平坦面の導電性膜および絶縁性膜の形成方法。
  2. (2)上記第1の層は上記絶縁体の膜であり、上記第2
    の層が少くとも上記第1の層の上記上部表面の平坦でな
    い範囲と同じ厚さであることを特徴とする特許請求の範
    囲第(1)項記載の方法。
  3. (3)上記第1の層は上記導電性の膜であり、上記第2
    の層が上記第1の層の平坦でない範囲と同じ厚さでない
    ことを特徴とする特許請求の範囲第(1)項記載の方法
JP61214224A 1985-10-28 1986-09-12 同平坦面の金属層および絶縁層の形成方法 Expired - Lifetime JPH0777218B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US791860 1985-10-28
US06/791,860 US4944836A (en) 1985-10-28 1985-10-28 Chem-mech polishing method for producing coplanar metal/insulator films on a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP6291012A Division JP2659918B2 (ja) 1985-10-28 1994-11-25 同平坦面の金属層および二酸化シリコン層の形成方法

Publications (2)

Publication Number Publication Date
JPS62102543A true JPS62102543A (ja) 1987-05-13
JPH0777218B2 JPH0777218B2 (ja) 1995-08-16

Family

ID=25155001

Family Applications (2)

Application Number Title Priority Date Filing Date
JP61214224A Expired - Lifetime JPH0777218B2 (ja) 1985-10-28 1986-09-12 同平坦面の金属層および絶縁層の形成方法
JP6291012A Expired - Lifetime JP2659918B2 (ja) 1985-10-28 1994-11-25 同平坦面の金属層および二酸化シリコン層の形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP6291012A Expired - Lifetime JP2659918B2 (ja) 1985-10-28 1994-11-25 同平坦面の金属層および二酸化シリコン層の形成方法

Country Status (5)

Country Link
US (1) US4944836A (ja)
EP (1) EP0223920B1 (ja)
JP (2) JPH0777218B2 (ja)
CA (1) CA1245517A (ja)
DE (1) DE3676458D1 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0669187A (ja) * 1992-06-04 1994-03-11 Micron Technol Inc 半導体処理方法
US6346471B1 (en) 1998-05-26 2002-02-12 Nec Corporation Multilayer wiring structure and semiconductor device having the same, and manufacturing method therefor
US6479374B1 (en) 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6527818B2 (en) 2000-02-09 2003-03-04 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
US6917076B2 (en) 1996-05-28 2005-07-12 United Microelectronics Corporation Semiconductor device, a method of manufacturing the semiconductor device and a method of deleting information from the semiconductor device
JP2009124094A (ja) * 2007-10-24 2009-06-04 Hitachi Chem Co Ltd Cmp用研磨液
WO2012133561A1 (ja) 2011-03-30 2012-10-04 株式会社 フジミインコーポレーテッド 研磨用組成物および研磨方法
US8501625B2 (en) 2007-07-10 2013-08-06 Hitachi Chemical Co., Ltd. Polishing liquid for metal film and polishing method
US9486892B2 (en) 2012-11-02 2016-11-08 Fujimi Incorporated Polishing composition

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4702792A (en) * 1985-10-28 1987-10-27 International Business Machines Corporation Method of forming fine conductive lines, patterns and connectors
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4816112A (en) * 1986-10-27 1989-03-28 International Business Machines Corporation Planarization process through silylation
CA1306072C (en) * 1987-03-30 1992-08-04 John E. Cronin Refractory metal - titanium nitride conductive structures and processes for forming the same
US4956313A (en) * 1987-08-17 1990-09-11 International Business Machines Corporation Via-filling and planarization technique
JPH0682660B2 (ja) * 1987-08-17 1994-10-19 インターナシヨナル・ビジネス・マシーンズ・コーポレーシヨン 導電性スタツドを形成する方法
US4879258A (en) * 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
US4910155A (en) 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
EP0368584B1 (en) * 1988-11-09 1997-03-19 Sony Corporation Method of manufacturing a semiconductor wafer
US5256565A (en) * 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
US5122439A (en) * 1989-08-28 1992-06-16 International Business Machines Corp. Forming a pattern on a substrate
US5081563A (en) * 1990-04-27 1992-01-14 International Business Machines Corporation Multi-layer package incorporating a recessed cavity for a semiconductor chip
US5229257A (en) * 1990-04-30 1993-07-20 International Business Machines Corporation Process for forming multi-level coplanar conductor/insulator films employing photosensitive polymide polymer compositions
US5091289A (en) * 1990-04-30 1992-02-25 International Business Machines Corporation Process for forming multi-level coplanar conductor/insulator films employing photosensitive polyimide polymer compositions
US5142828A (en) * 1990-06-25 1992-09-01 Microelectronics And Computer Technology Corporation Correcting a defective metallization layer on an electronic component by polishing
EP0469214A1 (en) * 1990-07-31 1992-02-05 International Business Machines Corporation Method of forming stacked conductive and/or resistive polysilicon lands in multilevel semiconductor chips and structures resulting therefrom
EP0469217B1 (en) * 1990-07-31 1996-04-10 International Business Machines Corporation Method of forming stacked self-aligned polysilicon PFET devices and structures resulting therefrom
EP0469215B1 (en) * 1990-07-31 1995-11-22 International Business Machines Corporation Method of forming stacked tungsten gate PFET devices and structures resulting therefrom
US5064683A (en) * 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
US5266446A (en) * 1990-11-15 1993-11-30 International Business Machines Corporation Method of making a multilayer thin film structure
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5143867A (en) * 1991-02-13 1992-09-01 International Business Machines Corporation Method for depositing interconnection metallurgy using low temperature alloy processes
GB9105943D0 (en) * 1991-03-20 1991-05-08 Philips Nv A method of manufacturing a semiconductor device
US5137597A (en) * 1991-04-11 1992-08-11 Microelectronics And Computer Technology Corporation Fabrication of metal pillars in an electronic component using polishing
JP3216104B2 (ja) * 1991-05-29 2001-10-09 ソニー株式会社 メタルプラグ形成方法及び配線形成方法
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
US5627345A (en) * 1991-10-24 1997-05-06 Kawasaki Steel Corporation Multilevel interconnect structure
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
EP0543449B1 (en) * 1991-11-19 1997-03-05 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with aluminium tracks mutually insulated in lateral direction by an aluminium compound
US5266517A (en) * 1991-12-17 1993-11-30 Texas Instruments Incorporated Method for forming a sealed interface on a semiconductor device
US5255224A (en) * 1991-12-18 1993-10-19 International Business Machines Corporation Boosted drive system for master/local word line memory architecture
US5245790A (en) * 1992-02-14 1993-09-21 Lsi Logic Corporation Ultrasonic energy enhanced chemi-mechanical polishing of silicon wafers
US5300813A (en) * 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5262354A (en) * 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5270241A (en) * 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5162248A (en) * 1992-03-13 1992-11-10 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
USRE39665E1 (en) 1992-03-13 2007-05-29 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5422289A (en) * 1992-04-27 1995-06-06 National Semiconductor Corporation Method of manufacturing a fully planarized MOSFET and resulting structure
US5302551A (en) * 1992-05-11 1994-04-12 National Semiconductor Corporation Method for planarizing the surface of an integrated circuit over a metal interconnect layer
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5612254A (en) * 1992-06-29 1997-03-18 Intel Corporation Methods of forming an interconnect on a semiconductor substrate
US5310455A (en) * 1992-07-10 1994-05-10 Lsi Logic Corporation Techniques for assembling polishing pads for chemi-mechanical polishing of silicon wafers
US5265378A (en) * 1992-07-10 1993-11-30 Lsi Logic Corporation Detecting the endpoint of chem-mech polishing and resulting semiconductor device
JPH0697132A (ja) * 1992-07-10 1994-04-08 Lsi Logic Corp 半導体ウェハの化学機械的研磨装置、同装置のプラテンへの半導体ウェハ研磨用パッドの取付け方法、および同装置の研磨用複合パッド
US5292689A (en) * 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
US5529936A (en) * 1992-09-30 1996-06-25 Lsi Logic Corporation Method of etching a lens for a semiconductor solid state image sensor
US5760834A (en) * 1992-09-30 1998-06-02 Lsi Logic Electronic camera with binary lens element array
US5340978A (en) * 1992-09-30 1994-08-23 Lsi Logic Corporation Image-sensing display panels with LCD display panel and photosensitive element array
US5272117A (en) * 1992-12-07 1993-12-21 Motorola, Inc. Method for planarizing a layer of material
US5540810A (en) * 1992-12-11 1996-07-30 Micron Technology Inc. IC mechanical planarization process incorporating two slurry compositions for faster material removal times
EP0609496B1 (de) * 1993-01-19 1998-04-15 Siemens Aktiengesellschaft Verfahren zur Herstellung einer Kontakte und diese verbindende Leiterbahnen umfassenden Metallisierungsebene
US5324690A (en) * 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5626715A (en) * 1993-02-05 1997-05-06 Lsi Logic Corporation Methods of polishing semiconductor substrates
US5389194A (en) * 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
KR100320364B1 (ko) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 금속배선및그의형성방법
KR0166404B1 (ko) * 1993-03-26 1999-02-01 사토 후미오 연마방법 및 연마장치
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
US5607718A (en) * 1993-03-26 1997-03-04 Kabushiki Kaisha Toshiba Polishing method and polishing apparatus
US5397741A (en) * 1993-03-29 1995-03-14 International Business Machines Corporation Process for metallized vias in polyimide
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
JP3360350B2 (ja) * 1993-04-21 2002-12-24 ヤマハ株式会社 表面平坦化法
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5575837A (en) * 1993-04-28 1996-11-19 Fujimi Incorporated Polishing composition
US5391258A (en) * 1993-05-26 1995-02-21 Rodel, Inc. Compositions and methods for polishing
US5652180A (en) * 1993-06-28 1997-07-29 Kawasaki Steel Corporation Method of manufacturing semiconductor device with contact structure
US5407526A (en) * 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
US5486234A (en) * 1993-07-16 1996-01-23 The United States Of America As Represented By The United States Department Of Energy Removal of field and embedded metal by spin spray etching
US5635083A (en) * 1993-08-06 1997-06-03 Intel Corporation Method and apparatus for chemical-mechanical polishing using pneumatic pressure applied to the backside of a substrate
US5702870A (en) * 1993-08-27 1997-12-30 Vlsi Technology, Inc. Integrated-circuit via formation using gradient photolithography
US5395801A (en) * 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
US5938504A (en) * 1993-11-16 1999-08-17 Applied Materials, Inc. Substrate polishing apparatus
US5643053A (en) * 1993-12-27 1997-07-01 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved polishing control
US5582534A (en) * 1993-12-27 1996-12-10 Applied Materials, Inc. Orbital chemical mechanical polishing apparatus and method
US5650039A (en) * 1994-03-02 1997-07-22 Applied Materials, Inc. Chemical mechanical polishing apparatus with improved slurry distribution
US6027997A (en) * 1994-03-04 2000-02-22 Motorola, Inc. Method for chemical mechanical polishing a semiconductor device using slurry
US5733175A (en) 1994-04-25 1998-03-31 Leach; Michael A. Polishing a workpiece using equal velocity at all points overlapping a polisher
US5496771A (en) * 1994-05-19 1996-03-05 International Business Machines Corporation Method of making overpass mask/insulator for local interconnects
US5699605A (en) * 1994-05-23 1997-12-23 Seagate Technology, Inc. Method for forming a magnetic thin film head with recessed basecoat
US5484740A (en) * 1994-06-06 1996-01-16 Motorola, Inc. Method of manufacturing a III-V semiconductor gate structure
US5512518A (en) * 1994-06-06 1996-04-30 Motorola, Inc. Method of manufacture of multilayer dielectric on a III-V substrate
JP4417439B2 (ja) * 1994-06-29 2010-02-17 フリースケール セミコンダクター インコーポレイテッド エッチング・ストップ層を利用する半導体装置構造とその方法
US5559367A (en) 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5525191A (en) * 1994-07-25 1996-06-11 Motorola, Inc. Process for polishing a semiconductor substrate
US5447874A (en) * 1994-07-29 1995-09-05 Grivna; Gordon Method for making a semiconductor device comprising a dual metal gate using a chemical mechanical polish
US5607341A (en) 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
JP2701751B2 (ja) 1994-08-30 1998-01-21 日本電気株式会社 半導体装置の製造方法
US5456756A (en) * 1994-09-02 1995-10-10 Advanced Micro Devices, Inc. Holding apparatus, a metal deposition system, and a wafer processing method which preserve topographical marks on a semiconductor wafer
TW274625B (ja) * 1994-09-30 1996-04-21 Hitachi Seisakusyo Kk
US5686356A (en) 1994-09-30 1997-11-11 Texas Instruments Incorporated Conductor reticulation for improved device planarity
US5622881A (en) * 1994-10-06 1997-04-22 International Business Machines Corporation Packing density for flash memories
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5665249A (en) * 1994-10-17 1997-09-09 Xerox Corporation Micro-electromechanical die module with planarized thick film layer
US5627110A (en) * 1994-10-24 1997-05-06 Advanced Micro Devices, Inc. Method for eliminating window mask process in the fabrication of a semiconductor wafer when chemical-mechanical polish planarization is used
US5602423A (en) * 1994-11-01 1997-02-11 Texas Instruments Incorporated Damascene conductors with embedded pillars
JPH08191054A (ja) * 1995-01-10 1996-07-23 Kawasaki Steel Corp 半導体装置及びその製造方法
US6001729A (en) * 1995-01-10 1999-12-14 Kawasaki Steel Corporation Method of forming wiring structure for semiconductor device
US5486265A (en) * 1995-02-06 1996-01-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of thin materials using a pulse polishing technique
US5619072A (en) * 1995-02-09 1997-04-08 Advanced Micro Devices, Inc. High density multi-level metallization and interconnection structure
US5769696A (en) * 1995-02-10 1998-06-23 Advanced Micro Devices, Inc. Chemical-mechanical polishing of thin materials using non-baked carrier film
WO1996024467A1 (en) * 1995-02-10 1996-08-15 Advanced Micro Devices, Inc. Chemical-mechanical polishing using curved carriers
US5670828A (en) * 1995-02-21 1997-09-23 Advanced Micro Devices, Inc. Tunneling technology for reducing intra-conductive layer capacitance
WO1996027206A2 (en) * 1995-02-24 1996-09-06 Intel Corporation Polysilicon polish for patterning improvement
US5644188A (en) * 1995-05-08 1997-07-01 Advanced Vision Technologies, Inc. Field emission display cell structure
US5630741A (en) * 1995-05-08 1997-05-20 Advanced Vision Technologies, Inc. Fabrication process for a field emission display cell structure
EP0827626A4 (en) * 1995-05-08 1998-06-17 Advanced Vision Tech Inc FIELD EMISSION DISPLAY CELLULAR STRUCTURE AND MANUFACTURING METHOD
US5811929A (en) * 1995-06-02 1998-09-22 Advanced Vision Technologies, Inc. Lateral-emitter field-emission device with simplified anode
US5614444A (en) * 1995-06-06 1997-03-25 Sematech, Inc. Method of using additives with silica-based slurries to enhance selectivity in metal CMP
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
US5702563A (en) * 1995-06-07 1997-12-30 Advanced Micro Devices, Inc. Reduced chemical-mechanical polishing particulate contamination
US5952243A (en) * 1995-06-26 1999-09-14 Alliedsignal Inc. Removal rate behavior of spin-on dielectrics with chemical mechanical polish
EP0751566A3 (en) 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5976971A (en) * 1995-07-19 1999-11-02 Ricoh Company, Ltd. Fabrication process of a semiconductor device having an interconnection structure
US6478977B1 (en) 1995-09-13 2002-11-12 Hitachi, Ltd. Polishing method and apparatus
WO1997010612A1 (en) * 1995-09-14 1997-03-20 Advanced Micro Devices, Inc. Damascene process for reduced feature size
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5855735A (en) * 1995-10-03 1999-01-05 Kobe Precision, Inc. Process for recovering substrates
US5693239A (en) * 1995-10-10 1997-12-02 Rodel, Inc. Polishing slurries comprising two abrasive components and methods for their use
US5885900A (en) * 1995-11-07 1999-03-23 Lucent Technologies Inc. Method of global planarization in fabricating integrated circuit devices
JP3457123B2 (ja) * 1995-12-07 2003-10-14 株式会社リコー 半導体装置
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
EP0779655A3 (en) * 1995-12-14 1997-07-16 International Business Machines Corporation A method of chemically-mechanically polishing an electronic component
US5738562A (en) * 1996-01-24 1998-04-14 Micron Technology, Inc. Apparatus and method for planar end-point detection during chemical-mechanical polishing
US5607874A (en) * 1996-02-02 1997-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a DRAM cell with a T shaped storage capacitor
JP2773729B2 (ja) * 1996-02-29 1998-07-09 日本電気株式会社 半導体装置の製造方法
KR0183826B1 (ko) * 1996-03-04 1999-05-01 김광호 연마공정 후처리용 세정 용액 및 그를 이용하는 세정 방법
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
US5741741A (en) * 1996-05-23 1998-04-21 Vanguard International Semiconductor Corporation Method for making planar metal interconnections and metal plugs on semiconductor substrates
JP2809200B2 (ja) * 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
JP3309717B2 (ja) * 1996-06-26 2002-07-29 三菱電機株式会社 集積回路の配線の製造方法
US5994241A (en) * 1996-07-31 1999-11-30 International Business Machines Corporation Method of forming conductive lines on a semiconductor wafer
US5846398A (en) * 1996-08-23 1998-12-08 Sematech, Inc. CMP slurry measurement and control technique
US5893983A (en) * 1996-08-28 1999-04-13 International Business Machines Corporation Technique for removing defects from a layer of metal
US5759427A (en) * 1996-08-28 1998-06-02 International Business Machines Corporation Method and apparatus for polishing metal surfaces
US6093575A (en) * 1996-09-04 2000-07-25 Nippon Steel Corporation Semiconductor device and production method of a semiconductor device having a capacitor
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6043206A (en) * 1996-10-19 2000-03-28 Samsung Electronics Co., Ltd. Solutions for cleaning integrated circuit substrates
FR2754937B1 (fr) * 1996-10-23 1999-01-15 Hoechst France Nouveau procede de polissage mecano-chimique de couches de materiaux isolants a base de derives du silicium ou de silicium
GB9623185D0 (en) * 1996-11-09 1997-01-08 Epigem Limited Improved micro relief element and preparation thereof
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5834349A (en) * 1996-12-02 1998-11-10 Vanguard International Semiconductor Corporation Method for fabricating memory cells using chemical mechanical polishing technology
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6126853A (en) 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5854140A (en) * 1996-12-13 1998-12-29 Siemens Aktiengesellschaft Method of making an aluminum contact
US5786260A (en) * 1996-12-16 1998-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a readable alignment mark structure using enhanced chemical mechanical polishing
KR100243272B1 (ko) * 1996-12-20 2000-03-02 윤종용 반도체 소자의 콘택 플러그 형성방법
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5780204A (en) * 1997-02-03 1998-07-14 Advanced Micro Devices, Inc. Backside wafer polishing for improved photolithography
US5863707A (en) * 1997-02-11 1999-01-26 Advanced Micro Devices, Inc. Method for producing ultra-fine interconnection features
US6136510A (en) * 1997-02-13 2000-10-24 Advanced Micro Devices, Inc. Doubled-sided wafer scrubbing for improved photolithography
US6153525A (en) * 1997-03-13 2000-11-28 Alliedsignal Inc. Methods for chemical mechanical polish of organic polymer dielectric films
US6194317B1 (en) 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US5910022A (en) * 1997-05-22 1999-06-08 Vlsi Technology, Inc. Method and system for tungsten chemical mechanical polishing for unplanarized dielectric surfaces
DE69836000T2 (de) * 1997-06-06 2007-06-14 Koninklijke Philips Electronics N.V. Verfahren zur herstellung einer halbleiteranordnung
TW332915B (en) * 1997-06-24 1998-06-01 Ti Acer Co Ltd The producing method for shallow trench isolation with global planarization
US5920790A (en) * 1997-08-29 1999-07-06 Motorola, Inc. Method of forming a semiconductor device having dual inlaid structure
JPH1197525A (ja) * 1997-09-19 1999-04-09 Hitachi Ltd 半導体装置およびその製造方法
AT410043B (de) 1997-09-30 2003-01-27 Sez Ag Verfahren zum planarisieren von halbleitersubstraten
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US20040229468A1 (en) * 1997-10-31 2004-11-18 Seiichi Kondo Polishing method
JP3309783B2 (ja) * 1997-10-31 2002-07-29 日本電気株式会社 半導体装置の製造方法
US6002160A (en) 1997-12-12 1999-12-14 Advanced Micro Devices, Inc. Semiconductor isolation process to minimize weak oxide problems
US6146973A (en) * 1997-12-12 2000-11-14 Advanced Micro Devices, Inc. High density isolation using an implant as a polish stop
US6072191A (en) 1997-12-16 2000-06-06 Advanced Micro Devices, Inc. Interlevel dielectric thickness monitor for complex semiconductor chips
US6093650A (en) * 1997-12-17 2000-07-25 Advanced Micro Devices, Inc. Method for fully planarized conductive line for a stack gate
US6284151B1 (en) 1997-12-23 2001-09-04 International Business Machines Corporation Chemical mechanical polishing slurry for tungsten
US6294105B1 (en) 1997-12-23 2001-09-25 International Business Machines Corporation Chemical mechanical polishing slurry and method for polishing metal/oxide layers
US5966597A (en) 1998-01-06 1999-10-12 Altera Corporation Method of forming low resistance gate electrodes
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
US6004862A (en) * 1998-01-20 1999-12-21 Advanced Micro Devices, Inc. Core array and periphery isolation technique
US6200896B1 (en) * 1998-01-22 2001-03-13 Cypress Semiconductor Corporation Employing an acidic liquid and an abrasive surface to polish a semiconductor topography
US6143663A (en) * 1998-01-22 2000-11-07 Cypress Semiconductor Corporation Employing deionized water and an abrasive surface to polish a semiconductor topography
US6133106A (en) * 1998-02-23 2000-10-17 Sharp Laboratories Of America, Inc. Fabrication of a planar MOSFET with raised source/drain by chemical mechanical polishing and nitride replacement
US6093656A (en) * 1998-02-26 2000-07-25 Vlsi Technology, Inc. Method of minimizing dishing during chemical mechanical polishing of semiconductor metals for making a semiconductor device
US6008084A (en) * 1998-02-27 1999-12-28 Vanguard International Semiconductor Corporation Method for fabricating low resistance bit line structures, along with bit line structures exhibiting low bit line to bit line coupling capacitance
US6114249A (en) * 1998-03-10 2000-09-05 International Business Machines Corporation Chemical mechanical polishing of multiple material substrates and slurry having improved selectivity
US6432828B2 (en) 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6171180B1 (en) * 1998-03-31 2001-01-09 Cypress Semiconductor Corporation Planarizing a trench dielectric having an upper surface within a trench spaced below an adjacent polish stop surface
US6327513B1 (en) * 1998-04-16 2001-12-04 Vlsi Technology, Inc. Methods and apparatus for calculating alignment of layers during semiconductor processing
US6218306B1 (en) 1998-04-22 2001-04-17 Applied Materials, Inc. Method of chemical mechanical polishing a metal layer
US5964953A (en) * 1998-05-26 1999-10-12 Memc Electronics Materials, Inc. Post-etching alkaline treatment process
US5928962A (en) * 1998-06-01 1999-07-27 Motorola, Inc. Process for forming a semiconductor device
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6140130A (en) * 1998-07-13 2000-10-31 Nalco Chemical Company Detection and removal of copper from wastewater streams from semiconductor and printed circuit board processing
JP3858462B2 (ja) 1998-07-30 2006-12-13 株式会社日立製作所 半導体装置の製造方法
US5972124A (en) * 1998-08-31 1999-10-26 Advanced Micro Devices, Inc. Method for cleaning a surface of a dielectric material
CA2342332A1 (en) 1998-08-31 2000-03-09 Hiroki Terazaki Abrasive liquid for metal and method for polishing
US6534378B1 (en) 1998-08-31 2003-03-18 Cypress Semiconductor Corp. Method for forming an integrated circuit device
US6232231B1 (en) 1998-08-31 2001-05-15 Cypress Semiconductor Corporation Planarized semiconductor interconnect topography and method for polishing a metal layer to form interconnect
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
SG99289A1 (en) 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US6566249B1 (en) 1998-11-09 2003-05-20 Cypress Semiconductor Corp. Planarized semiconductor interconnect topography and method for polishing a metal layer to form wide interconnect structures
JP4095731B2 (ja) 1998-11-09 2008-06-04 株式会社ルネサステクノロジ 半導体装置の製造方法及び半導体装置
US6276996B1 (en) 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6444564B1 (en) 1998-11-23 2002-09-03 Advanced Micro Devices, Inc. Method and product for improved use of low k dielectric material among integrated circuit interconnect structures
US6284560B1 (en) 1998-12-18 2001-09-04 Eastman Kodak Company Method for producing co-planar surface structures
EP1833085A1 (en) 1998-12-28 2007-09-12 Hitachi Chemical Company, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
US6181011B1 (en) 1998-12-29 2001-01-30 Kawasaki Steel Corporation Method of controlling critical dimension of features in integrated circuits (ICS), ICS formed by the method, and systems utilizing same
US6057245A (en) * 1999-01-19 2000-05-02 Vlsi Technology, Inc. Gas phase planarization process for semiconductor wafers
US6752844B2 (en) * 1999-03-29 2004-06-22 Intel Corporation Ceric-ion slurry for use in chemical-mechanical polishing
US6375693B1 (en) 1999-05-07 2002-04-23 International Business Machines Corporation Chemical-mechanical planarization of barriers or liners for copper metallurgy
JP2000349249A (ja) 1999-06-08 2000-12-15 Oki Electric Ind Co Ltd 半導体記憶装置の製造方法
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6272275B1 (en) 1999-06-25 2001-08-07 Corning Incorporated Print-molding for process for planar waveguides
US6387810B2 (en) * 1999-06-28 2002-05-14 International Business Machines Corporation Method for homogenizing device parameters through photoresist planarization
US6153935A (en) 1999-09-30 2000-11-28 International Business Machines Corporation Dual etch stop/diffusion barrier for damascene interconnects
US6551924B1 (en) 1999-11-02 2003-04-22 International Business Machines Corporation Post metalization chem-mech polishing dielectric etch
JP3805588B2 (ja) * 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
US6712681B1 (en) * 2000-06-23 2004-03-30 International Business Machines Corporation Polishing pads with polymer filled fibrous web, and methods for fabricating and using same
JP2002050595A (ja) 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
US6652357B1 (en) 2000-09-22 2003-11-25 Lam Research Corporation Methods for controlling retaining ring and wafer head tilt for chemical mechanical polishing
US6585572B1 (en) 2000-08-22 2003-07-01 Lam Research Corporation Subaperture chemical mechanical polishing system
US6640155B2 (en) 2000-08-22 2003-10-28 Lam Research Corporation Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head
US7481695B2 (en) 2000-08-22 2009-01-27 Lam Research Corporation Polishing apparatus and methods having high processing workload for controlling polishing pressure applied by polishing head
US6471566B1 (en) 2000-09-18 2002-10-29 Lam Research Corporation Sacrificial retaining ring CMP system and methods for implementing the same
US6443815B1 (en) 2000-09-22 2002-09-03 Lam Research Corporation Apparatus and methods for controlling pad conditioning head tilt for chemical mechanical polishing
JP2002118083A (ja) 2000-10-05 2002-04-19 Hitachi Ltd 半導体集積回路装置の製造方法
TWI228538B (en) * 2000-10-23 2005-03-01 Kao Corp Polishing composition
WO2002061810A1 (en) * 2001-01-16 2002-08-08 Cabot Microelectronics Corporation Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
JP3677455B2 (ja) 2001-02-13 2005-08-03 Necエレクトロニクス株式会社 不揮発性磁気記憶装置およびその製造方法
JP2002319556A (ja) 2001-04-19 2002-10-31 Hitachi Ltd 半導体集積回路装置の製造方法
US6969684B1 (en) 2001-04-30 2005-11-29 Cypress Semiconductor Corp. Method of making a planarized semiconductor structure
US6602780B2 (en) * 2001-09-06 2003-08-05 Taiwan Semiconductor Manufacturing Co., Ltd Method for protecting sidewalls of etched openings to prevent via poisoning
JPWO2003038883A1 (ja) * 2001-10-31 2005-02-24 日立化成工業株式会社 研磨液及び研磨方法
TWI259201B (en) * 2001-12-17 2006-08-01 Hitachi Chemical Co Ltd Slurry for metal polishing and method of polishing with the same
WO2004111157A1 (ja) * 2003-06-13 2004-12-23 Hitachi Chemical Co., Ltd. 金属用研磨液及び研磨方法
KR100444307B1 (ko) * 2001-12-28 2004-08-16 주식회사 하이닉스반도체 반도체소자의 금속배선 콘택플러그 형성방법
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US6835616B1 (en) 2002-01-29 2004-12-28 Cypress Semiconductor Corporation Method of forming a floating metal structure in an integrated circuit
US7026235B1 (en) 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
JP2004006628A (ja) * 2002-03-27 2004-01-08 Hitachi Ltd 半導体装置の製造方法
US6828678B1 (en) 2002-03-29 2004-12-07 Silicon Magnetic Systems Semiconductor topography with a fill material arranged within a plurality of valleys associated with the surface roughness of the metal layer
EP1881524B1 (en) * 2002-04-30 2010-06-02 Hitachi Chemical Co., Ltd. Polishing slurry and polishing method
WO2004001849A2 (en) 2002-04-30 2003-12-31 Hrl Laboratories, Llc Quartz-based nanoresonators and method of fabricating same
EP1997772A3 (en) * 2002-05-16 2011-01-26 Micronit Microfluidics B.V. Method of fabrication of a microfluidic device
US20070286773A1 (en) * 2002-05-16 2007-12-13 Micronit Microfluidics B.V. Microfluidic Device
TWI282360B (en) * 2002-06-03 2007-06-11 Hitachi Chemical Co Ltd Polishing composition and polishing method thereof
US20040092102A1 (en) * 2002-11-12 2004-05-13 Sachem, Inc. Chemical mechanical polishing composition and method
US6641632B1 (en) * 2002-11-18 2003-11-04 International Business Machines Corporation Polishing compositions and use thereof
WO2004090937A2 (en) * 2003-04-10 2004-10-21 Technion Research & Development Foundation Ltd Copper cmp slurry composition
US8766745B1 (en) 2007-07-25 2014-07-01 Hrl Laboratories, Llc Quartz-based disk resonator gyro with ultra-thin conductive outer electrodes and method of making same
US7994877B1 (en) 2008-11-10 2011-08-09 Hrl Laboratories, Llc MEMS-based quartz hybrid filters and a method of making the same
US7086932B2 (en) * 2004-05-11 2006-08-08 Freudenberg Nonwovens Polishing pad
US20050042976A1 (en) * 2003-08-22 2005-02-24 International Business Machines Corporation Low friction planarizing/polishing pads and use thereof
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
TW200512926A (en) * 2003-09-18 2005-04-01 Semiconductor Leading Edge Tec Method of manufacturing semiconductor device
US6992390B2 (en) * 2003-11-07 2006-01-31 International Business Machines Corp. Liner with improved electromigration redundancy for damascene interconnects
US20050118796A1 (en) * 2003-11-28 2005-06-02 Chiras Stefanie R. Process for forming an electrically conductive interconnect
KR100552812B1 (ko) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 반도체 소자의 구리 배선 형성 방법
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
TW200527485A (en) * 2004-01-30 2005-08-16 Semiconductor Leading Edge Tec Multilayered wiring structure, method of forming buried wiring, semiconductor device, method of manufacturing semiconductor device, semiconductor mounted device, and method of manufacturing semiconductor mounted device
KR20080022235A (ko) * 2004-04-12 2008-03-10 히다치 가세고교 가부시끼가이샤 금속용 연마액 및 이것을 이용한 연마방법
US7696089B1 (en) * 2004-05-11 2010-04-13 Johnson Research & Development Co., Inc. Passivated thin film and method of producing same
KR20050114784A (ko) * 2004-06-01 2005-12-07 동부아남반도체 주식회사 반도체 소자의 구리배선 형성방법
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US20060000808A1 (en) * 2004-07-01 2006-01-05 Fuji Photo Film Co., Ltd. Polishing solution of metal and chemical mechanical polishing method
KR100701375B1 (ko) * 2004-07-08 2007-03-28 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 제조 방법
US7071097B2 (en) * 2004-07-09 2006-07-04 International Business Machines Corporation Method for improved process latitude by elongated via integration
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US20060057945A1 (en) * 2004-09-16 2006-03-16 Chia-Lin Hsu Chemical mechanical polishing process
US7025661B2 (en) * 2004-09-16 2006-04-11 United Microelectronics Corp. Chemical mechanical polishing process
KR100641506B1 (ko) * 2004-09-17 2006-11-01 동부일렉트로닉스 주식회사 반도체 소자 세정 방법
JP2006100538A (ja) * 2004-09-29 2006-04-13 Fuji Photo Film Co Ltd 研磨用組成物及びそれを用いた研磨方法
KR100552857B1 (ko) * 2004-10-25 2006-02-22 동부아남반도체 주식회사 반도체 소자의 콘택 형성 방법
KR20070063499A (ko) * 2004-10-26 2007-06-19 로무 가부시키가이샤 반도체 장치 및 반도체 장치의 제조 방법
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
JP2006179845A (ja) * 2004-11-26 2006-07-06 Fuji Photo Film Co Ltd 金属用研磨液及び研磨方法
US20060214133A1 (en) * 2005-03-17 2006-09-28 Fuji Photo Film Co., Ltd. Metal polishing solution and polishing method
US9287356B2 (en) * 2005-05-09 2016-03-15 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7835170B2 (en) 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
US8513768B2 (en) * 2005-05-09 2013-08-20 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8183665B2 (en) * 2005-11-15 2012-05-22 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US9911743B2 (en) * 2005-05-09 2018-03-06 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US8217490B2 (en) * 2005-05-09 2012-07-10 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7185695B1 (en) * 2005-09-01 2007-03-06 United Technologies Corporation Investment casting pattern manufacture
JP2007088379A (ja) * 2005-09-26 2007-04-05 Fujifilm Corp 水系研磨液、及び、化学機械的研磨方法
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
EP1936673A4 (en) * 2005-10-12 2011-01-05 Hitachi Chemical Co Ltd POLISHING SOLUTION FOR PMC AND METHOD OF POLISHING
JP5090925B2 (ja) * 2005-11-22 2012-12-05 日立化成工業株式会社 アルミニウム膜研磨用研磨液及びこれを用いたアルミニウム膜の研磨方法
TWI343945B (en) * 2005-12-27 2011-06-21 Hitachi Chemical Co Ltd Slurry for metal polishing and polishing method of polished film
EP1813656A3 (en) * 2006-01-30 2009-09-02 FUJIFILM Corporation Metal-polishing liquid and chemical mechanical polishing method using the same
US20070176142A1 (en) * 2006-01-31 2007-08-02 Fujifilm Corporation Metal- polishing liquid and chemical-mechanical polishing method using the same
JP2007207908A (ja) * 2006-01-31 2007-08-16 Fujifilm Corp バリア層用研磨液
US7607227B2 (en) * 2006-02-08 2009-10-27 Eastman Kodak Company Method of forming a printhead
JP2007214518A (ja) * 2006-02-13 2007-08-23 Fujifilm Corp 金属用研磨液
US7902072B2 (en) * 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
FR2898906B1 (fr) * 2006-03-21 2008-05-16 Kemesys Composition de polissage mecano chimique incluant un alkylxanthate, procede de preparation et utilisation
JP4990543B2 (ja) * 2006-03-23 2012-08-01 富士フイルム株式会社 金属用研磨液
EP2020680A4 (en) * 2006-04-24 2011-09-21 Hitachi Chemical Co Ltd POLISHING LIQUID FOR CMP AND POLISHING METHOD
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
JP2008004161A (ja) * 2006-06-22 2008-01-10 Fujitsu Ltd 金属パターンの製造方法
US20080220610A1 (en) * 2006-06-29 2008-09-11 Cabot Microelectronics Corporation Silicon oxide polishing method utilizing colloidal silica
WO2008004534A1 (fr) * 2006-07-04 2008-01-10 Hitachi Chemical Co., Ltd. Liquide de polissage pour le polissage mécano-chimique
US8778217B2 (en) * 2006-07-05 2014-07-15 Hitachi Chemical Company, Ltd. Polishing slurry for CMP, and polishing method
EP2070088A4 (en) 2006-08-08 2009-07-29 Nantero Inc NON-VOLATILE RESISTIVE MEMORY, CIRCUIT BREAKERS AND OPERATING CIRCUITS WITH SCALABLE NANOTUBE SWITCHES WITH TWO TERMINALS
US7555824B2 (en) 2006-08-09 2009-07-07 Hrl Laboratories, Llc Method for large scale integration of quartz-based devices
JP2008181955A (ja) * 2007-01-23 2008-08-07 Fujifilm Corp 金属用研磨液及びそれを用いた研磨方法
JP2008192930A (ja) * 2007-02-06 2008-08-21 Fujifilm Corp 金属研磨用組成物及びそれを用いた化学的機械的研磨方法
KR101418626B1 (ko) * 2007-02-27 2014-07-14 히타치가세이가부시끼가이샤 금속용 연마액 및 연마방법
JP2008280229A (ja) * 2007-04-13 2008-11-20 Hitachi Chem Co Ltd 表面修飾二酸化ケイ素粒子の製造法及び研磨液
US7884930B2 (en) * 2007-06-14 2011-02-08 Hrl Laboratories, Llc Integrated quartz biological sensor and method
CN102352187B (zh) 2007-07-05 2015-03-18 日立化成株式会社 金属膜用研磨液及研磨方法
US10266398B1 (en) 2007-07-25 2019-04-23 Hrl Laboratories, Llc ALD metal coatings for high Q MEMS structures
WO2009017095A1 (ja) * 2007-07-30 2009-02-05 Hitachi Chemical Co., Ltd. 金属用研磨液及び研磨方法
JP5140469B2 (ja) * 2007-09-12 2013-02-06 富士フイルム株式会社 金属用研磨液、及び化学的機械的研磨方法
TW200920828A (en) * 2007-09-20 2009-05-16 Fujifilm Corp Polishing slurry for metal and chemical mechanical polishing method
JP5240202B2 (ja) * 2007-10-23 2013-07-17 日立化成株式会社 Cmp研磨液及びこれを用いた基板の研磨方法
US8151640B1 (en) 2008-02-05 2012-04-10 Hrl Laboratories, Llc MEMS on-chip inertial navigation system with error correction
US7802356B1 (en) 2008-02-21 2010-09-28 Hrl Laboratories, Llc Method of fabricating an ultra thin quartz resonator component
JP5403924B2 (ja) * 2008-02-29 2014-01-29 富士フイルム株式会社 金属用研磨液、および化学的機械的研磨方法
US9202709B2 (en) * 2008-03-19 2015-12-01 Fujifilm Corporation Polishing liquid for metal and polishing method using the same
JP5202258B2 (ja) * 2008-03-25 2013-06-05 富士フイルム株式会社 金属研磨用組成物、及び化学的機械的研磨方法
JP5176078B2 (ja) * 2008-04-15 2013-04-03 日立化成株式会社 金属膜用研磨液及びこれを用いた研磨方法
US20110027997A1 (en) * 2008-04-16 2011-02-03 Hitachi Chemical Company, Ltd. Polishing liquid for cmp and polishing method
US8900473B2 (en) * 2008-08-06 2014-12-02 Hitachi Chemical Company, Ltd. Polishing solution for CMP, and method for polishing substrate using the polishing solution for CMP
TWI454562B (zh) 2009-07-16 2014-10-01 Hitachi Chemical Co Ltd 鈀研磨用cmp研磨液以及研磨方法
KR101330956B1 (ko) 2009-08-19 2013-11-18 히타치가세이가부시끼가이샤 Cmp 연마액 및 연마 방법
US8176607B1 (en) 2009-10-08 2012-05-15 Hrl Laboratories, Llc Method of fabricating quartz resonators
JP5533889B2 (ja) 2010-02-15 2014-06-25 日立化成株式会社 Cmp研磨液及び研磨方法
US8912711B1 (en) 2010-06-22 2014-12-16 Hrl Laboratories, Llc Thermal stress resistant resonator, and a method for fabricating same
JP5141792B2 (ja) 2010-06-29 2013-02-13 日立化成工業株式会社 Cmp研磨液及び研磨方法
TWI568541B (zh) * 2010-12-22 2017-02-01 Jsr Corp Chemical mechanical grinding method
US20120285483A1 (en) * 2011-05-12 2012-11-15 Li-Chung Liu Method of cleaning a wafer
JP6050934B2 (ja) 2011-11-08 2016-12-21 株式会社フジミインコーポレーテッド 研磨用組成物並びにそれを用いた研磨方法及び基板の製造方法
US9633863B2 (en) 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
US9259818B2 (en) * 2012-11-06 2016-02-16 Sinmat, Inc. Smooth diamond surfaces and CMP method for forming
JP6016301B2 (ja) 2013-02-13 2016-10-26 昭和電工株式会社 単結晶SiC基板の表面加工方法、その製造方法及び単結晶SiC基板の表面加工用研削プレート
US9123654B2 (en) * 2013-02-15 2015-09-01 International Business Machines Corporation Trilayer SIT process with transfer layer for FINFET patterning
US9250074B1 (en) 2013-04-12 2016-02-02 Hrl Laboratories, Llc Resonator assembly comprising a silicon resonator and a quartz resonator
US9599470B1 (en) 2013-09-11 2017-03-21 Hrl Laboratories, Llc Dielectric high Q MEMS shell gyroscope structure
EP3048152A4 (en) 2013-09-20 2016-10-19 Fujimi Inc POLISHING COMPOSITION
JP6113619B2 (ja) 2013-09-30 2017-04-12 株式会社フジミインコーポレーテッド 研磨用組成物
US9977097B1 (en) 2014-02-21 2018-05-22 Hrl Laboratories, Llc Micro-scale piezoelectric resonating magnetometer
JP6130316B2 (ja) 2014-03-11 2017-05-17 信越化学工業株式会社 研磨組成物及び研磨方法並びに研磨組成物の製造方法
US9991863B1 (en) 2014-04-08 2018-06-05 Hrl Laboratories, Llc Rounded and curved integrated tethers for quartz resonators
US10308505B1 (en) 2014-08-11 2019-06-04 Hrl Laboratories, Llc Method and apparatus for the monolithic encapsulation of a micro-scale inertial navigation sensor suite
EP3245668B1 (en) 2015-01-13 2021-06-30 CMC Materials, Inc. Cleaning composition and method for cleaning semiconductor wafers after cmp
US10031191B1 (en) 2015-01-16 2018-07-24 Hrl Laboratories, Llc Piezoelectric magnetometer capable of sensing a magnetic field in multiple vectors
JP6638208B2 (ja) 2015-04-02 2020-01-29 日立化成株式会社 研磨剤、研磨剤用貯蔵液及び研磨方法
US10110198B1 (en) 2015-12-17 2018-10-23 Hrl Laboratories, Llc Integrated quartz MEMS tuning fork resonator/oscillator
US10175307B1 (en) 2016-01-15 2019-01-08 Hrl Laboratories, Llc FM demodulation system for quartz MEMS magnetometer
JP6726980B2 (ja) * 2016-02-16 2020-07-22 グローブライド株式会社 新規な外観を呈する管状の積層構造体及びゴルフクラブシャフト
WO2017163847A1 (ja) 2016-03-25 2017-09-28 株式会社フジミインコーポレーテッド 研磨用組成物ならびに研磨方法および半導体基板の製造方法
KR20190017815A (ko) 2016-06-09 2019-02-20 히타치가세이가부시끼가이샤 Cmp용 연마액 및 연마 방법
US11136474B2 (en) 2017-02-08 2021-10-05 Showa Denko Materials Co., Ltd. Polishing liquid and polishing method
KR20190122724A (ko) 2017-03-14 2019-10-30 히타치가세이가부시끼가이샤 연마제, 연마제용 저장액 및 연마 방법
WO2019030865A1 (ja) 2017-08-09 2019-02-14 日立化成株式会社 研磨液及び研磨方法
WO2019239555A1 (ja) 2018-06-14 2019-12-19 日立化成株式会社 研磨液及び研磨方法
SG11202109380YA (en) 2019-06-06 2021-12-30 Showa Denko Materials Co Ltd Polishing solution and polishing method
JP7345966B2 (ja) * 2019-06-24 2023-09-19 株式会社ディスコ ウェーハの再生方法
WO2023007722A1 (ja) 2021-07-30 2023-02-02 昭和電工マテリアルズ株式会社 研磨液及び研磨方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5064767A (ja) * 1973-10-12 1975-06-02
JPS5099685A (ja) * 1973-12-29 1975-08-07
JPS61100950A (ja) * 1984-10-22 1986-05-19 Nec Corp 半導体素子の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2933437A (en) * 1956-05-29 1960-04-19 Bell Telephone Labor Inc Chemical lapping method
US3009841A (en) * 1959-03-06 1961-11-21 Westinghouse Electric Corp Preparation of semiconductor devices having uniform junctions
US3386864A (en) * 1963-12-09 1968-06-04 Ibm Semiconductor-metal-semiconductor structure
GB1353960A (en) * 1971-09-21 1974-05-22 Rolls Royce Method of etching a partially masked surface
US3930870A (en) * 1973-12-28 1976-01-06 International Business Machines Corporation Silicon polishing solution preparation
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
US4037306A (en) * 1975-10-02 1977-07-26 Motorola, Inc. Integrated circuit and method
US4057939A (en) * 1975-12-05 1977-11-15 International Business Machines Corporation Silicon wafer polishing
JPS54157079A (en) * 1978-06-01 1979-12-11 Nippon Telegr & Teleph Corp <Ntt> Crystal surface production method of non-disturbance mirror surface
JPS5534442A (en) * 1978-08-31 1980-03-11 Fujitsu Ltd Preparation of semiconductor device
JPS6043024B2 (ja) * 1978-12-30 1985-09-26 富士通株式会社 半導体装置の製造方法
IE52971B1 (en) * 1979-07-23 1988-04-27 Fujitsu Ltd Method of manufacturing a semiconductor device wherein first and second layers are formed
US4305779A (en) * 1980-05-28 1981-12-15 The United States Of America As Represented By The United States Department Of Energy Method of polishing nickel-base alloys and stainless steels
US4475981A (en) * 1983-10-28 1984-10-09 Ampex Corporation Metal polishing composition and process
US4526631A (en) * 1984-06-25 1985-07-02 International Business Machines Corporation Method for forming a void free isolation pattern utilizing etch and refill techniques

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5064767A (ja) * 1973-10-12 1975-06-02
JPS5099685A (ja) * 1973-12-29 1975-08-07
JPS61100950A (ja) * 1984-10-22 1986-05-19 Nec Corp 半導体素子の製造方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0669187A (ja) * 1992-06-04 1994-03-11 Micron Technol Inc 半導体処理方法
US6917076B2 (en) 1996-05-28 2005-07-12 United Microelectronics Corporation Semiconductor device, a method of manufacturing the semiconductor device and a method of deleting information from the semiconductor device
US6479374B1 (en) 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6346471B1 (en) 1998-05-26 2002-02-12 Nec Corporation Multilayer wiring structure and semiconductor device having the same, and manufacturing method therefor
US6740590B1 (en) 1999-03-18 2004-05-25 Kabushiki Kaisha Toshiba Aqueous dispersion, aqueous dispersion for chemical mechanical polishing used for manufacture of semiconductor devices, method for manufacture of semiconductor devices, and method for formation of embedded writing
US6527818B2 (en) 2000-02-09 2003-03-04 Jsr Corporation Aqueous dispersion for chemical mechanical polishing
US8501625B2 (en) 2007-07-10 2013-08-06 Hitachi Chemical Co., Ltd. Polishing liquid for metal film and polishing method
JP5392080B2 (ja) * 2007-07-10 2014-01-22 日立化成株式会社 金属膜用研磨液及び研磨方法
JP2009124094A (ja) * 2007-10-24 2009-06-04 Hitachi Chem Co Ltd Cmp用研磨液
WO2012133561A1 (ja) 2011-03-30 2012-10-04 株式会社 フジミインコーポレーテッド 研磨用組成物および研磨方法
US9486892B2 (en) 2012-11-02 2016-11-08 Fujimi Incorporated Polishing composition

Also Published As

Publication number Publication date
JPH0817831A (ja) 1996-01-19
JPH0777218B2 (ja) 1995-08-16
JP2659918B2 (ja) 1997-09-30
US4944836A (en) 1990-07-31
EP0223920A3 (en) 1987-09-23
CA1245517A (en) 1988-11-29
DE3676458D1 (de) 1991-02-07
EP0223920A2 (en) 1987-06-03
EP0223920B1 (en) 1991-01-02

Similar Documents

Publication Publication Date Title
JPS62102543A (ja) 同平坦面の金属層および絶縁層の形成方法
USRE39126E1 (en) Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US4956313A (en) Via-filling and planarization technique
US5916453A (en) Methods of planarizing structures on wafers and substrates by polishing
US20010014525A1 (en) Process for forming trenches and contacts during the formation of a semiconductor memory device
JPH079934B2 (ja) 半導体デバイスの製造方法
US6017803A (en) Method to prevent dishing in chemical mechanical polishing
KR100271769B1 (ko) 반도체소자의 제조방법, 이를 위한 반도체소자 제조용 식각액조성물 및 반도체소자
KR100508838B1 (ko) 반도체 장치의 제조 방법 및 그의 연마액
JPS60208838A (ja) ポリイミドの傾斜エツチング法
JP2004524440A (ja) 銅、タンタルおよび窒化タンタルの化学的機械的平坦化用組成物
JP2004512681A (ja) 化学機械的研磨スラリー及び研磨方法
JPH11168105A (ja) 半導体集積回路の製造方法
JPH0682759B2 (ja) 導電性スタツドの形成方法
JPH07130737A (ja) 半導体装置およびその製造方法
US20060261041A1 (en) Method for manufacturing metal line contact plug of semiconductor device
JP3033574B1 (ja) 研磨方法
US6350678B1 (en) Chemical-mechanical polishing of semiconductors
JP3485504B2 (ja) 半導体装置のドライエッチング方法
US6235071B1 (en) Chemical mechanical polishing method for highly accurate in-plane uniformity in polishing rate over position
JPH0682660B2 (ja) 導電性スタツドを形成する方法
JP3682903B2 (ja) 基板キャパシタ形成に適した化学機械的研磨による自己整列パターンの形成方法
CN110660665A (zh) 金属栓塞的形成方法
KR100440082B1 (ko) 반도체소자의 도전배선 형성방법
TW202125753A (zh) 半導體裝置

Legal Events

Date Code Title Description
EXPY Cancellation because of completion of term