JP3371775B2 - 研磨方法 - Google Patents

研磨方法

Info

Publication number
JP3371775B2
JP3371775B2 JP29993797A JP29993797A JP3371775B2 JP 3371775 B2 JP3371775 B2 JP 3371775B2 JP 29993797 A JP29993797 A JP 29993797A JP 29993797 A JP29993797 A JP 29993797A JP 3371775 B2 JP3371775 B2 JP 3371775B2
Authority
JP
Japan
Prior art keywords
polishing
metal film
substance
polishing liquid
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP29993797A
Other languages
English (en)
Other versions
JPH11135466A (ja
Inventor
誠一 近藤
喜夫 本間
憲之 佐久間
健一 武田
憲治 日野出
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP29993797A priority Critical patent/JP3371775B2/ja
Priority to TW087116346A priority patent/TW380083B/zh
Priority to SG9804195A priority patent/SG95588A1/en
Priority to KR1019980044809A priority patent/KR100624589B1/ko
Priority to CNA2006101670612A priority patent/CN1974129A/zh
Priority to DE69836612T priority patent/DE69836612T2/de
Priority to EP06020541A priority patent/EP1760127A2/en
Priority to CNB981236723A priority patent/CN1298508C/zh
Priority to US09/182,438 priority patent/US6117775A/en
Priority to EP98308923A priority patent/EP0913442B1/en
Publication of JPH11135466A publication Critical patent/JPH11135466A/ja
Priority to US09/618,999 priority patent/US6596638B1/en
Application granted granted Critical
Publication of JP3371775B2 publication Critical patent/JP3371775B2/ja
Priority to US10/441,063 priority patent/US7132367B2/en
Priority to US10/825,100 priority patent/US20040229468A1/en
Priority to KR1020050084557A priority patent/KR100724023B1/ko
Priority to US11/581,375 priority patent/US7279425B2/en
Priority to US11/693,383 priority patent/US7563716B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F3/00Brightening metals by chemical means
    • C23F3/04Heavy metals
    • C23F3/06Heavy metals with acidic solutions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は金属膜の研磨に関
し、特に半導体装置の配線工程における研磨方法に関す
る。
【0002】
【従来の技術】近年、半導体集積回路(以下LSIと記
す)の高集積化、高性能化に伴って新たな微細加工技術
が開発されている。化学機械研磨(以下CMPと記す)
法もその一つであり、LSI製造工程、特に多層配線形
成工程における層間絶縁膜の平坦化、金属プラグ形成、
埋め込み配線形成において頻繁に利用される技術であ
る。この技術は、例えば米国特許No.4944836
に開示されている。
【0003】また、最近はLSIの高速性能化を達成す
るために、配線材料を従来のアルミニウム合金から低抵
抗の銅合金を利用しようとすることが試みられている。
しかし、銅合金はアルミニウム合金配線の形成で頻繁に
用いられたドライエッチング法による微細加工が困難で
ある。そこで、加工して溝の形成された絶縁膜上に銅合
金薄膜を堆積し、溝内に埋め込まれた部分以外の銅合金
薄膜をCMPにより除去して埋め込み配線を形成する、
いわゆるダマシン法が主に採用されている。この技術
は、例えば特開平2−278822号公報に開示されて
いる。
【0004】配線に用いられる銅合金等の金属のCMP
に用いられる研磨剤は、固体砥粒と酸化性物質を主成分
とするものが一般的である。酸化性物質の酸化作用で金
属表面を酸化しながら、固体砥粒によってその酸化物を
機械的に除去するのが基本的なCMPのメカニズムであ
る。これに関しては、株式会社サイエンスフォーラム発
行、柏木正弘編集「CMPのサイエンス」1997年8
月20日発行の第299頁に開示されている。
【0005】固体砥粒としては、数10〜数100nm
の粒子径を持つアルミナ砥粒やシリカ砥粒が知られてい
るが、一般に市販されている金属研磨用の固体砥粒のほ
とんどは前者である。
【0006】酸化性物質としては、過酸化水素(H
22)、硝酸第二鉄(Fe(NO33)、過ヨウ素酸カ
リウム(KIO3)が一般に広く用いられており、これ
らは例えば、上記の「CMPのサイエンス」の第299
頁から第300頁に開示されている。
【0007】
【本発明が解決しようとする課題】しかしながら、従来
の金属膜研磨用の固体砥粒を主成分として含む研磨剤を
用いてCMPにより配線及びプラグを形成する場合、以
下の(1)〜(8)に挙げる問題が発生している。
【0008】(1)絶縁膜に形成された溝の内部に埋め
込まれる金属配線の表面中央部分が周辺部分よりも過剰
に研磨されて凹む現象(以後ディシングと記す)や配線
部周囲の絶縁膜表面が研磨される現象(以後エロージョ
ンと記す)が発生する(図5(a)(b))。
【0009】本来、金属膜研磨用の研磨剤の金属/絶縁
膜の選択比は10以上とかなり高いものであるが、この
値は平坦な金属膜のみが形成されたウエハと平坦な絶縁
膜のみが形成されたウエハを用いてそれぞれ別々にCM
Pを行い、両者の研磨速度の比をとって得られたもので
ある。
【0010】しかし、配線パターンとなる溝が形成され
た絶縁膜上に金属膜が堆積されたウエハのCMPでは、局
所的に過剰に研磨される箇所が発生することが知られて
いる。これは、CMP前のウエハ表面には配線パターン
となる溝を反映した凹凸が金属膜の表面に生じており、
CMPを行う場合にパターン密度に応じて局所的に高い
圧力がかかり、その部分の研磨速度が速くなるためであ
る。
【0011】したがって、ディシングやエロージョンは
金属部分の面積が広いパッド(0.1mm角程度の面
積)や密集配線パターンで顕著な問題となる。これら
は、ジャーナルオブ・エレクトロケミカル・ソサエテイ
第141巻第10号、1994年10月、第2842頁
〜第2848頁(J.Electrochem.So
c.Vol.141,No.10,October 1
994,p.2842〜p.2848)に記載されてい
る。
【0012】(2)研磨用の固体砥粒によりスクラッチ
(研磨傷)が発生する。特に、金属研磨の砥粒の主材料
であるアルミナは絶縁膜の主材料である酸化シリコンよ
りも硬度が高い。そのため、配線用金属膜の表面だけで
なくCMPによって露出した絶縁膜の表面にもスクラッ
チが発生する。絶縁膜表面のスクラッチには研磨剤が残
留して重金属イオン汚染による半導体素子の動作不良が
生じたり、上層配線の加工形状に影響を与え短絡不良を
発生させたりする。また、金属膜表面のスクラッチは導
通不良やエレクトロマイグレーション耐性の劣化の原因
となる。
【0013】スクラッチを防ぐために研磨荷重や定盤回
転数を低くしてCMPを行う方法が取られている。しか
し、銅のような軟質金属ではこの方法でもスクラッチを
防ぐことは難しい。
【0014】軟質研磨布を用いることによりスクラッチ
を低減できるが、ディシングやエロージョンが大きくな
りCMP後の平坦性は劣化する。そこで硬質研磨布で途
中までCMPを行った後、軟質研磨布で仕上げ研磨を行
う2段階CMPも提案されている。しかしながら、この
場合スループットが低下するという新たな問題が生じ
る。
【0015】(3)CMPを行うと研磨砥粒と金属膜表
面の間に高い摩擦力が生じるため、金属膜と下地絶縁層
との間や、下地絶縁層内の塗布ガラス(以下SOGとい
う)と化学気相蒸着(以下CVDという)酸化膜の間で
はがれが発生する。はがれ防止策として研磨荷重や定盤
回転数を低くする方法もあるが、完全に防止しようとす
ると研磨速度が下がり研磨時間が長くなるため実用的で
はない。また、軟質研磨布を用いると解決する場合もあ
るが、ディシングやエロージョンが大きくなり、CMP
後の平坦性が劣化する。
【0016】(4)CMP直後のウエハ表面には研磨砥
粒が多数残留するために、次工程を行う前に洗浄を行
い、規定値以下(例えば0.2マイクロメートル以上の
異物数がウエハ当たり100個以下)まで異物数を取り
除かなければならない。このためには化学的な洗浄だけ
でなく機械的な洗浄を併用する洗浄機を必要とした。
【0017】その洗浄技術は図11に示すように非常に
複雑であり、主に薬液を併用したブラシ洗浄とメガソニ
ック洗浄が行われている。ブラシ材料は金属膜表面を傷
つけない特殊な材料でなくてはならず、薬液としては例
えば水酸化アンモニウムやフッ酸水溶液等が用いられ
る。
【0018】メガソニック洗浄は800kHz以上の高
周波振動を洗浄液に加えて砥粒を基体から遊離させる洗
浄方法であり、従来の超音波振動(40kHz)による
洗浄方法よりも強力な洗浄手段である。この洗浄におい
ては砥粒が基体から遊離するに足るだけのエネルギーも
しくは力を加える必要がある。一方、金属膜や絶縁膜に
損傷を与えない範囲に出力を設定しなければならない。
以上のようなCMP後洗浄プロセスの例としては、例え
ば「月刊セミコンダクターワールド(Semicond
uctor World)」1995年5月号の172
頁に開示されている。
【0019】(5)CMPに用いる消耗品のコストが高
い。これは研磨剤で用いる砥粒の製造コストが高く、粒
子サイズを揃えるためにも極めて注意を要するからであ
る。特にアルミナ砥粒はシリカ砥粒に比べて数倍高価で
ある。
【0020】また、研磨布は一般的に発泡ポリウレタン
が用いられている。CMPを行うと、この研磨布に研磨
砥粒が付着して、いわゆる”目詰まり”現象を起こして
研磨速度が低下する。
【0021】これを防止するために適宜ダイヤモンド粒
子を固着させた砥石(以下コンディショナと記す)で研
磨布表面を削る必要があった。そのため研磨布の寿命は
短くなり、研磨砥粒に次ぐ高コストの消耗品となってい
た。CMPプロセスのコストに関しては、例えばリアラ
イズ社最新技術講座1996年5月「CMP装置と関連
材料の最新動向とその問題点」に記載されている。
【0022】(6)CMP関連装置及び設備の問題とし
て、上記のCMP装置や後洗浄装置以外にも研磨剤供給
装置、研磨剤を含む廃液の処理装置が必要となり、CM
P設備全体にかかるコストが非常に高いものになってい
た。研磨剤供給装置では砥粒の沈殿を防止するための攪
拌装置も必要とし、配管内にも沈殿しないように常に研
磨剤を循環するような機構も必要とした。その廃液処理
コストも高く、再利用技術も必要とされている。
【0023】(7)CMP工程全体のスループットが低
いことも問題である。CMP装置内では上記の研磨布の
コンディショニング、金属膜等を研磨する1次CMPと
露出した絶縁膜表面のダメージ層等を除去する2次CM
P(バフ研磨)を行うことが一般的である。上記の後洗
浄装置はブラシ洗浄があるため、通常ウエハを枚葉で洗
浄する。したがってCMP工程全体のスループットは半
導体装置製造工程中で最も低い状況となっている。CM
P工程全体のプロセス例としては、例えば「月刊セミコ
ンダクターワールド(Semiconductor W
orld)」1995年5月号の172頁に詳しく記載
されている。
【0024】(8)CMP装置は発塵の原因となる研磨
砥粒を多量に使用しているにもかかわらず、クリーンル
ーム内に設置しなければならないという問題がある。C
MP装置に排気ダクト等の発塵を抑制する機構を設け、
クリーンルーム内に特別の部屋を設置するなどしてクリ
ーン度を維持する必要があり、そのためのコストもかか
る。
【0025】上記の問題点は全て高濃度の研磨砥粒を含
む研磨剤によってCMPを行うことが原因となってい
る。しかし、従来のCMPの方法は酸化性物質によって
金属表面を酸化し、この酸化層を研磨砥粒によって機械
的に除去することによって新たに露出した金属表面を再
度酸化して、酸化層の形成/機械的除去を繰り返して研
磨速度を上げていた。すなわち、研磨砥粒は酸化層を速
やかに除去すべく機械的除去効果を生じさせるために必
要であり、研磨砥粒を加えないと実用的な研磨速度に達
しなかった。
【0026】特開平7−233485公報には、研磨砥
粒を添加しない研磨液(0.1重量%のアミノ酢酸と1
3重量%の過酸化水素)でCMPを実施した比較例が記
載されている。この場合の研磨速度は10nm/min
で、アルミナ研磨砥粒を添加した研磨液の約1/10、
シリカ研磨砥粒を添加した研磨液の約2/7の研磨速度
であることが記載されている。
【0027】図2は前記公報に基づいて追試を行った結
果である。これは前記公報の結果を再現するために、
0.1重量%のアミノ酢酸と過酸化水素を含有した研磨
液(砥粒は含まない)で、研磨速度とエッチング速度の
過酸化水素水濃度依存性を測定したものである。なお、
図2では30%過酸化水素水の濃度で図示しており、前
記公報に記載の図面と対応させるには0.3倍して換算
すればよい。研磨布としてはロデール社の硬質布IC1
000を用いた。定盤(定盤直径:340mm)とホル
ダの回転数はともに60rpm、研磨荷重は220g/
cm2とした(本発明のCMP条件と同一にした)。図
2の結果から、砥粒を含まない場合にはせいぜい20n
m/minで実用的な研磨速度が得られないことが分か
る。過酸化水素濃度が低いとエッチング速度が速く研磨
の安定性が悪くなる。一方、過酸化水素濃度を高めれば
安定性は増すが研磨速度が著しく低くなり処理能力の点
では不利である。
【0028】さらに詳細に調べると、静水液エッチング
速度(攪拌しない研磨液に静止した試料を浸した際のエ
ッチング速度)が過酸化水素水の高濃度側でも完全に0
にはならないことも分かった。また研磨液を攪拌して同
様にエッチング速度(攪拌液エッチング速度:CMP中
のエッチング速度に近い)を測定すると、エッチング速
度が増加して研磨速度の1/2倍を超えることが分か
る。
【0029】したがって、砥粒を含有させることによっ
て研磨速度を上げ、研磨速度と攪拌エッチング速度の比
(以下、速度比と記す)を高めないと研磨液として使用
できないことが分かった。速度比が低いと研磨面に接し
ていない凹部でのエッチングが進行し平坦性が損なわれ
る。実際にこれら過酸化水素水の濃度を変えた研磨液を
用いてCMPを行った結果、40分から1時間30分の
研磨時間を要した。
【0030】形成された銅配線の断面図を図22に示
す。シリコン酸化膜の加工溝に残されるはずの銅はほと
んどがエッチングされて溶出し、蛇行配線(配線幅0.
3マイクロメートルから3マイクロメートル、長さ40
mm)を用いて導通試験を行った結果、歩留まりは0%
であった。したがって、これをLSI配線として用いる
ことはできなかった。これは研磨速度が遅いために、長
い研磨時間の間にエッチングが進行したことが原因であ
る。
【0031】アミノ酢酸の濃度を上げれば研磨速度は上
がるが、同時に攪拌エッチング速度も上がり、上記の結
果と同様になる。エッチングを抑制するには、水酸化カ
リウムを添加して研磨液をpH10.5のアルカリ性に
すればよいことが前記公報に記載されている。しかし、
水酸化カリウムはシリコン酸化膜をエッチングするため
に選択比が低下し、エロージョンが発生するという問題
が生じる。さらに残留したカリウムイオンが絶縁膜中を
拡散して、半導体素子の特性劣化を引き起こすという問
題が生じる。
【0032】以上のような問題は、アミノ酢酸自体が酸
化銅を水溶性化する特性が低いことに起因している。こ
れは図9に示したpH−酸化還元電位図(M.プールベ
イ、「アトラスオブ・エレクトロケミカル・イクイリブ
リア・イン・アクエアス・ソリューション」(M.Po
urbaix,「Atlas of Electroch
emical Equilibria in Aqueo
us Solutions」)1975年NACE発行
の第387頁に開示されている。)からわかるように、
銅が銅イオンとして水溶性化する範囲(腐食域)がpH
7以下であるのに対して、アミノ酢酸は中性でその効果
が弱いからである。
【0033】図26に銅の腐食域と不働態域における腐
食速度(エッチング速度)の違いを示した。実線は図9
において酸化還元電位が等しい場合の腐食速度を示した
ものである。代表例として、腐食域ではクエン酸と過酸
化水素水を混合した研磨液、不働態域ではアミノ酢酸と
過酸化水素水を混合した研磨液の腐食速度をプロットし
た。両研磨液は等モル比で作製して比較した。このよう
に腐食域では不働態域と比較して非常に速い速度で銅が
水溶性化されてイオン化される。
【0034】この点に関しては、1996年CMP−M
ICコンファレンス予稿集,第123頁にも記載されて
いる。実際にアミノ酢酸は酸化銅をエッチングする効果
がないことが前記論文に記載されているが、酸化銅を水
溶性化する効果がないと、CMPを行った後に露出した
絶縁膜上に酸化銅が残り、配線間の電気的短絡の原因と
なる。なお、砥粒を含む研磨剤であれば機械的作用によ
り酸化銅は容易に除去される。
【0035】また従来からある金属のエッチング液は上
記の腐食域にあるが、これをそのままLSI多層配線形
成用のCMP研磨液として用いることができるとは限ら
ない。CMP研磨液では逆にエッチング速度が遅い方が
適しているからである。例えば、砥粒加工学会誌、19
97年、第41巻、第1号、第231頁から第233頁
に硝酸水溶液を用いた銅表面の研磨実験に関して記載さ
れている。砥粒がないと研磨速度が低くなるものの、ス
クラッチの発生がないために研磨液として適していると
述べられているが、この研磨液のエッチング速度は調べ
られておらず、配線構造の形成もなされていない。実際
にこの研磨液の追試を行った結果、1%硝酸水溶液の銅
の静水液エッチング速度は50nm/minであり、上
記学会誌に記載の研磨速度約80nm/minに対して
十分に大きな比が取れなかった。さらに埋め込み配線を
形成するためにCMPを行うと、配線部分として残すべ
き部分の銅もエッチングされてほとんど消失してしまっ
た。このようにエッチング速度が制御されていない研磨
液では研磨を行うことはできるが、埋め込み配線を形成
することはできない。
【0036】本発明は係る点に鑑みてなされたものであ
り、(1)埋め込み配線形成時のディシングやエロージ
ョンの発生の抑制、(2)スクラッチや(3)はがれ等
の損傷の低減、(4)CMP後洗浄の簡素化、(5)研
磨液と研磨布のコスト低減、(6)研磨剤供給/処理設
備の簡素化、(7)高スループット化、(8)低発塵化
の少なくとも1つを実現することのできる研磨方法や半
導体装置の製造方法を提供することを目的とする。
【0037】
【課題を解決するための手段】上記目的は金属膜の研磨
方法において、研磨砥粒を含まない又含むとしても1重
量%未満の低濃度の研磨砥粒を含み、pH及び酸化還元
電位が金属膜の腐食域である研磨液を用いて、金属膜表
面を機械的に摩擦することにより達成される。必要に応
じて腐食を抑制する物質(防食性物質)を研磨液に添加
しても良い。
【0038】上記目的は、酸化性物質(金属の電子を奪
い原子価を高める作用のある物質)と、酸化物を水溶性
化する物質とを含む研磨液1を用いて、金属膜表面を機
械的に摩擦することにより達成される。なお、この場
合、Cu、W、Ti、TiN、Al等の金属膜に適用で
きる。
【0039】上記目的は前記金属を水溶性化する物質を
含む研磨液2を用いて、金属膜表面を機械的に摩擦する
ことにより達成される。なお、この場合は主にイオン化
傾向が水素よりも低い金属であるAl等の金属膜に適用
できる。前記金属を水溶性化する物質としては塩酸や有
機酸等の酸もしくは水酸化アンモニウム等のアルカリが
挙げられる。また、イオン化傾向が水素よりも高い銅
も、前記金属を水溶性化する物質として水酸化アンモニ
ウムを用いることにより上記目的は達成される。
【0040】上記研磨液のpH及び酸化還元電位が金属
の腐食域であることにより前記金属を水溶性化すること
が可能になり、研磨基板表面に露出した絶縁膜表面での
金属の残留を低減することができる。各金属の腐食域は
前記プールベイのpH−酸化還元電位図に記載されてい
る。例えば銅の場合、図9に示したようにpH<7、酸
化還元電位>0.2であればCu2+イオンとして溶解す
る。もしくは、pH>12.5のアルカリ域であればC
uO2 2―イオンとして溶解する。従って、銅を研磨する
場合にはいずれかの腐食域にすることが望ましい。
【0041】但し、前記プールベイ図はH2O系であ
り、他の反応物が研磨液に含まれている場合はpH−酸
化還元電位図内の腐食域の占める範囲は変化する。本発
明で示す腐食域は、そのような添加物も含めて研磨液が
金属を腐食するpH及び酸化還元電位の範囲にある物質
を含むかどうかで定義する。研磨液に腐食性物質と防食
性物質の両者を含む場合は、前者が本発明で示す腐食域
に入る。
【0042】上記の物質が含まれた研磨液1でCMPを
行うと、まず金属表面が酸化性物質によって酸化され、
表面に薄い酸化層が形成される。次に酸化物を水溶性化
する物質が供給されると前記酸化層が水溶液となって溶
出して前記酸化層の厚さが減る。酸化層が薄くなった部
分は再度酸化性物質に晒されて酸化層の厚さが増し、こ
の反応を繰り返してCMPが進行する。その際、図4
(a)に示したような金属表面の凸部50は常に研磨布
の機械的摩擦を受けるため、表面の反応生成物は除去さ
れ易く、かつ局所的に加熱されるために反応が促進さ
れ、上記の酸化/水溶性化の繰り返し反応は凹部49よ
りも速く進行する。すわなち、凸部50の研磨速度は速
くなり平坦化される。
【0043】防食性物質は金属表面に付着して凹部の反
応を抑制し、最終的に平坦性を向上する効果がある。防
食性物質を添加しても研磨液がプールベイ図の腐食域に
あれば、研磨布の摩擦によって防食性物質が除去された
金属表面の凸部で前記の反応が進行し、最終的に平坦化
される。すなわち、研磨液が腐食性と防食性の両者を兼
ね備え、研磨布の機械的摩擦によって両者の効果をCM
P中に制御する点が重要である。防食性物質の研磨液へ
の添加濃度は、金属表面の凸部に付着した防食性物質が
研磨布の機械的摩擦によって除去される程度で良い。添
加濃度の目安として、研磨速度を50nm/min以上
に保ち、かつ攪拌エッチング速度が数nm/min以下
であることが望ましい(速度比が50程度)。それ以上
の濃度で添加するとCMP速度が低下することがある。
但し、無添加で研磨速度が十分に高く、かつエッチング
速度が数nm/min以下と小さい場合には、防食性物
質を添加しなくても平坦性よく研磨することができる。
【0044】従来のCMPの方法が酸化性物質によって
金属表面を酸化し、この酸化層を研磨砥粒によって機械
的に除去することによって研磨速度を上げていたのに対
して、本発明では研磨砥粒濃度を低減する代わりに、酸
化物を水溶性化する物質を添加することによって、実質
的に研磨布の機械的摩擦のみで実用的な研磨速度を得
る。
【0045】上記の(1)から(8)の目的は、以下の
研磨砥粒濃度範囲で達成される。
【0046】上記の(1)ディシングとエロージョンを
抑制する目的は、上記の研磨砥粒の濃度を0.05重量
%以下にすることにより達成される。
【0047】上記の(2)絶縁膜表面に発生するスクラ
ッチを低減する目的は、上記の研磨砥粒の濃度を1重量
%未満にすることにより達成される。
【0048】上記の(2)金属膜表面に発生するスクラ
ッチを低減する目的は、上記の研磨砥粒の濃度を0.1
重量%以下にすることにより達成される。
【0049】上記の(3)はがれを低減する目的は、上
記の研磨砥粒の濃度を0.5重量%以下にすることによ
って達成される。
【0050】上記の(4)洗浄性を向上する目的は、上
記の研磨砥粒の濃度を0.01重量%以下にすることに
よって達成される。
【0051】上記の(5)研磨液と研磨布のコストを低
減する目的は、上記の研磨砥粒の濃度を0.001重量
%以下にすることによって達成される。
【0052】上記の(6)研磨剤供給/処理設備の問題
を解決する目的は、上記の研磨砥粒の濃度を0.000
1重量%以下にすることによって達成される。
【0053】上記の(7)スループットを向上する目的
は、上記の研磨砥粒の濃度を0.01重量%以下にする
ことによって達成される。
【0054】上記の(8)発塵を抑制する目的は、上記
の研磨砥粒を添加しないことによって達成される。
【0055】上記の酸化性物質としては過酸化水素が金
属成分を含まず、かつ強酸ではないため最も望ましい。
硝酸第二鉄及び過ヨウ素酸カリウムは金属成分を含むが
酸化力が強いために研磨速度を高くする効果がある。
【0056】上記の酸化物を水溶性化する物質としては
酸があり、金属イオン(例えばCu2+イオン)として水
溶性化する作用を利用する。無機酸としては硝酸、塩酸
が代表的なものである。
【0057】また、有機酸もしくはその塩は毒性が低く
研磨液として扱い易い。例えば、クエン酸、フタル酸、
酢酸、乳酸、酒石酸に代表される有機酸及びそれらの塩
が挙げられる。塩は溶解度を高める効果があり、金属成
分を含まないもの、例えばアンモニウム塩、もしくは半
導体素子に悪影響を及ぼさない元素(例えばアルミニウ
ム等)を含むものが望ましい。
【0058】上記の酸のうち、クエン酸は食品添加物と
しても一般に使用されており、毒性が低く、廃液として
の害も低く、臭いもなく、水への溶解度も高いために本
発明の研磨液に使用する酸として望ましい。
【0059】フタル酸は水への溶解度が低いために塩に
することが望ましい。但し、塩にしてpHが変化しても研
磨液を金属の腐食域に保つようにする必要がある。例え
ばフタル酸を銅の研磨液として用いる場合、フタル酸分
子内の2個のカルボキシル基のうち、一つのみを置換し
たフタル酸水素塩であれば水への溶解度が増加し、かつ
pHを酸性(腐食域)に保つことができるため研磨液と
して適している。2個のカルボキシル基を置換したフタ
ル酸塩では研磨液はほぼ中性になり、CMP速度が低下
する。他の有機酸に関しても同様である。
【0060】上記の酸化性物質と酸化物を水溶性化する
物質は、両者の作用を合わせ持つ薬剤であれば1種類で
も構わない。例えば銅を溶解する硝酸はその例である。
添加薬液が少なくなることにより研磨液作製に要する時
間とコストを下げることが可能になる。酸化力を高める
ために過酸化水素のような他の酸化性物質を混合するこ
ともできる。
【0061】また、上記の酸化物を水溶性化する物質と
して水酸化アンモニウム、硝酸アンモニウム、塩化アン
モニウムのいずれかを含むものでもよい。研磨液にアン
モニウムイオンが含まれている場合には、上に示したよ
うに腐食域が変化し、pH>4.5であってもCu(N
32+イオンとして銅を溶解させる作用がある。な
お、Cu−NH3−H2O系に関するpH−酸化還元電位
図は、例えばジャーナルオブ・エレクトロケミカル・ソ
サエテイー、142巻、7号、1995年7月、第23
81頁(J.Electrochem.Soc.Vo
l.142,No.7,July、1995、p.23
81)に記載されている。
【0062】酸化やエッチングを抑制する物質として
は、防食性物質及び界面活性剤が挙げられる。研磨液中
に混合することでエッチングが抑制され、かつ十分な研
磨速度が得られる物質であれば良い。特に銅合金の防食
性物質としてはベンゾトリアゾール(以下BTAと記
す)が最も効果が大きい。他に実用上使えるものとして
は、トリルトリアゾール(以下TTAと記す)、BTA
カルボン酸(以下BTA−COOHと記す)等のBTA
の誘導体、シスチン、ハロ酢酸、グルコース、ドデシル
メルカプタン等も防食効果がある。
【0063】上記の機械的摩擦を加える手段は1重量%
以上の研磨砥粒を研磨液に供給しない研磨布を用いるこ
とができる。研磨布の最適硬度はCMPを行う対象物に
よって異なるが、例えば0.1mm角の銅電極パターン
をダマシン法によって形成し、そのディシングの許容量
が100nm以下である場合は、CMPを行う荷重で研
磨布を0.1mm角の開口部に押し付けた際に、その開
口部から研磨布が圧縮されて押し出される量が100n
m以下であることが好ましい。硬質の研磨布はこの条件
をこの条件を満たすものであり、これを用いることによ
ってディシングを抑制することができる。なお、ダマシ
ン法とは開口を有する絶縁膜上に金属膜を形成後、研磨
し、開口部内部に金属膜を残す技術である。
【0064】但し、最大1マイクロメートル程度のプラ
グを形成する場合は軟質研磨布を用いることもできる。
研磨布の硬度は、スクラッチや剥がれが発生しない程度
であれば高いほど望ましいが、配線やプラグのパターン
以外の基体表面の凹凸、例えばウエハのそり等には追随
できる程度に軟らかい硬度の研磨布である必要がある。
【0065】研磨布から供給される研磨砥粒は、研磨液
の砥粒濃度と同様、上記の(1)から(8)の目的に応
じて上限が異なる。例えば、上記の(1)ディシングと
エロージョンを抑制する目的は、砥粒濃度を0.05重
量%以下にすることによって達成される。
【0066】ここで研磨速度が10nm/min以下の
研磨液は、例えば800nmの金属膜1枚のCMPを行
う場合に80分を要するので配線構造を作製するのに実
用的ではなく、上記のスループットの問題とコストの問
題を解決していないため本発明では研磨液として定義し
ない。
【0067】また、研磨速度とエッチング速度の比が5
倍以上、できれば10倍以上が好ましい。これ以下であ
れば、たとえ研磨速度が高くても、CMP中に発生する
エッチング作用によって配線構造を寸法精度良く作製で
きなくなる。エッチング速度は数nm/min以下であ
ることが望ましい。
【0068】本発明はスクラッチやディシング、エロー
ジョン等の発生しやすい銅合金やアルミニウム合金のC
MPにおいて最も効果があるが、他のメタルCMP、例
えばタングステン及びタングステン合金、チタン及びチ
タン合金等(特に窒化チタン)においても絶縁膜上に発
生するスクラッチの低減には有効である。
【0069】
【発明の実施の形態】以下、本発明を図面を用いて具体
的に説明する。
【0070】(実施例1)本実施例では銅のCMPを行
うことによって銅配線を形成する方法について説明す
る。図1は本発明の実施例において使用するCMP装置
を示す概略図である。研磨布17が貼り付けられた定盤
11の上をバッキングパッド18によってウエハ14を
支持したホルダ12が回転してCMPを行う構造になっ
ている。CMP中にウエハがはずれないようにリテーナ
リング13が設けられている。CMP中における研磨荷
重は220g/cm2、定盤とホルダの回転数はともに
60rpmとした。なお、研磨荷重や回転数はこれに限
られるものではない。一般に、荷重や定盤回転数を増や
すことにより研磨速度が速くなるが、図25に示したよ
うにスクラッチが入りやすくなる。但し、本発明では研
磨砥粒濃度が低いので、又は含まないので荷重に対する
研磨傷の発生は少ない。研磨布はロデール社製の硬質布
IC1000を用いた。
【0071】本発明の研磨液は定盤上に設けられた第1
の供給口15から研磨布上に約30cc/minの速度
で滴下してCMPを行う。CMPが終了した段階で第1
の供給口15を閉じて研磨液の供給を停止し、第2の供
給口16から純水を約3000cc/minの速度で供
給してリンスを15〜30秒間行う。その後ウエハを乾
燥しないような状態でメガソニック洗浄を行い、研磨液
を除去した後、ウエハを乾燥させる。
【0072】まず、配線パターンが形成されていないウ
エハを用いて本発明の研磨液の研磨特性を調べた。試料
はシリコンウエハ上に厚さ200nmのシリコン酸化膜
を形成した後、接着層として厚さ50nmのTiN膜と
厚さ800nmのCu膜をスパッタリング法によって真
空中で連続成膜したものである。ウエハ直径は4インチ
である。
【0073】本実施例で用いた研磨液は酸化性物質及び
酸化物を水溶性化する物質である有機酸を混合したもの
である。酸化性物質としては過酸化水素水(30%H2
2水溶液)、有機酸としてはクエン酸を用いた。クエ
ン酸は水への溶解度が高いという利点がある。混合比を
最適化するため、濃度を変えて研磨速度とエッチング速
度を調べた。なお、研磨液の温度は室温である。エッチ
ング速度とは研磨液中に試料を浸した際に銅表面がエッ
チングされる速度であり、CMP中に過剰にエッチング
が進行すると配線構造が形成されないため、できるだけ
低い方が望ましい。エッチング速度は、図2と同様に静
水液エッチング速度と攪拌液エッチング速度を調べた。
研磨速度とエッチング速度は電気抵抗値変化から換算し
て求めた。
【0074】図3に研磨液の過酸化水素水濃度依存性を
調べた結果を示す。クエン酸濃度は0.03重量%で一
定とした。研磨速度と静水液エッチング速度の比も合わ
せて示してある。研磨速度は過酸化水素水の濃度が10
体積%のときに最大値84nm/minを示している
が、エッチング速度は5体積%以下で5nm/min以
下の低い値になっているため、研磨速度とエッチング速
度の比をとると、5体積%で最も高い比30を示した。
過酸化水素水のみ、もしくはクエン酸のみでは10nm
/min以下の研磨速度となり、埋め込み配線を形成す
る目的には十分な研磨速度ではない。すなわちクエン酸
と過酸化水素水の両方が含有されていることが必要であ
る。そこで5体積%の過酸化水素水と0.03重量%の
クエン酸を純水に混合した研磨液を用いて、銅の埋め込
み配線パターンを作製した。図9に示したように本研磨
液は銅の腐食域にある。
【0075】埋め込み配線を形成する試料の研磨前の断
面構造の例を図4(a)に示す。不純物ドープ層や絶縁
膜が形成されたシリコン基板25上に厚さ500nmの
BPSG膜(ホウ素とリンが添加されたシリコン酸化
膜)24と厚さ500nmのシリコン酸化膜23を成膜
し、リソグラフィ工程及びドライエッチ工程によって深
さ500nmの配線用の溝パターンをシリコン酸化膜2
3内に形成した。その上に接着層として厚さ50nmの
TiN層22を成膜した後に厚さ800nmの銅薄膜2
1をスパッタリング法により真空中で連続成膜した。さ
らに段差被覆性を良くするためにスパッタ装置内で摂氏
450度で30分間の真空熱処理を行った。シリコン基
板25にはソース、ドレイン等の不純物ドープ層が形成
されているが、ここでは省略して記載していない。
【0076】この試料を、5体積%の過酸化水素水と
0.03重量%のクエン酸を純水に混合した研磨液を用
いてCMPを行った結果、図4(b)のように、ディシ
ングやエロージョンが約50nm以下となる形状に加工
することができた。形成された銅配線の電気抵抗率を測
定した結果、TiN層の部分も含めて1.9マイクロオ
ームセンチメートルの値を得た。また、蛇行配線(配線
幅0.3マイクロメートルから3マイクロメートル、長
さ40mm)や櫛形配線(配線間隔0.3マイクロメー
トルから3マイクロメートル、長さ40mm)を用いて
導通/絶縁試験を行った結果、ほぼ100%の歩留まり
が得られた。
【0077】次に銅のプラグを本発明の研磨液によって
形成した例を示す。成膜方法とCMP条件は上記の埋め
込み配線の形成方法と同様である。図17(a)〜図1
7(c)に形成した直径0.5マイクロメートルの銅プ
ラグの構造を示す。図17(a)はCMP前の断面構
造、図17(b)はCMP後の断面断面、図17(c)
は上面から見た構造である。プラグの場合は絶縁膜の開
口部が1マイクロメートル以下であるため、軟質研磨布
(例えばロデール社製のSuba800やXHGM11
58)でも図17(b)のようにディシングやエロージ
ョンなく形成することができた。硬質研磨布(IC10
00)を使えることは言うまでもない。
【0078】CMPの終点検出に関しては問題なく行う
ことができた。終点検出方法として、CMP装置の研磨
定盤もしくはウエハホルダの回転トルクの変化に基づい
て行った場合、図23に示す信号が得られた。約350
秒経過した時点でCuの研磨が終了し、TiNを研磨す
る段階になるとトルク信号強度が強くなり、その後の約
400秒経過した時点で強度が落ちることから判定でき
た。
【0079】また、研磨処理後の研磨液の光学的スペク
トル変化に基づいて終点検出することもできた。研磨処
理前の研磨液は透明であるが、銅を研磨することによっ
て銅イオンが研磨液に溶け込み、研磨液は青くなる。そ
こで図24に示したように研磨処理が終わって流れ出た
研磨液の光信号強度を波長725nmで測定した結果、
研磨が終了した段階で強度が下がり終点検出できること
がわかった。従来の研磨砥粒が添加された研磨液では研
磨液が白濁しているため、光スペクトルの変化で測定す
ることが困難であった。また、研磨布の一部に穴を開
け、ウエハ表面からの光反射スペクトル変化に基づいて
終点検出することも可能であった。この場合も研磨液に
砥粒が含まれているとウエハ表面に付着した白濁の研磨
液によって信号にノイズが入り、測定が困難であった。
【0080】本実施例では、酸化剤として過酸化水素を
用いたが、過酸化水素の代わりに硝酸第二鉄や過ヨウ素
酸カリウムを用いても配線構造を形成できる。但し、鉄
やカリウムの汚染対策が必要になる。
【0081】また、水酸化アンモニウム、硝酸アンモニ
ウム、塩化アンモニウムのいずれかを含む研磨液でCM
Pを行っても同様に埋め込み配線構造を形成することが
できた。
【0082】(実施例2)本実施例では、実施例1で用
いた研磨液に防食性物質を添加してさらに研磨特性を向
上する方法について説明する。防食性物質の添加によっ
て図3に示したエッチング速度が低下し、研磨速度とエ
ッチング速度の比がさらに高くなる。このことによっ
て、CMP中に銅表面が過剰にエッチングされるのを防
止でき、かつCMP後に銅研磨面が酸化されるのを防ぐ
ことが可能になる。
【0083】防食剤はBTAを用いた。5体積%の過酸
化水素水と0.03重量%のクエン酸を純水に混合した
研磨液に、BTAを0.1%添加した。BTAを添加し
ても、本研磨液のpHと酸化還元電位はほとんど変化せ
ず、図9に示した銅の腐食域にある。実施例1と同様に
銅のエッチング速度を調べた結果、BTA添加前と比較
して約6分の1に低減することができた。そこでこの研
磨液を用いて、実施例1と同様の条件でCMPを行った
結果、銅研磨面の腐食を抑制し図4に示した埋め込み配
線を形成することができた。形成された銅配線の電気抵
抗率を測定した結果、TiN層の部分も含めて1.9マ
イクロオームセンチメートルの値を得た。また、蛇行配
線(配線幅0.3マイクロメートルから3マイクロメー
トル、長さ40mm)や櫛形配線(配線間隔0.3マイ
クロメートルから3マイクロメートル、長さ40mm)
を用いて導通/絶縁試験を行った結果、ほぼ100%の
歩留まりが得られた。
【0084】また、オーバーCMPを長時間(例えば2
倍の時間)行った場合、BTAを添加しない研磨液では
図16(a)のように銅配線部が約100nmの深さだ
けエッチングされて周辺の絶縁膜部分よりも凹む減少が
観察されたが、BTAを添加した研磨液を用いることに
より図16(b)のように数10nm以下に抑制するこ
とができた。なお、オーバーCMPはウエハ全体で研磨
残りがないようにするために行うものである。
【0085】上記研磨液を濃厚にしたものでも同様に配
線構造を作製できた。例えば30体積%の過酸化水素水
と0.15重量%のクエン酸と0.3%のBTAを純水
に混合したものが良好であった。研磨液が濃厚な場合、
ウエハ内の研磨均一性が向上する効果があった。研磨液
が希薄な場合の均一性が10%以上であったのに対し
て、濃厚液の均一性は8%以下になった。但し、希薄液
は研磨液を安価に作製できる効果がある。
【0086】また、金属を水溶性化する物質として水酸
化アンモニウムを用いる場合もBTAを添加した研磨液
とすることにより上記の効果が得られ、埋め込み銅配線
を形成することができる。
【0087】(実施例3)本実施例では砥粒濃度低減に
よるディシングとエロージョンの抑制効果を調べた。実
施例2の研磨液(5体積%の過酸化水素水と0.03重
量%のクエン酸と0.1%のBTAを純水に混合したも
の)と、比較例としてこの研磨液にアルミナ砥粒(粒子
径:約200nm)を2.5%加えたものを用意した。
これらの研磨液を用いて実施例2と同様に埋め込み配線
を形成し、図5に定義したディシング量とエロージョン
量の配線幅依存性を、幅0.4マイクロメートルから9
0マイクロメートルで、長さ400マイクロメートルの
配線の断面写真を走査電子顕微鏡(SEM)で撮影して
測定した。図6(a)(b)に計測結果を図7及び図8
にSEM観察に基づき描いた断面の形状を示す。
【0088】図6(a)(b)からディシング量、エロ
ージョン量ともに配線幅が太くなるにつれて大きくなる
傾向にあるが、アルミナ砥粒をなくすことによってディ
シング量は約半分に低減され、幅4マイクロメートル以
下の配線のエロージョン量はほとんどSEMでは観察で
きない程度(10nm以下)にまで低減された。図8
(a)(b)の比較から90マイクロメートルの配線幅
では顕著な差が観察される。
【0089】次にディシング量とエロージョン量のアル
ミナ砥粒濃度依存性を調べた。両値の計測は図5に示し
た定義に従って行った。アルミナ砥粒濃度は0.000
1重量%、0.001重量%、0.01重量%、0.0
5重量%、0.1重量%、0.5重量%、1重量%の7
種類の研磨液を用意した。その結果、アルミナ砥粒濃度
が0.05重量%以下になると両値ともアルミナ砥粒が
入っていない研磨液と同程度の値になり、誤差の範囲
(20nm以下)で図6(b)の結果と一致した。これ
により、アルミナ砥粒濃度が0.05重量%以下の研磨
液を用いてCMPを行うことにより、ディシングとエロ
ージョンを抑制した埋め込み配線構造を形成できること
が分かった。
【0090】(実施例4)本実施例では砥粒濃度の低減
によるスクラッチ抑制効果を調べた。実施例2の研磨液
(5体積%の過酸化水素水と0.03重量%のクエン酸
と0.1%のBTAを純水に混合したもの)と、これに
アルミナ砥粒(粒子径:約200nm)を0.0001
重量%、0.001重量%、0.01重量%、0.05
重量%、0.1重量%、0.5重量%、1重量%、2.
5重量%、5重量%添加した研磨液を用意した。これら
の研磨液を用いて配線パターンの無い銅薄膜表面とシリ
コン酸化膜表面のCMPを行った。
【0091】その結果、1重量%以上のアルミナ砥粒濃
度の研磨液でCMPを行ったシリコン酸化膜表面には、
図25に示したようにウエハ当たり100〜1000個
の点状スクラッチが光学顕微鏡で観察されたが、0.5
重量%以下のアルミナ砥粒濃度の研磨液でCMPを行っ
たウエハでは数個程度まで抑制された。スクラッチの大
きさは1マイクロメートル以下であるため、この程度の
スクラッチ数であれば配線構造を形成する目的では問題
とならないレベルである。
【0092】次に銅表面に形成されるスクラッチを調べ
た。0.5重量%以上のアルミナ砥粒濃度の研磨液でC
MPを行った銅表面には目視で判別可能な線状のスクラ
ッチが発生した。アルミナ砥粒濃度が増えるにしたがっ
てウエハ当たりのスクラッチ発生個数は増加する傾向で
あった。0.1重量%のアルミナ砥粒濃度の研磨液でC
MPを行ったウエハで発生するスクラッチ数は数個であ
るが、この試料をSEMによるウエハ断面の観察とAF
M(原子間力顕微鏡)で表面の凹凸観察を行った結果、
スクラッチの深さは100nm程度であることがわかっ
た。埋め込み銅配線の深さが500nmであるため、1
00nmのスクラッチは問題となる。
【0093】0.1重量%以下のアルミナ砥粒濃度の研
磨液でCMPを行った銅表面では目視で判別可能なスク
ラッチは消滅した。これらの試料をSEMとAFMで観
察を行った結果、スクラッチの深さは10nm程度であ
ることがわかった。この程度であれば配線の電気抵抗に
ほとんど影響を与えることはない。
【0094】さらに、スクラッチの発生を低減できるの
で研磨荷重と定盤回転数を増加することができ、研磨速
度を上げることが可能になるという効果もあった。
【0095】実際に砥粒濃度0.1重量%以下の研磨液
を用いて実施例1と同様にして埋め込み配線を形成し、
蛇行配線(配線幅0.3マイクロメートルから3マイク
ロメートル、長さ40mm)や櫛形配線(配線間隔0.
3マイクロメートルから3マイクロメートル、長さ40
mm)を用いて導通/絶縁試験を行った結果、ほぼ10
0%の歩留まりが得られた。
【0096】(実施例5)本実施例では砥粒濃度の低減
による剥がれ抑制効果を調べた。実施例2の研磨液(5
体積%の過酸化水素水と0.03重量%のクエン酸と
0.1%のBTAを純水に混合したもの)と、これにア
ルミナ砥粒を0.0001重量%、0.001重量%、
0.01重量%、0.05重量%、0.1重量%、0.
5重量%、1重量%、5重量%、10重量%添加した研
磨液を用意した。試料は、厚さ800nmの銅薄膜を厚
さ5nm(実施例1の1/10の厚さ)のTiN層をは
さんでシリコン酸化膜上にスパッタリングで成膜したも
のを用いた。この試料を上記の研磨液を用いてCMPを
行った。
【0097】その結果、1重量%以上のアルミナ砥粒濃
度の研磨液でCMPを行ったウエハ周辺部から剥がれが
銅層とTiN層との間で発生した。アルミナ砥粒と銅表
面に発生する摩擦力が原因と考えられる。0.5重量%
以下のアルミナ砥粒濃度の研磨液でCMPを行ったウエ
ハでは摩擦力が低下し、剥がれは全く発生しなかった。
さらに、剥がれの発生が減少すると研磨荷重と定盤回転
数を増加することができ、研磨速度を上げることが可能
になるという効果もあった。
【0098】そこで、砥粒濃度0.5重量%以下の研磨
液を用いて実施例2と同様にして埋め込み配線を形成し
た。試料は、図4のTiN層22を5nmにした試料を
用いた。その結果、銅薄膜の剥がれなく埋め込み配線を
形成することができた。
【0099】(実施例6)本実施例では砥粒濃度の低減
による洗浄性の向上の効果を調べた。実施例2の研磨液
(5体積%の過酸化水素水と0.03重量%のクエン酸
と0.1%のBTAを純水に混合したもの)と、これに
アルミナ砥粒を0.0001重量%、0.001重量
%、0.01重量%、0.05重量%、0.1重量%、
0.5重量%、1重量%、5重量%、10重量%添加し
た研磨液を用意した。これらの研磨液でシリコン酸化膜
上に形成された銅薄膜とTiN薄膜をCMPによって除
去し、表面に現れたシリコン酸化膜表面を純水により洗
浄を行った後、残存するアルミナ砥粒(欠陥数)を面盤
欠陥装置を用いて調べた。大きさが0.2マイクロメー
トル以上の欠陥についてウエハ当たりの数を測定した。
ウエハの大きさは4インチである。
【0100】その結果、図10に示したようにアルミナ
砥粒濃度の低減に従い欠陥数は減少し、濃度0.01重
量%以下であればメガソニック洗浄のみで欠陥数を10
0個以下に低減できることがわかった。従来は、1重量
%以上の濃度のアルミナ砥粒研磨液を用いていたため
に、ポリビニルアルコール(PVA)のブラシ洗浄とメ
ガソニック洗浄を併用して、欠陥数を100個以下に低
減していた。したがって、砥粒濃度が0.01重量%以
下の研磨液を用いて研磨を行うことにより洗浄工程数を
減らす効果がある。もしくは従来と同様の洗浄工程を行
うことによって、より異物数を減らす効果がある。
【0101】(実施例7)本実施例では、砥粒濃度の低
減によりCMP処理工程数を低減できることを示す。図
11に従来の研磨液を用いた場合のCMPの処理工程を
示す。従来のCMP装置では、例えばアルミナ砥粒濃度
が1重量%以上と高く、砥粒の研磨布への目詰まりを防
ぐために、CMPを行う前に数10秒から数分間の研磨
布のコンディショニングを行っていた。
【0102】また、研磨により露出したシリコン酸化膜
等の絶縁膜表面のダメージ層を除去する目的で、埋め込
み配線層を形成するためのメタルCMPの後に、絶縁膜
のCMPを数10秒から2分程度行っていた。その後、
ウエハを乾燥することなく洗浄工程に移り、砥粒除去の
目的でアンモニア液による第1ブラシ洗浄を行う。さら
にシリコン酸化膜等の絶縁膜表面のダメージ層の金属汚
染を除去するために希フッ酸(HF)による第2ブラシ
洗浄を行っていた。最終的にメガソニック洗浄により目
的のレベルまで砥粒を除去した後、ウエハを乾燥してい
た。
【0103】図12に本発明に係る0.01重量%未満
の低濃度研磨砥粒含有研磨液を用いた場合のCMP工程
全体を示す。CMP装置では砥粒の目詰まりがほとんど
発生しなくなるため、新品の研磨布を用いる場合以外は
ほとんどコンディショニングが不要になった。砥粒濃度
が1/10倍になれば、研磨布の寿命が10倍になっ
た。また、シリコン酸化膜表面のスクラッチ等によるダ
メージ層が無くなるため、絶縁膜のCMPも不要となっ
た。洗浄工程ではメガソニック洗浄のみで従来のレベル
(欠陥数)まで洗浄可能であった。
【0104】全反射蛍光X線により重金属汚染を評価し
た結果、この点に関してもメガソニック洗浄のみで従来
のレベルまで洗浄可能であった。最終的に従来のCMP
工程と比較して、2分の1程度に工程時間が短縮され
た。図12の工程は砥粒濃度が0.01重量%以下であ
れば実用上使用可能であるが、0.005重量%以下が
望ましい。
【0105】(実施例8)本実施例では砥粒濃度の低減
による研磨布と研磨剤のコスト削減の効果を調べた。
【0106】銅CMP用のアルミナ研磨剤はCMP時間
をオーバー研磨時間も含めて5分とし、100cc/分
の速度でCMP装置内に研磨剤を供給すると1回のCM
Pで1リットル使用される。又、研磨布は約400枚の
CMPで1枚を消耗する。さらに、CMP装置の他に後
洗浄装置が必要である。
【0107】従来のアルミナ砥粒濃度が1重量%以上の
研磨液を用いたCMPを行う場合のCMP関連コストの
内訳を図13に示す。他の半導体関連装置と異なり、消
耗品である研磨布と研磨剤のコストが全体の約70%を
占めることがわかる。
【0108】これに対して、本発明の研磨液ではアルミ
ナ砥粒濃度を0.001%以下に低減することによりC
MP関連コストを大きく削減することが可能になる。研
磨液に添加する薬液のコストは必要になるが、従来のア
ルミナ研磨剤のコストの1/100程度である。研磨布
のコストも、従来行っていたコンディショニングの頻度
が少なくなるので削減可能である。
【0109】CMP装置に関しても、アルミナ砥粒濃度
が0.0001%以下であれば研磨剤供給装置、研磨剤
撹拌機構、研磨剤処理装置等の設備が不要になり、アル
ミナ砥粒濃度が0になればクリーンルーム内の発塵防止
対策も不要になり、従来品と比較してコスト削減とな
る。洗浄装置に関しても、従来のブラシ洗浄が不要とな
るため半分程度のコストになる。以上の結果から、本発
明の研磨液を用いることによってCMP関連全体で約7
0%のコストを削減することができる。
【0110】(実施例9)本実施例では、硝酸とBTA
を使った研磨液で埋め込み銅配線を形成する方法を説明
する。硝酸は銅に対して酸化作用があり、かつ硝酸自身
の酸の性質で銅を水溶性化することが可能であるため、
1薬液で本発明の2種の作用を兼ねることが可能であ
る。BTAは実施例2と同様にエッチングを抑制する効
果があり、研磨速度とエッチング速度の比を高めること
が可能になる。このことによって、CMP中に銅表面が
過剰にエッチングされるのを防止でき、かつCMP後に
銅研磨面が過度に酸化されるのを防ぐことが可能にな
る。研磨液の濃度は硝酸:0.2体積%、BTA:0.
01重量%を純水に混合した水溶液である。図9に示し
たように本研磨液は銅の腐食域にある。
【0111】実施例1と同様に銅のエッチング速度を調
べた結果、BTAの添加によって約6分の1に低減する
ことができた。そこでこの研磨液を用いて、実施例1と
同様の条件でCMPを行った結果、銅研磨面の腐食を抑
制して埋め込み配線を形成することができた。形成され
た銅配線の電気抵抗率を測定した結果、TiN層の部分
も含めて1.9マイクロオームセンチメートルの値を得
た。また、蛇行配線(配線幅0.3マイクロメートルか
ら3マイクロメートル、長さ40mm)や櫛形配線(配
線間隔0.3マイクロメートルから3マイクロメート
ル、長さ40mm)を用いて導通/絶縁試験を行った結
果、ほぼ100%の歩留まりが得られた。
【0112】また、BTAを添加しない研磨液では銅配
線部がエッチングされて周辺の絶縁膜部分よりも凹む現
象が観察されたが(特に硝酸濃度が1%以上と高い場合
には銅が消失した)、BTAを添加した研磨液を用いる
ことにより図16(b)のように数10nm以下に抑制
することができた。
【0113】この研磨液にアルミナ砥粒を添加すると、
0.1重量%を越える濃度で銅研磨面に、1重量%を越
える濃度でシリコン酸化膜にスクラッチが発生した。ま
た、0.5重量%を越える濃度の研磨液で下地TiN層
が5nmの銅薄膜のCMPを行うと剥がれが発生した。
これらの濃度以下にアルミナ砥粒を低減することによっ
てスクラッチと剥がれを防止できた。さらに濃度0.0
1重量%以下であればメガソニック洗浄のみで欠陥数を
100個以下に低減でき、薬液によるブラシ洗浄は不要
となることがわかった。
【0114】次に形成した埋め込み配線のディシング量
とエロージョン量の評価を行った。図6に示した結果と
同様に、アルミナ砥粒濃度が0.05重量%以下になる
と両値ともアルミナ砥粒が入っていない研磨液と同程度
の値になり、誤差の範囲(20nm以下)で図6(b)
と一致した。したがって、この研磨液を用いてCMPを
行うことによって、図4及び図17に示したようなディ
シングとエロージョンを抑制した埋め込み配線構造及び
プラグ構造を形成できた。
【0115】(実施例10)本実施例では実施例9の研
磨液(硝酸:0.5体積%、BTA:0.01重量%)
で積層配線構造を作製し、その効果を示す実験を行っ
た。比較実験として従来のアルミナ砥粒を1重量%含む
研磨液を用いたCMPも行った。
【0116】図14に従来の研磨液によってCMPを行
った結果得られた2層配線構造を示す。シリコン基板部
25にはソース、ドレイン等の拡散層が形成された半導
体素子が作製されているが、ここでは省略して記載して
いない(図15から図20も同様)。1層目の配線21
間の絶縁膜部23に生じたディシング36やエロージョ
ン37、スクラッチ38による表面の凹みが原因とな
り、その上に成膜した絶縁膜35表面にもそれぞれ金属
膜の研磨残り32、33、34が生じ、その研磨残りが
2層目の銅配線31間での電気的短絡の問題として発生
した。なお、39はTiN層、52はスルーホール層の
絶縁膜層である。
【0117】一方、図15に示したように砥粒を含まな
い研磨液でCMPを行った試料ではそのような問題は発
生しなかった。なお、銅配線の上にはTiN層が無いた
め、銅がシリコン酸化膜中を拡散して半導体素子を汚染
する可能がある。それを防止するために銅配線上にシリ
コン窒化膜を50nm形成してあるが、図14、15中
には省略して記載していない(図18、19、20も同
様に省略してある)。
【0118】図18には1層目の配線21と2層目の配
線31を銅プラグ40によって接続された部分を示し
た。プラグも含めて各層で上記の研磨液でCMPを行っ
て作製したものである。図14に示したようなディシン
グやエロージョン、スクラッチによる電気的短絡の不良
問題は全く発生しなかった。また、研磨液は実施例1及
び実施例2で記載したものでも同様に多層配線を形成す
ることができた。
【0119】図20のように、プラグ部分を被覆性の高
いCVD法によるタングステン膜で形成することもでき
る。但し、タングステンはプラグ中央部にシーム43
(キーホール等と呼ぶこともある。)が形成され易く、
そこから研磨液が内部にしみ込み下地銅配線21を一瞬
のうちに腐食させる問題が発生することがある。図20
(a)にその様子を示した。44が腐食した銅配線部で
ある。その際は、タングステンの研磨液に銅の防食剤、
例えばBTAを添加することにより、タングステン内部
にしみ込んだ研磨液が洗浄工程で除去されるまでに銅配
線の腐食を防止することができた。図20(b)にその
結果を示した。また研磨液に砥粒が含まれていないため
に、シーム内に砥粒が残留することがない。
【0120】図19にはデュアルダマシン法によって2
層配線を形成した試料を示した。これは1層目の配線に
対するプラグと第2層目の配線とを一度の研磨で作製す
る技術である。ここでは1層目の配線層を上記研磨液で
研磨後、プラグと2層目の配線層も上記の研磨液でCM
Pを行って作製したものである。41がデュアルダマシ
ンによって形成されたプラグ部分である。図14に示し
たようなディシングやエロージョン、スクラッチによる
電気的短絡の不良問題は全く発生しなかった。また、研
磨液は実施例1及び実施例2で記載したものでも同様に
多層配線を形成することができた。
【0121】図21には本発明の研磨液を用いてシリコ
ン基板の不純物ドープ層45上にタングステンプラグ4
2を形成して銅配線21と接続した様子を示した。この
上層に上記に示したように多層配線を形成することによ
って各半導体素子を接続してLSIを作製して動作する
ことを確認した。
【0122】
【発明の効果】本発明の研磨砥粒を含まない研磨液でC
MPを行う方法は、従来の研磨砥粒を含む研磨剤でCM
Pを行う方法と比較して、スクラッチや剥がれ、ディシ
ング、エロージョンを抑制する効果があり、かつ高度の
洗浄プロセスや研磨剤供給/処理装置を必要とせず、研
磨剤や研磨布等の消耗品のコストを抑さえ、かつ実用的
な研磨速度でCMPを行うことが可能である。
【図面の簡単な説明】
【図1】本発明を実施したCMP装置を示す図である。
【図2】従来の方法でCMPを行った場合の銅の研磨速
度とエッチング速度の過酸化水素水濃度依存性を示す図
である。
【図3】本発明の方法でCMPを行った場合の銅の研磨
速度とエッチング速度の過酸化水素水濃度依存性を示す
図である。
【図4】(a)はCMP前の試料の配線部の断面構造を
示す図、(b)はCMP後の試料の配線部の断面構造を
示す図、(c)はCMP後の試料の平面図である。な
お、点線は(b)の断面位置である。
【図5】(a)はディシングを示す図、(b)はエロー
ジョンを示す図である。
【図6】本発明の効果を示す図であり、(a)は従来の
方法でCMPを行った試料のエロージョン量とディシン
グ量、(b)は本発明の方法でCMPを行った試料のエ
ロージョン量とディシング量である。
【図7】(a)は従来の方法でCMPを行った試料の断
面図、(b)は本発明の方法でCMPを行った試料の断
面図である。
【図8】(a)は従来の方法でCMPを行った試料の断
面図、(b)は本発明の方法でCMPを行った試料の断
面図である。
【図9】銅のpH−酸化還元電位図である。
【図10】ウエハ上欠陥数の研磨液中のアルミナ砥粒濃
度依存性を示す図である。
【図11】従来のCMPプロセスを示す説明図である。
【図12】本発明のCMPプロセスを示す説明図であ
る。
【図13】本発明によりCMP関連コストの低減効果を
示す図である。
【図14】(a)は従来研磨液により多層配線を形成し
た試料の断面構造を示す図、(b)は試料の平面図であ
る。なお、点線は(a)の断面位置である。
【図15】(a)は本発明の研磨液により多層配線を形
成した試料の断面構造を示す図、(b)は試料の平面図
である。点線は(a)の断面位置である。
【図16】(a)はオーバーCMPにより配線部がエッ
チングされた試料の断面構造を示す図、(b)は防食性
物質によりエッチングを抑制した図である。
【図17】(a)はCMP前の試料のプラグ部の断面構
造を示す図、(b)はCMP後の試料のプラグ部の断面
構造を示す図、(c)はCMP後の試料の平面図であ
る。点線は(b)の断面位置である。
【図18】(a)は本発明の研磨液により多層配線を形
成した試料の断面構造を示す図、(b)は試料平面図で
ある。点線は(a)の断面位置である。
【図19】(a)は本発明の研磨液によりデュアルダマ
シン法によって多層配線を形成した試料の断面構造を示
す図、(b)は試料の平面図である。点線は(a)の断
面位置である。
【図20】(a)は本発明の研磨液によってタングステ
ンプラグを形成する際に下地銅配線がタングステンの研
磨液の沁み込みによって腐食した様子を示す図、(b)
はタングステンの研磨液にBTAを添加することによっ
て腐食を防止した様子を示す図である。
【図21】本発明の研磨液によって基板の拡散層上にプ
ラグと配線を形成した様子を示す試料の断面図である。
【図22】(a)はアミノ酢酸系の研磨液を用いてCM
Pを行った試料の配線部の断面図、(b)は試料の平面
図である。点線は(a)の断面位置である。
【図23】本発明の研磨液を用いてCMP装置のトルク
信号強度から終点検出した結果を示す図である。
【図24】本発明の研磨液を用いて光信号強度から終点
検出した結果を示す図である。
【図25】砥粒を含む研磨液を用いてCMPを行った際
にシリコン酸化膜上に発生したスクラッチの個数の研磨
荷重依存性を示す図である。
【図26】銅の腐食域と不働態域における腐食速度の違
いを示す図である。
【符号の説明】
11…研磨定盤、12…ウエハホルダ、13…リテーナ
ー、14…ウエハ、15…研磨液供給口、16…純水供
給口、17…研磨布、18…バッキングパッド、21…
Cu、22…TiN、23:1層目の配線層部分のSi
2膜、24…BPSG膜、25…不純物ドープ層や絶
縁膜が形成されたSi基板、31…2層目のCu配線、
32…1層目のCu配線のディシングによって2層目の
絶縁膜の凹みに形成された金属膜の研磨残り、33…1
層目のCu配線近傍のエロージョンによって2層目の絶
縁膜の凹みに形成された金属膜の研磨残り、34…1層
目の絶縁膜表面のスクラッチによって2層目の絶縁膜の
凹みに形成された金属膜の研磨残り、35…2層目のS
iO2膜、36…1層目のCu配線のディシング、37
…1層目のCu配線近傍のエロージョン、38…1層目
の絶縁膜表面のスクラッチ、39…2層目のTiN、4
0…プラグ、41…デュアルダマシンによって形成され
たプラグ、42…タングステン、43…シーム、44…
1層目の銅配線の腐食部分、45…不純物ドープ層、4
8…銅が溶出して絶縁膜が露出した部分、49…金属膜
表面の凹部、50…金属膜表面の凸部、52…1層目の
配線層と2層目の配線層の間のスルーホール層の絶縁
膜。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 武田 健一 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (72)発明者 日野出 憲治 東京都国分寺市東恋ケ窪一丁目280番地 株式会社日立製作所中央研究所内 (56)参考文献 特開 平7−233485(JP,A) 特開 平8−139060(JP,A) 特開 平9−63997(JP,A) 特開 平8−240413(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/304 B24B 37/00 C09K 3/14

Claims (46)

    (57)【特許請求の範囲】
  1. 【請求項1】金属膜の少なくとも一部を除去する研磨方
    法において、前記金属膜表面を酸化するための酸化性物
    質と、前記酸化性物質で酸化された酸化物を水溶性化す
    る有機酸と、酸化やエッチングを抑制する物質とを有
    し、pH及び酸化還元電位が前記金属膜の腐食域である
    前記酸化性物質と前記有機酸を含む研磨液を用い、前記
    金属膜表面を機械的に摩擦することにより金属膜表面の
    酸化やエッチングを抑制する物質を除去することによ
    り、除去された部分において前記金属膜表面から前記研
    磨液中に金属を水溶化して研磨を行うことを特徴とする
    研磨方法。
  2. 【請求項2】絶縁膜上に形成された金属膜の少なくとも
    一部を除去する研磨方法において、 前記金属膜表面を酸化するための酸化性物質と、前記酸
    化性物質で酸化された酸化物を水溶性化する有機酸と、
    酸化やエッチングを抑制する物質とを含み、pH及び酸
    化還元電位が前記金属膜の腐食域である前記酸化性物質
    と前記有機酸を含む研磨液を用い、前記金属膜表面を機
    械的に摩擦することにより金属膜表面の酸化やエッチン
    グを抑制する物質を除去することにより、除去された部
    分において前記金属膜表面から前記研磨液中に金属を水
    溶化して研磨を行うことを特徴とする研磨方法。
  3. 【請求項3】上記金属膜は、銅もしくは銅を主成分とす
    る合金もしくは銅化合物を含むことを特徴とする請求項
    2記載の研磨方法。
  4. 【請求項4】上記金属膜は、タングステンやタングステ
    ン合金もしくはタングステン化合物を含むことを特徴と
    する請求項2記載の研磨方法。
  5. 【請求項5】上記金属膜は、チタンやチタン合金もしく
    はチタン化合物を含むことを特徴とする請求項2記載の
    研磨方法。
  6. 【請求項6】上記チタン化合物は、窒化チタンであるこ
    とを特徴とする請求項5記載の研磨方法。
  7. 【請求項7】上記酸化やエッチングを抑制する物質は防
    食性物質若しくは界面活性剤であることを特徴とする請
    求項2乃至6の何れかに記載の研磨方法。
  8. 【請求項8】上記防食性物質はベンゾトリアゾールまた
    はその誘導体であることを特徴とする請求項7記載の研
    磨方法。
  9. 【請求項9】上記ベンゾトリアゾールまたはその誘導体
    の濃度は、0.001〜1重量%の範囲内にあることを
    特徴とする請求項8記載の研磨方法。
  10. 【請求項10】上記酸化性物質は過酸化水素であること
    を特徴とする請求項2乃至9の何れかに記載の研磨方
    法。
  11. 【請求項11】上記酸化性物質は、少なくとも硝酸第二
    鉄および過ヨウ素酸カリウムのいずれか一者を含むこと
    を特徴とする請求項2乃至9の何れかに記載の研磨方
    法。
  12. 【請求項12】上記酸化物を水溶性化する物質は、酸も
    しくはその塩を含むことを特徴とする請求項2乃至11
    の何れかに記載の研磨方法。
  13. 【請求項13】上記酸は、有機酸であることを特徴とす
    る請求項12記載の研磨方法。
  14. 【請求項14】上記有機酸は、クエン酸であることを特
    徴とする請求項13記載の研磨方法。
  15. 【請求項15】上記酸化物を水溶性化する物質は、アン
    モニウム化合物を含むことを特徴とする請求項2乃至1
    1の何れかに記載の研磨方法。
  16. 【請求項16】上記酸化物を水溶性化する物質は、少な
    くとも水酸化アンモニウム、硝酸アンモニウムおよび塩
    化アンモニウムのいずれか一者を含むことを特徴とする
    請求項2乃至11の何れかに記載の研磨方法。
  17. 【請求項17】上記研磨液は1重量%未満の濃度の研磨
    砥粒を含むことを特徴とする請求項1乃至16の何れか
    に記載の研磨方法。
  18. 【請求項18】上記研磨液は0.5重量%以下の濃度の
    研磨砥粒を含むことを特徴とする請求項1乃至16の何
    れかに記載の研磨方法。
  19. 【請求項19】上記研磨液は0.1重量%以下の濃度の
    研磨砥粒を含むことを特徴とする請求項1乃至16の何
    れかに記載の研磨方法。
  20. 【請求項20】上記研磨液は0.05重量%以下の濃度
    の研磨砥粒を含むことを特徴とする請求項1乃至16の
    何れかに記載の研磨方法。
  21. 【請求項21】上記研磨液は0.01重量%以下の濃度
    の研磨砥粒を含むことを特徴とする請求項1乃至16の
    何れかに記載の研磨方法。
  22. 【請求項22】上記研磨液は0.001重量%以下の濃
    度の研磨砥粒を含むことを特徴とする請求項1乃至16
    の何れかに記載の研磨方法。
  23. 【請求項23】上記研磨液は0.0001重量%以下の
    濃度の研磨砥粒を含むことを特徴とする請求項1乃至1
    6の何れかに記載の研磨方法。
  24. 【請求項24】上記研磨液は、研磨砥粒を含まないこと
    を特徴とする請求項1乃至16の何れかに記載の研磨方
    法。
  25. 【請求項25】前記研磨液を用いたときの研磨速度が、
    前記研磨液中に前記金属膜を浸したときのエッチング速
    度の10倍以上であることを特徴とする請求項1乃至2
    4の何れかに記載の研磨方法。
  26. 【請求項26】前記研磨液を用いたときの研磨速度が1
    0nm/minを越えることを特徴とする請求項1乃至
    25の何れかに記載の研磨方法。
  27. 【請求項27】銅若しくは銅を主成分とする合金もしく
    は銅合金を含み、絶縁膜上に形成された金属膜の少なく
    とも一部を除去する研磨方法において、 1重量%未満の研磨砥粒と、過酸化水素と、クエン酸
    と、金属膜表面の酸化や金属膜を研磨液中に水溶化して
    エッチングすることを抑制する物質とを含む研磨液を用
    い、前記金属膜表面を摩擦することにより金属膜表面の
    酸化やエッチングを抑制する物質を除去することによ
    り、除去された部分において前記金属膜表面から前記研
    磨液中に金属膜を水溶化して研磨を行うことを特徴とす
    る研磨方法。
  28. 【請求項28】絶縁膜上に形成された金属膜の少なくと
    も一部を除去する研磨方法において、 1重量%未満の研磨砥粒と、酸化性物質と、酸化物を水
    溶性化する有機酸と、防食性物質を含み、pH及び酸化
    還元電位が前記金属膜の腐食域である前記酸化性物質と
    前記有機酸を含む研磨液を用い、前記金属膜表面を機械
    的に摩擦をかけることにより前記金属膜表面の防食性物
    質を除去するにより、除去された部分において前記金属
    膜表面から前記研磨液中に金属膜を水溶化して研磨を行
    うことを特徴とする研磨方法。
  29. 【請求項29】上記防食性物質は、ベンゾトリアゾール
    またはその誘導体であることを特徴とする請求項28記
    載の研磨方法。
  30. 【請求項30】銅若しくは銅を主成分とする合金もしく
    は銅合金を含み、絶縁膜上に形成された金属膜の少なく
    とも一部を除去する研磨方法において、 1重量%未満の研磨砥粒と、硝酸と、防食性物質とを含
    む研磨液を用い、上記金属膜表面を摩擦することにより
    上記金属膜表面の防食性物質を除去するにより、除去さ
    れた部分において前記金属膜表面から前記研磨液中に金
    属膜を水溶化して研磨を行うことを特徴とする研磨方
    法。
  31. 【請求項31】不純物ドープ層を有する基体を準備する
    工程と、 上記不純物ドープ層上に開口部を有する絶縁膜を形成す
    る工程と、 上記絶縁膜が形成された基体上に金属膜を形成する工程
    と、 上記金属膜表面を酸化するための酸化性物質と、上記酸
    化性物質で酸化された酸化物を水溶性化する有機酸と、
    酸化やエッチングを抑制する物質とを含み、pH及び酸
    化還元電位が前記金属膜の腐食域である前記酸化性物質
    と前記有機酸を含む研磨液を用い、前記金属膜表面を機
    械的に摩擦をかけることにより前記金属膜表面の酸化や
    エッチングを抑制する物質を除去することにより、除去
    された部分において前記金属膜表面から前記研磨液中に
    金属膜を水溶化して研磨を行う工程とを有することを特
    徴とする半導体装置の製造方法。
  32. 【請求項32】第1の配線層を有する基体を準備する工
    程と、 前記第1の配線層が露出される開口部を有する第1の絶
    縁膜を形成する工程と、 前記絶縁膜が形成された基体上に金属膜を形成する工程
    と、 上記金属膜表面を酸化するための酸化性物質と、上記酸
    化性物質で酸化された酸化物を水溶性化する有機酸と、
    酸化やエッチングを抑制する物質とを含み、pH及び酸化
    還元電位が前記金属膜の腐食域である前記酸化性物質と
    前記有機酸を含む研磨液を用い、前記金属膜表面を機械
    的に摩擦をかけることにより前記金属膜表面の酸化やエ
    ッチングを抑制する物質を除去することにより、除去さ
    れた部分において前記金属膜表面から前記研磨液中に金
    属膜を水溶化して研磨を行う工程とを有することを特徴
    とする半導体装置の製造方法。
  33. 【請求項33】上記研磨液は1重量%未満の濃度の研磨
    砥粒を有することを特徴とする請求項31又は請求項3
    2に記載の半導体装置の製造方法。
  34. 【請求項34】上記研磨液は0.01重量%以下の濃度
    の研磨砥粒を有することを特徴とする請求項31又は請
    求項32に記載の半導体装置の製造方法。
  35. 【請求項35】導電体層を有する基体を準備する工程
    と、 前記導電体層上に開口部を有する絶縁膜を形成する工程
    と、 前記絶縁膜が形成された基体上に、窒化チタン膜と銅を
    主成分とする金属膜を含む積層膜を形成する工程と、 0.01重量%未満のアルミナ研磨砥粒と、過酸化水素
    と、クエン酸を含む研磨液を用い、前記積層膜を機械的
    に摩擦する工程とを有することを特徴とする半導体装置
    の製造方法。
  36. 【請求項36】導電体層を有する基体を準備する工程
    と、 前記導電体層上に第1の開口部を有する第1の絶縁膜を
    形成する工程と、 溝状の開口部および前記第1の開口部が露出する第2の
    開口部とを有する第2の絶縁膜を前記基体上に形成する
    工程と、 前記第2の絶縁膜が形成された基体上に、金属膜を形成
    する工程と、 上記金属膜表面を酸化する酸化性物質と、上記金属膜の
    酸化物を水溶性化する有機酸と、酸化やエッチングを抑
    制する物質とを含み、pH及び酸化還元電位が前記金属膜
    の腐食域である前記酸化性物質と前記有機酸を含む研磨
    液を用い、上記金属膜表面を摩擦することにより上記金
    属膜表面の酸化やエッチングを抑制する物質を除去する
    ことにより、除去された部分において前記金属膜表面か
    ら前記研磨液中に金属膜を水溶化して研磨を行う工程と
    を有することを特徴とする半導体装置の製造方法。
  37. 【請求項37】上記研磨液はベンゾトリアゾールを有す
    ることを特徴とする請求項31乃至36の何れかに記載
    の半導体装置の製造方法。
  38. 【請求項38】第1の配線層を有する基体を準備する工
    程と、 前記第1の配線層が露出される開口部を有する第1の絶
    縁膜を形成する工程と、 前記絶縁膜が形成された基体上に金属膜を形成する工程
    と、 上記金属膜を酸化する酸化性物質と、上記酸化性物質で
    酸化された酸化物を水溶性化する有機酸と、前記第1の
    配線材料に対する防食性物質とを含み、pH及び酸化還元
    電位が前記金属膜の腐食域である前記酸化性物質と前記
    有機酸を含む研磨液を用い、前記金属膜表面を機械的に
    摩擦することにより前記金属膜表面の防食性物質を除去
    することにより、除去された部分において前記金属膜表
    面から前記研磨液中に金属膜を水溶化して研磨を行う工
    程とを有することを特徴とする半導体装置の製造方法。
  39. 【請求項39】前記防食性物質は、ベンゾトリアゾール
    またはその誘導体であることを特徴とする請求項38記
    載の半導体装置の製造方法。
  40. 【請求項40】上記基体を洗浄する工程と、洗浄された
    上記基体を乾燥する工程とを有することを特徴とする請
    求項31乃至請求項39の何れかに記載の半導体装置の
    製造方法。
  41. 【請求項41】上記研磨処理の終点検出は、研磨装置の
    研磨定盤もしくはウエハホルダの回転トルクを用いてな
    されることを特徴とする請求項1乃至30の何れかに記
    載の研磨方法。
  42. 【請求項42】上記除去工程の終点検出は、研磨装置の
    研磨定盤もしくはウエハホルダの回転トルクを用いてな
    されることを特徴とする請求項31乃至40の何れかに
    記載の半導体装置の製造方法。
  43. 【請求項43】上記研磨処理の終点検出は、研磨処理後
    の研磨液の光学的スペクトルを用いてなされることを特
    徴とする請求項1乃至30の何れかに記載の研磨方法。
  44. 【請求項44】上記除去工程の終点検出は、研磨処理後
    の研磨液の光学的スペクトルを用いてなされることを特
    徴とする請求項31乃至40の何れかに記載の半導体装
    置の製造方法。
  45. 【請求項45】上記研磨処理の終点検出は、金属膜から
    の光反射スペクトルを用いてなされることを特徴とする
    請求項1乃至30の何れかに記載の研磨方法。
  46. 【請求項46】上記除去工程の終点検出は、金属膜から
    の光反射スペクトルを用いてなされることを特徴とする
    請求項31乃至40の何れかに記載の半導体装置の製造
    方法。
JP29993797A 1997-10-31 1997-10-31 研磨方法 Expired - Lifetime JP3371775B2 (ja)

Priority Applications (16)

Application Number Priority Date Filing Date Title
JP29993797A JP3371775B2 (ja) 1997-10-31 1997-10-31 研磨方法
TW087116346A TW380083B (en) 1997-10-31 1998-10-01 Polishing method
SG9804195A SG95588A1 (en) 1997-10-31 1998-10-13 Polishing method
KR1019980044809A KR100624589B1 (ko) 1997-10-31 1998-10-26 연마방법
US09/182,438 US6117775A (en) 1997-10-31 1998-10-30 Polishing method
DE69836612T DE69836612T2 (de) 1997-10-31 1998-10-30 Polierverfahren
EP06020541A EP1760127A2 (en) 1997-10-31 1998-10-30 Polishing method
CNB981236723A CN1298508C (zh) 1997-10-31 1998-10-30 研磨方法
CNA2006101670612A CN1974129A (zh) 1997-10-31 1998-10-30 研磨方法
EP98308923A EP0913442B1 (en) 1997-10-31 1998-10-30 Polishing method
US09/618,999 US6596638B1 (en) 1997-10-31 2000-07-18 Polishing method
US10/441,063 US7132367B2 (en) 1997-10-31 2003-05-20 Polishing method
US10/825,100 US20040229468A1 (en) 1997-10-31 2004-04-16 Polishing method
KR1020050084557A KR100724023B1 (ko) 1997-10-31 2005-09-12 반도체장치의 제조방법
US11/581,375 US7279425B2 (en) 1997-10-31 2006-10-17 Polishing method
US11/693,383 US7563716B2 (en) 1997-10-31 2007-03-29 Polishing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP29993797A JP3371775B2 (ja) 1997-10-31 1997-10-31 研磨方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001077422A Division JP3668694B2 (ja) 2001-03-19 2001-03-19 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11135466A JPH11135466A (ja) 1999-05-21
JP3371775B2 true JP3371775B2 (ja) 2003-01-27

Family

ID=17878748

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29993797A Expired - Lifetime JP3371775B2 (ja) 1997-10-31 1997-10-31 研磨方法

Country Status (8)

Country Link
US (5) US6117775A (ja)
EP (2) EP1760127A2 (ja)
JP (1) JP3371775B2 (ja)
KR (2) KR100624589B1 (ja)
CN (2) CN1298508C (ja)
DE (1) DE69836612T2 (ja)
SG (1) SG95588A1 (ja)
TW (1) TW380083B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791019B2 (en) 2005-12-27 2014-07-29 Hitachi Chemical Company, Ltd. Metal polishing slurry and method of polishing a film to be polished

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
JP2000040679A (ja) * 1998-07-24 2000-02-08 Hitachi Ltd 半導体集積回路装置の製造方法
JP2000183003A (ja) * 1998-10-07 2000-06-30 Toshiba Corp 銅系金属用研磨組成物および半導体装置の製造方法
US6143656A (en) * 1998-10-22 2000-11-07 Advanced Micro Devices, Inc. Slurry for chemical mechanical polishing of copper
SG99289A1 (en) * 1998-10-23 2003-10-27 Ibm Chemical-mechanical planarization of metallurgy
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6276996B1 (en) * 1998-11-10 2001-08-21 Micron Technology, Inc. Copper chemical-mechanical polishing process using a fixed abrasive polishing pad and a copper layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
US6206756B1 (en) 1998-11-10 2001-03-27 Micron Technology, Inc. Tungsten chemical-mechanical polishing process using a fixed abrasive polishing pad and a tungsten layer chemical-mechanical polishing solution specifically adapted for chemical-mechanical polishing with a fixed abrasive pad
JP2000228391A (ja) * 1998-11-30 2000-08-15 Canon Inc 半導体基板の精密研磨方法および装置
EP1150341A4 (en) * 1998-12-28 2005-06-08 Hitachi Chemical Co Ltd MATERIALS FOR METAL POLLING LIQUID, METAL POLISHING LIQUID, THEIR PRODUCTION AND POLISHING METHOD
JP3033574B1 (ja) * 1999-02-15 2000-04-17 日本電気株式会社 研磨方法
JP4127926B2 (ja) 1999-04-08 2008-07-30 株式会社荏原製作所 ポリッシング方法
JP2000311876A (ja) * 1999-04-27 2000-11-07 Hitachi Ltd 配線基板の製造方法および製造装置
JP2000315666A (ja) * 1999-04-28 2000-11-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6419554B2 (en) * 1999-06-24 2002-07-16 Micron Technology, Inc. Fixed abrasive chemical-mechanical planarization of titanium nitride
JP4554011B2 (ja) 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
EP1218465B1 (en) 1999-08-13 2005-03-30 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
ATE405618T1 (de) * 1999-08-13 2008-09-15 Cabot Microelectronics Corp Chemisch-mechanische poliersysteme und verfahren zu ihrer verwendung
US6855266B1 (en) 1999-08-13 2005-02-15 Cabot Microelectronics Corporation Polishing system with stopping compound and method of its use
TW501197B (en) 1999-08-17 2002-09-01 Hitachi Chemical Co Ltd Polishing compound for chemical mechanical polishing and method for polishing substrate
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
KR20020027571A (ko) * 1999-08-24 2002-04-13 갤반 마틴 절연체와 금속의 cmp용 조성물 및 이에 관련된 방법
US6443812B1 (en) * 1999-08-24 2002-09-03 Rodel Holdings Inc. Compositions for insulator and metal CMP and methods relating thereto
AU6731600A (en) * 1999-08-26 2001-03-26 Hitachi Chemical Company, Ltd. Polishing compound for chemimechanical polishing and polishing method
US6492273B1 (en) * 1999-08-31 2002-12-10 Micron Technology, Inc. Methods and apparatuses for monitoring and controlling mechanical or chemical-mechanical planarization of microelectronic substrate assemblies
US6376381B1 (en) * 1999-08-31 2002-04-23 Micron Technology, Inc. Planarizing solutions, planarizing machines, and methods for mechanical and/or chemical-mechanical planarization of microelectronic substrate assemblies
JP4513145B2 (ja) * 1999-09-07 2010-07-28 ソニー株式会社 半導体装置の製造方法および研磨方法
JP4759779B2 (ja) * 1999-09-09 2011-08-31 日立化成工業株式会社 基板の研磨方法
US6620725B1 (en) * 1999-09-13 2003-09-16 Taiwan Semiconductor Manufacturing Company Reduction of Cu line damage by two-step CMP
CN1125862C (zh) * 1999-09-20 2003-10-29 长兴化学工业股份有限公司 半导体加工用化学机械研磨组合物
US6656842B2 (en) * 1999-09-22 2003-12-02 Applied Materials, Inc. Barrier layer buffing after Cu CMP
US6303506B1 (en) * 1999-09-30 2001-10-16 Infineon Technologies Ag Compositions for and method of reducing/eliminating scratches and defects in silicon dioxide during CMP process
JP3307375B2 (ja) * 1999-10-04 2002-07-24 日本電気株式会社 半導体装置の製造方法
US6435944B1 (en) 1999-10-27 2002-08-20 Applied Materials, Inc. CMP slurry for planarizing metals
US6720264B2 (en) * 1999-11-04 2004-04-13 Advanced Micro Devices, Inc. Prevention of precipitation defects on copper interconnects during CMP by use of solutions containing organic compounds with silica adsorption and copper corrosion inhibiting properties
JP3439402B2 (ja) * 1999-11-05 2003-08-25 Necエレクトロニクス株式会社 半導体装置の製造方法
US6432826B1 (en) 1999-11-29 2002-08-13 Applied Materials, Inc. Planarized Cu cleaning for reduced defects
US6638143B2 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Ion exchange materials for chemical mechanical polishing
JP3805588B2 (ja) 1999-12-27 2006-08-02 株式会社日立製作所 半導体装置の製造方法
US6881674B2 (en) 1999-12-28 2005-04-19 Intel Corporation Abrasives for chemical mechanical polishing
JP3490038B2 (ja) * 1999-12-28 2004-01-26 Necエレクトロニクス株式会社 金属配線形成方法
TW572980B (en) 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6797623B2 (en) * 2000-03-09 2004-09-28 Sony Corporation Methods of producing and polishing semiconductor device and polishing apparatus
US6228771B1 (en) * 2000-03-23 2001-05-08 Infineon Technologies North America Corp. Chemical mechanical polishing process for low dishing of metal lines in semiconductor wafer fabrication
JP2001269859A (ja) 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
US6451697B1 (en) 2000-04-06 2002-09-17 Applied Materials, Inc. Method for abrasive-free metal CMP in passivation domain
US6943113B1 (en) * 2000-05-11 2005-09-13 Infineon Technologies Ag Metal chemical polishing process for minimizing dishing during semiconductor wafer fabrication
US6858540B2 (en) * 2000-05-11 2005-02-22 Applied Materials, Inc. Selective removal of tantalum-containing barrier layer during metal CMP
JP3945964B2 (ja) * 2000-06-01 2007-07-18 株式会社ルネサステクノロジ 研磨剤、研磨方法及び半導体装置の製造方法
JP3837277B2 (ja) 2000-06-30 2006-10-25 株式会社東芝 銅の研磨に用いる化学機械研磨用水系分散体及び化学機械研磨方法
US6653242B1 (en) 2000-06-30 2003-11-25 Applied Materials, Inc. Solution to metal re-deposition during substrate planarization
JP4743941B2 (ja) * 2000-06-30 2011-08-10 Jsr株式会社 化学機械研磨用水系分散体
KR100338777B1 (ko) * 2000-07-22 2002-05-31 윤종용 화학 기계적 연마 이후의 구리층 부식을 방지하는 반도체장치 제조방법 및 이에 이용되는 화학 기계적 연마장치
JP2002043256A (ja) * 2000-07-27 2002-02-08 Hitachi Ltd 半導体ウエハ平坦化加工方法及び平坦化加工装置
US6872329B2 (en) 2000-07-28 2005-03-29 Applied Materials, Inc. Chemical mechanical polishing composition and process
JP2002050595A (ja) 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
KR20030020977A (ko) * 2000-08-11 2003-03-10 로델 홀딩스 인코포레이티드 금속 기판의 화학적 기계적 평탄화
US7192888B1 (en) 2000-08-21 2007-03-20 Micron Technology, Inc. Low selectivity deposition methods
US7220322B1 (en) 2000-08-24 2007-05-22 Applied Materials, Inc. Cu CMP polishing pad cleaning
US20020042199A1 (en) * 2000-09-20 2002-04-11 Jinru Bian Polishing by CMP for optimized planarization
US6605537B2 (en) 2000-10-27 2003-08-12 Rodel Holdings, Inc. Polishing of metal substrates
US6503834B1 (en) * 2000-10-03 2003-01-07 International Business Machines Corp. Process to increase reliability CuBEOL structures
JP2002117670A (ja) 2000-10-04 2002-04-19 Mitsubishi Electric Corp 半導体記憶装置
US6461227B1 (en) * 2000-10-17 2002-10-08 Cabot Microelectronics Corporation Method of polishing a memory or rigid disk with an ammonia-and/or halide-containing composition
US6569349B1 (en) 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6524167B1 (en) 2000-10-27 2003-02-25 Applied Materials, Inc. Method and composition for the selective removal of residual materials and barrier materials during substrate planarization
US6709316B1 (en) 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing
US20020068454A1 (en) * 2000-12-01 2002-06-06 Applied Materials, Inc. Method and composition for the removal of residual materials during substrate planarization
US6896776B2 (en) 2000-12-18 2005-05-24 Applied Materials Inc. Method and apparatus for electro-chemical processing
KR100407296B1 (ko) * 2000-12-18 2003-11-28 주식회사 하이닉스반도체 티타늄알루미늄나이트라이드의 화학적기계적연마 방법
CN1426343A (zh) * 2000-12-22 2003-06-25 皇家菲利浦电子有限公司 采用上游和下游流体分配装置的化学机械抛光方法和设备
US7012025B2 (en) * 2001-01-05 2006-03-14 Applied Materials Inc. Tantalum removal during chemical mechanical polishing
US6579439B1 (en) 2001-01-12 2003-06-17 Southern Industrial Chemicals, Inc. Electrolytic aluminum polishing processes
US6530824B2 (en) * 2001-03-09 2003-03-11 Rodel Holdings, Inc. Method and composition for polishing by CMP
US6899804B2 (en) 2001-12-21 2005-05-31 Applied Materials, Inc. Electrolyte composition and treatment for electrolytic chemical mechanical polishing
US7160432B2 (en) * 2001-03-14 2007-01-09 Applied Materials, Inc. Method and composition for polishing a substrate
US7323416B2 (en) 2001-03-14 2008-01-29 Applied Materials, Inc. Method and composition for polishing a substrate
US7582564B2 (en) 2001-03-14 2009-09-01 Applied Materials, Inc. Process and composition for conductive material removal by electrochemical mechanical polishing
US6811680B2 (en) * 2001-03-14 2004-11-02 Applied Materials Inc. Planarization of substrates using electrochemical mechanical polishing
US7128825B2 (en) 2001-03-14 2006-10-31 Applied Materials, Inc. Method and composition for polishing a substrate
US7232514B2 (en) * 2001-03-14 2007-06-19 Applied Materials, Inc. Method and composition for polishing a substrate
US6627550B2 (en) 2001-03-27 2003-09-30 Micron Technology, Inc. Post-planarization clean-up
US6568997B2 (en) 2001-04-05 2003-05-27 Rodel Holdings, Inc. CMP polishing composition for semiconductor devices containing organic polymer particles
US6849547B2 (en) * 2001-04-05 2005-02-01 Speedfam Ipec Corporation Apparatus and process for polishing a workpiece
WO2002083804A1 (en) * 2001-04-12 2002-10-24 Rodel Holdings, Inc. Polishing composition having a surfactant
KR20020083264A (ko) * 2001-04-26 2002-11-02 삼성전자 주식회사 화학적 기계적 연마용 슬러리와 그 제조방법
US6632259B2 (en) 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US6783432B2 (en) 2001-06-04 2004-08-31 Applied Materials Inc. Additives for pressure sensitive polishing compositions
JP2002368084A (ja) * 2001-06-12 2002-12-20 Hitachi Ltd 半導体集積回路装置の製造方法
US6790768B2 (en) * 2001-07-11 2004-09-14 Applied Materials Inc. Methods and apparatus for polishing substrates comprising conductive and dielectric materials with reduced topographical defects
US7008554B2 (en) * 2001-07-13 2006-03-07 Applied Materials, Inc. Dual reduced agents for barrier removal in chemical mechanical polishing
US6592742B2 (en) 2001-07-13 2003-07-15 Applied Materials Inc. Electrochemically assisted chemical polish
US7104869B2 (en) * 2001-07-13 2006-09-12 Applied Materials, Inc. Barrier removal at low polish pressure
US6821881B2 (en) * 2001-07-25 2004-11-23 Applied Materials, Inc. Method for chemical mechanical polishing of semiconductor substrates
WO2003011479A1 (en) * 2001-08-02 2003-02-13 Mykrolis Corporation Selective electroless deposition and interconnects made therefrom
JP2003051481A (ja) * 2001-08-07 2003-02-21 Hitachi Ltd 半導体集積回路装置の製造方法
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
TW583731B (en) * 2001-08-23 2004-04-11 Mykrolis Corp Process, system, and liquid composition for selectively removing a metal film
US6812193B2 (en) 2001-08-31 2004-11-02 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6638326B2 (en) * 2001-09-25 2003-10-28 Ekc Technology, Inc. Compositions for chemical mechanical planarization of tantalum and tantalum nitride
US20030077983A1 (en) * 2001-10-12 2003-04-24 International Business Machines Corporation Cleaning polish etch composition and process for a superfinished surface of a substrate
US7077880B2 (en) * 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
CN100386850C (zh) * 2001-10-31 2008-05-07 日立化成工业株式会社 研磨液及研磨方法
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
KR100445757B1 (ko) * 2001-12-28 2004-08-25 제일모직주식회사 금속배선 연마용 슬러리 조성물
US20060255016A1 (en) * 2002-01-17 2006-11-16 Novellus Systems, Inc. Method for polishing copper on a workpiece surface
US20030134576A1 (en) * 2002-01-17 2003-07-17 Saket Chadda Method for polishing copper on a workpiece surface
JP4187497B2 (ja) * 2002-01-25 2008-11-26 Jsr株式会社 半導体基板の化学機械研磨方法
US7524346B2 (en) * 2002-01-25 2009-04-28 Dupont Air Products Nanomaterials Llc Compositions of chemical mechanical planarization slurries contacting noble-metal-featured substrates
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US20030162398A1 (en) * 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
KR20030070191A (ko) * 2002-02-21 2003-08-29 주식회사 동진쎄미켐 안정성 및 탄탈계 금속막에 대한 연마 속도가 우수한화학-기계적 연마 슬러리 조성물
US6821309B2 (en) * 2002-02-22 2004-11-23 University Of Florida Chemical-mechanical polishing slurry for polishing of copper or silver films
JP3749867B2 (ja) * 2002-03-08 2006-03-01 株式会社東芝 アルミニウム系金属用研磨液および半導体装置の製造方法
CN1444259A (zh) * 2002-03-12 2003-09-24 株式会社东芝 半导体器件的制造方法
JP2004006628A (ja) * 2002-03-27 2004-01-08 Hitachi Ltd 半導体装置の製造方法
KR100476890B1 (ko) * 2002-04-11 2005-03-17 삼성전자주식회사 검사패턴 및 이를 이용한 화학적기계적 연마공정 제어방법
JP2003318140A (ja) * 2002-04-26 2003-11-07 Applied Materials Inc 研磨方法及び装置
US20030209523A1 (en) * 2002-05-09 2003-11-13 Applied Materials, Inc. Planarization by chemical polishing for ULSI applications
US6974777B2 (en) * 2002-06-07 2005-12-13 Cabot Microelectronics Corporation CMP compositions for low-k dielectric materials
US6936543B2 (en) * 2002-06-07 2005-08-30 Cabot Microelectronics Corporation CMP method utilizing amphiphilic nonionic surfactants
US7037174B2 (en) * 2002-10-03 2006-05-02 Applied Materials, Inc. Methods for reducing delamination during chemical mechanical polishing
KR100500517B1 (ko) * 2002-10-22 2005-07-12 삼성전자주식회사 반도체 웨이퍼용 cmp 설비
US20040175942A1 (en) * 2003-01-03 2004-09-09 Chang Song Y. Composition and method used for chemical mechanical planarization of metals
US7300602B2 (en) * 2003-01-23 2007-11-27 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Selective barrier metal polishing solution
US7390429B2 (en) 2003-06-06 2008-06-24 Applied Materials, Inc. Method and composition for electrochemical mechanical polishing processing
US6936534B2 (en) * 2003-09-17 2005-08-30 Micron Technology, Inc. Method for the post-etch cleaning of multi-level damascene structures having underlying copper metallization
US20050092620A1 (en) * 2003-10-01 2005-05-05 Applied Materials, Inc. Methods and apparatus for polishing a substrate
USPP17182P3 (en) * 2003-10-02 2006-11-07 Plantas De Navarra S.A. Peach tree plant named ‘Plawhite 5’
US7427361B2 (en) * 2003-10-10 2008-09-23 Dupont Air Products Nanomaterials Llc Particulate or particle-bound chelating agents
US7531463B2 (en) * 2003-10-20 2009-05-12 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8372757B2 (en) 2003-10-20 2013-02-12 Novellus Systems, Inc. Wet etching methods for copper removal and planarization in semiconductor processing
US8530359B2 (en) * 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US8158532B2 (en) * 2003-10-20 2012-04-17 Novellus Systems, Inc. Topography reduction and control by selective accelerator removal
US20050112292A1 (en) * 2003-11-25 2005-05-26 Parker Russell A. Methods for treating at least one member of a microarray structure and methods of using the same
US7465408B1 (en) * 2003-12-03 2008-12-16 Advanced Micro Devices, Inc. Solutions for controlled, selective etching of copper
US20050139292A1 (en) * 2003-12-31 2005-06-30 Suresh Ramarajan Method and apparatus for minimizing thickness-to-planarity and dishing in CMP
US7390744B2 (en) 2004-01-29 2008-06-24 Applied Materials, Inc. Method and composition for polishing a substrate
US7087564B2 (en) * 2004-03-05 2006-08-08 Air Liquide America, L.P. Acidic chemistry for post-CMP cleaning
US7497967B2 (en) * 2004-03-24 2009-03-03 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Compositions and methods for polishing copper
US7253111B2 (en) * 2004-04-21 2007-08-07 Rohm And Haas Electronic Materials Cmp Holding, Inc. Barrier polishing solution
JP2005317808A (ja) * 2004-04-28 2005-11-10 Nitta Haas Inc 薄膜研磨用研磨布およびそれを用いる薄膜の研磨方法
US20050252547A1 (en) * 2004-05-11 2005-11-17 Applied Materials, Inc. Methods and apparatus for liquid chemical delivery
US7303993B2 (en) * 2004-07-01 2007-12-04 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US7384871B2 (en) * 2004-07-01 2008-06-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
JP4292117B2 (ja) * 2004-07-15 2009-07-08 Jsr株式会社 化学機械研磨用水系分散体及び化学機械研磨方法
US7210988B2 (en) 2004-08-24 2007-05-01 Applied Materials, Inc. Method and apparatus for reduced wear polishing pad conditioning
JP2006080388A (ja) * 2004-09-10 2006-03-23 Nitta Haas Inc 金属研磨用組成物
WO2006030595A1 (ja) * 2004-09-14 2006-03-23 Hitachi Chemical Company, Ltd. Cmp用研磨スラリー
US7435356B2 (en) * 2004-11-24 2008-10-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Abrasive-free chemical mechanical polishing compositions and methods relating thereto
US20060110923A1 (en) * 2004-11-24 2006-05-25 Zhendong Liu Barrier polishing solution
US7086935B2 (en) * 2004-11-24 2006-08-08 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Cellulose-containing polishing compositions and methods relating thereto
US7427362B2 (en) * 2005-01-26 2008-09-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Corrosion-resistant barrier polishing solution
US7442637B2 (en) * 2005-08-15 2008-10-28 Chartered Semiconductor Manufacturing, Ltd Method for processing IC designs for different metal BEOL processes
US7381646B2 (en) * 2005-08-15 2008-06-03 Chartered Semiconductor Manufacturing, Ltd. Method for using a Cu BEOL process to fabricate an integrated circuit (IC) originally having an al design
KR101521414B1 (ko) * 2005-08-22 2015-05-19 어플라이드 머티어리얼스, 인코포레이티드 화학적 기계적 폴리싱의 스펙트럼 기반 모니터링을 위한 장치 및 방법
US7226339B2 (en) 2005-08-22 2007-06-05 Applied Materials, Inc. Spectrum based endpointing for chemical mechanical polishing
US7605082B1 (en) 2005-10-13 2009-10-20 Novellus Systems, Inc. Capping before barrier-removal IC fabrication method
US7435162B2 (en) * 2005-10-24 2008-10-14 3M Innovative Properties Company Polishing fluids and methods for CMP
TW200720493A (en) 2005-10-31 2007-06-01 Applied Materials Inc Electrochemical method for ecmp polishing pad conditioning
US7226345B1 (en) 2005-12-09 2007-06-05 The Regents Of The University Of California CMP pad with designed surface features
US20070151866A1 (en) * 2006-01-05 2007-07-05 Applied Materials, Inc. Substrate polishing with surface pretreatment
US20070158207A1 (en) * 2006-01-06 2007-07-12 Applied Materials, Inc. Methods for electrochemical processing with pre-biased cells
US20070227902A1 (en) * 2006-03-29 2007-10-04 Applied Materials, Inc. Removal profile tuning by adjusting conditioning sweep profile on a conductive pad
CN101584028A (zh) * 2006-04-26 2009-11-18 Nxp股份有限公司 制造半导体器件的方法、由此获得的半导体器件和适合该方法中使用的浆料
JP5168966B2 (ja) * 2007-03-20 2013-03-27 富士通セミコンダクター株式会社 研磨方法及び研磨装置
JP5327050B2 (ja) 2007-07-30 2013-10-30 日立化成株式会社 金属用研磨液及び研磨方法
JP2009088486A (ja) * 2007-08-29 2009-04-23 Applied Materials Inc 高スループット低形状銅cmp処理
KR100949250B1 (ko) * 2007-10-10 2010-03-25 제일모직주식회사 금속 cmp 슬러리 조성물 및 이를 이용한 연마 방법
JP5207002B2 (ja) * 2008-02-27 2013-06-12 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の再生方法
US8071479B2 (en) 2008-12-11 2011-12-06 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and methods relating thereto
CN102484061B (zh) 2009-09-02 2015-08-19 诺发系统有限公司 降低的各向同性蚀刻剂材料消耗及废料产生
US8603862B2 (en) * 2010-05-14 2013-12-10 International Business Machines Corporation Precise-aligned lock-and-key bonding structures
TWI490290B (zh) * 2010-12-23 2015-07-01 Anji Microelectronics Co Ltd Chemical mechanical polishing solution
JP5858333B2 (ja) * 2010-12-24 2016-02-10 日立金属株式会社 金属線材の熱処理装置
JP5808201B2 (ja) * 2011-09-01 2015-11-10 株式会社ディスコ 砥粒埋め込み装置、ラッピング装置及びラッピング方法
JP2014027012A (ja) * 2012-07-24 2014-02-06 Toshiba Corp 半導体装置の製造方法および半導体装置の製造装置
CN102909639B (zh) * 2012-10-30 2016-02-24 上海新傲科技股份有限公司 半导体衬底的表面处理方法
US20140308814A1 (en) * 2013-04-15 2014-10-16 Applied Materials, Inc Chemical mechanical polishing methods and systems including pre-treatment phase and pre-treatment compositions
CN103646866B (zh) * 2013-11-29 2016-03-02 上海华力微电子有限公司 化学机械抛光装置及方法
JP6206360B2 (ja) * 2014-08-29 2017-10-04 株式会社Sumco シリコンウェーハの研磨方法
KR101677248B1 (ko) 2015-04-24 2016-11-17 (주) 진우테크 웨이퍼 연마장치
KR101693278B1 (ko) * 2015-09-25 2017-01-05 유비머트리얼즈주식회사 슬러리 및 이를 이용한 기판 연마 방법
CN105922084B (zh) * 2016-07-11 2017-11-28 安徽三联学院 一种对金属表面涂层的精磨工艺
CN110509114B (zh) * 2019-08-14 2021-01-05 大连理工大学 一种钨合金的研磨抛光方法
US20220017780A1 (en) * 2020-07-20 2022-01-20 Taiwan Semiconductor Manufacturing Company Ltd. Composition and method for polishing and integrated circuit
CN112067643A (zh) * 2020-09-08 2020-12-11 宁波江丰电子材料股份有限公司 一种高纯铝靶材组件焊接扩散层sem检测的制样方法

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4936052A (en) * 1986-04-05 1990-06-26 Nagase Iron Works Co., Ltd. Machine and method of grinding molding die
EP0265578A1 (en) * 1986-10-30 1988-05-04 Jan-Olof Eriksson A non-abrasive polish or cleaning composition and process for its preparation
US5981454A (en) * 1993-06-21 1999-11-09 Ekc Technology, Inc. Post clean treatment composition comprising an organic acid and hydroxylamine
US5499733A (en) * 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
JP2580939B2 (ja) 1992-10-15 1997-02-12 日本電気株式会社 埋め込み金属配線の形成方法
JPH0794455A (ja) 1993-09-24 1995-04-07 Sumitomo Metal Ind Ltd 配線の形成方法
US5340370A (en) * 1993-11-03 1994-08-23 Intel Corporation Slurries for chemical mechanical polishing
JP2585963B2 (ja) 1993-12-10 1997-02-26 日本エクシード株式会社 化合物半導体のための研磨液及びこれを用いた化合物半導体の研磨方法
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
JP3556978B2 (ja) * 1993-12-14 2004-08-25 株式会社東芝 銅系金属の研磨方法
JP2781954B2 (ja) * 1994-03-04 1998-07-30 メック株式会社 銅および銅合金の表面処理剤
JP2743823B2 (ja) * 1994-03-25 1998-04-22 日本電気株式会社 半導体基板のウエット処理方法
JP3397501B2 (ja) * 1994-07-12 2003-04-14 株式会社東芝 研磨剤および研磨方法
US5674443A (en) * 1994-09-26 1997-10-07 Skc Limited Process for the preparation of polyester film
JP2820059B2 (ja) * 1995-03-24 1998-11-05 トヨタ自動車株式会社 研摩装置
US5695661A (en) * 1995-06-07 1997-12-09 Micron Display Technology, Inc. Silicon dioxide etch process which protects metal
US5840629A (en) * 1995-12-14 1998-11-24 Sematech, Inc. Copper chemical mechanical polishing slurry utilizing a chromate oxidant
US5858813A (en) * 1996-05-10 1999-01-12 Cabot Corporation Chemical mechanical polishing slurry for metal layers and films
US5733176A (en) * 1996-05-24 1998-03-31 Micron Technology, Inc. Polishing pad and method of use
US5866031A (en) * 1996-06-19 1999-02-02 Sematech, Inc. Slurry formulation for chemical mechanical polishing of metals
US5875507A (en) * 1996-07-15 1999-03-02 Oliver Design, Inc. Wafer cleaning apparatus
WO1998004646A1 (en) * 1996-07-25 1998-02-05 Ekc Technology, Inc. Chemical mechanical polishing composition and process
US5932486A (en) * 1996-08-16 1999-08-03 Rodel, Inc. Apparatus and methods for recirculating chemical-mechanical polishing of semiconductor wafers
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US5972792A (en) * 1996-10-18 1999-10-26 Micron Technology, Inc. Method for chemical-mechanical planarization of a substrate on a fixed-abrasive polishing pad
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
US5916855A (en) * 1997-03-26 1999-06-29 Advanced Micro Devices, Inc. Chemical-mechanical polishing slurry formulation and method for tungsten and titanium thin films
US6001269A (en) * 1997-05-20 1999-12-14 Rodel, Inc. Method for polishing a composite comprising an insulator, a metal, and titanium
JP3371775B2 (ja) * 1997-10-31 2003-01-27 株式会社日立製作所 研磨方法
US6954997B2 (en) * 2003-01-28 2005-10-18 Kor Hockey Ltd. Apparatus, system, and method for engaging toes in footwear

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8791019B2 (en) 2005-12-27 2014-07-29 Hitachi Chemical Company, Ltd. Metal polishing slurry and method of polishing a film to be polished

Also Published As

Publication number Publication date
US7132367B2 (en) 2006-11-07
US7563716B2 (en) 2009-07-21
SG95588A1 (en) 2003-04-23
CN1216727A (zh) 1999-05-19
KR20050101128A (ko) 2005-10-20
KR19990037374A (ko) 1999-05-25
EP0913442A3 (en) 2002-03-06
KR100724023B1 (ko) 2007-06-04
US20050074967A1 (en) 2005-04-07
EP1760127A2 (en) 2007-03-07
TW380083B (en) 2000-01-21
EP0913442B1 (en) 2006-12-13
US20070029285A1 (en) 2007-02-08
US20070167015A1 (en) 2007-07-19
US6596638B1 (en) 2003-07-22
CN1974129A (zh) 2007-06-06
US6117775A (en) 2000-09-12
DE69836612D1 (de) 2007-01-25
JPH11135466A (ja) 1999-05-21
KR100624589B1 (ko) 2006-11-30
CN1298508C (zh) 2007-02-07
EP0913442A2 (en) 1999-05-06
DE69836612T2 (de) 2007-10-25
US7279425B2 (en) 2007-10-09

Similar Documents

Publication Publication Date Title
JP3371775B2 (ja) 研磨方法
KR100514536B1 (ko) 연마방법
Kondo et al. Abrasive‐Free Polishing for Copper Damascene Interconnection
US6750128B2 (en) Methods of polishing, interconnect-fabrication, and producing semiconductor devices
Steigerwald et al. Chemical processes in the chemical mechanical polishing of copper
EP1163311B1 (en) Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
JP3970439B2 (ja) 半導体装置の製造方法
TW487985B (en) Polishing method, wire forming method, method for manufacturing semiconductor device and semiconductor integrated circuit device
US20050026444A1 (en) Slurry and method for chemical-mechanical planarization of copper
TW200409808A (en) Polishing compound composition, method for producing same and polishing method
JP2004072099A (ja) 研磨方法
JP3668694B2 (ja) 半導体装置の製造方法
JP2004031442A (ja) 研磨液及び研磨方法
JP4618267B2 (ja) 半導体装置の製造方法
JP2003324084A (ja) 研磨方法
US20040229468A1 (en) Polishing method
JP2000299320A (ja) 配線形成方法
JP2006066851A (ja) 化学的機械研磨用組成物
JP2002299291A (ja) 金属研磨用組成物
JP2005129822A (ja) 研磨液及び研磨方法
WO2005012451A2 (en) Slurries and methods for chemical-mechanical planarization of copper

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20020820

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20021022

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081122

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081122

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091122

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 11

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term