|
JPH061769B2
(ja)
|
1983-08-10 |
1994-01-05 |
株式会社日立製作所 |
アルミナ膜のパターニング方法
|
|
US4756794A
(en)
|
1987-08-31 |
1988-07-12 |
The United States Of America As Represented By The Secretary Of The Navy |
Atomic layer etching
|
|
JPH03263827A
(ja)
*
|
1990-03-14 |
1991-11-25 |
Yasuhiro Horiike |
デジタルエツチング装置
|
|
JPH06151382A
(ja)
|
1992-11-11 |
1994-05-31 |
Toshiba Corp |
ドライエッチング方法
|
|
DE4241045C1
(de)
|
1992-12-05 |
1994-05-26 |
Bosch Gmbh Robert |
Verfahren zum anisotropen Ätzen von Silicium
|
|
JPH06326060A
(ja)
|
1993-05-12 |
1994-11-25 |
Hitachi Ltd |
固体表面加工方法
|
|
EP0635884A1
(de)
|
1993-07-13 |
1995-01-25 |
Siemens Aktiengesellschaft |
Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
|
|
US5482802A
(en)
|
1993-11-24 |
1996-01-09 |
At&T Corp. |
Material removal with focused particle beams
|
|
JPH07183256A
(ja)
*
|
1993-12-22 |
1995-07-21 |
Hitachi Ltd |
原子層エッチング方法及び装置
|
|
US6022806A
(en)
|
1994-03-15 |
2000-02-08 |
Kabushiki Kaisha Toshiba |
Method of forming a film in recess by vapor phase growth
|
|
JP3331819B2
(ja)
|
1995-06-30 |
2002-10-07 |
ソニー株式会社 |
化合物半導体のプラズマエッチング方法
|
|
US5527425A
(en)
|
1995-07-21 |
1996-06-18 |
At&T Corp. |
Method of making in-containing III/V semiconductor devices
|
|
JPH0945670A
(ja)
|
1995-07-29 |
1997-02-14 |
Hewlett Packard Co <Hp> |
Iii族−n系結晶の気相エッチング方法および再成長方法
|
|
US5789265A
(en)
|
1995-08-31 |
1998-08-04 |
Kabushiki Kaisha Toshiba |
Method of manufacturing blue light-emitting device by using BCL3 and CL2
|
|
DE19681602T1
(de)
|
1995-10-19 |
1998-11-26 |
Massachusetts Inst Technology |
Verfahren zum Entfernen von Metall
|
|
JP2002510146A
(ja)
|
1998-01-13 |
2002-04-02 |
アプライド マテリアルズ インコーポレイテッド |
異方性プラチナプロファイルのエッチング方法
|
|
US6323132B1
(en)
|
1998-01-13 |
2001-11-27 |
Applied Materials, Inc. |
Etching methods for anisotropic platinum profile
|
|
US6177353B1
(en)
|
1998-09-15 |
2001-01-23 |
Infineon Technologies North America Corp. |
Metallization etching techniques for reducing post-etch corrosion of metal lines
|
|
SE9903213D0
(sv)
|
1999-06-21 |
1999-09-10 |
Carl Fredrik Carlstroem |
Dry etching process of compound semiconductor materials
|
|
US8206568B2
(en)
*
|
1999-06-22 |
2012-06-26 |
President And Fellows Of Harvard College |
Material deposition techniques for control of solid state aperture surface properties
|
|
US8696875B2
(en)
|
1999-10-08 |
2014-04-15 |
Applied Materials, Inc. |
Self-ionized and inductively-coupled plasma for sputtering and resputtering
|
|
EP1120820A3
(en)
|
2000-01-24 |
2008-01-09 |
Ebara Corporation |
Method and apparatus for forming interconnect
|
|
WO2001059825A1
(en)
|
2000-02-08 |
2001-08-16 |
Matrix Integrated Systems, Inc. |
Method for removing photoresist and residues from semiconductor device surfaces
|
|
JP2001255670A
(ja)
|
2000-03-10 |
2001-09-21 |
Mitsubishi Electric Corp |
微細レジストパターン形成方法および装置
|
|
US6517602B2
(en)
|
2000-03-14 |
2003-02-11 |
Hitachi Metals, Ltd |
Solder ball and method for producing same
|
|
JP3662472B2
(ja)
|
2000-05-09 |
2005-06-22 |
エム・エフエスアイ株式会社 |
基板表面の処理方法
|
|
KR100463237B1
(ko)
|
2000-06-28 |
2004-12-23 |
주식회사 하이닉스반도체 |
감광막패턴의 형성 방법
|
|
US6527855B2
(en)
|
2000-10-10 |
2003-03-04 |
Rensselaer Polytechnic Institute |
Atomic layer deposition of cobalt from cobalt metallorganic compounds
|
|
US20020058409A1
(en)
|
2000-11-16 |
2002-05-16 |
Ching-Te Lin |
Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
|
|
US6448192B1
(en)
|
2001-04-16 |
2002-09-10 |
Motorola, Inc. |
Method for forming a high dielectric constant material
|
|
EP1384257A2
(en)
|
2001-05-04 |
2004-01-28 |
Tokyo Electron Limited |
Ionized pvd with sequential deposition and etching
|
|
US7005372B2
(en)
|
2003-01-21 |
2006-02-28 |
Novellus Systems, Inc. |
Deposition of tungsten nitride
|
|
US6635965B1
(en)
|
2001-05-22 |
2003-10-21 |
Novellus Systems, Inc. |
Method for producing ultra-thin tungsten layers with improved step coverage
|
|
US7141494B2
(en)
|
2001-05-22 |
2006-11-28 |
Novellus Systems, Inc. |
Method for reducing tungsten film roughness and improving step coverage
|
|
US7589017B2
(en)
|
2001-05-22 |
2009-09-15 |
Novellus Systems, Inc. |
Methods for growing low-resistivity tungsten film
|
|
US7955972B2
(en)
|
2001-05-22 |
2011-06-07 |
Novellus Systems, Inc. |
Methods for growing low-resistivity tungsten for high aspect ratio and small features
|
|
US6562700B1
(en)
|
2001-05-31 |
2003-05-13 |
Lsi Logic Corporation |
Process for removal of resist mask over low k carbon-doped silicon oxide dielectric material of an integrated circuit structure, and removal of residues from via etch and resist mask removal
|
|
US20030003374A1
(en)
|
2001-06-15 |
2003-01-02 |
Applied Materials, Inc. |
Etch process for photolithographic reticle manufacturing with improved etch bias
|
|
US20030015704A1
(en)
|
2001-07-23 |
2003-01-23 |
Motorola, Inc. |
Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
|
|
US8110489B2
(en)
|
2001-07-25 |
2012-02-07 |
Applied Materials, Inc. |
Process for forming cobalt-containing materials
|
|
US7115516B2
(en)
|
2001-10-09 |
2006-10-03 |
Applied Materials, Inc. |
Method of depositing a material layer
|
|
AU2003223472A1
(en)
|
2002-05-14 |
2003-12-02 |
Tokyo Electron Limited |
PLASMA ETCHING OF Cu-CONTAINING LAYERS
|
|
US6884730B2
(en)
|
2002-07-02 |
2005-04-26 |
Headway Technologies, Inc. |
Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
|
|
JP3878577B2
(ja)
|
2003-06-06 |
2007-02-07 |
株式会社東芝 |
半導体装置の製造方法
|
|
US6933239B2
(en)
|
2003-01-13 |
2005-08-23 |
Applied Materials, Inc. |
Method for removing conductive residue
|
|
US6841484B2
(en)
|
2003-04-17 |
2005-01-11 |
Chentsau Ying |
Method of fabricating a magneto-resistive random access memory (MRAM) device
|
|
JP2004332045A
(ja)
|
2003-05-07 |
2004-11-25 |
Renesas Technology Corp |
多層膜材料のドライエッチング方法
|
|
US6844258B1
(en)
|
2003-05-09 |
2005-01-18 |
Novellus Systems, Inc. |
Selective refractory metal and nitride capping
|
|
TW200428532A
(en)
|
2003-06-03 |
2004-12-16 |
Silicon Integrated Sys Corp |
Method of modifying conductive wiring
|
|
KR100606532B1
(ko)
|
2003-08-02 |
2006-07-31 |
동부일렉트로닉스 주식회사 |
반도체 소자의 제조 방법
|
|
US7067407B2
(en)
|
2003-08-04 |
2006-06-27 |
Asm International, N.V. |
Method of growing electrical conductors
|
|
US7018469B2
(en)
|
2003-09-23 |
2006-03-28 |
Micron Technology, Inc. |
Atomic layer deposition methods of forming silicon dioxide comprising layers
|
|
US7341946B2
(en)
|
2003-11-10 |
2008-03-11 |
Novellus Systems, Inc. |
Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
|
|
US20050233555A1
(en)
|
2004-04-19 |
2005-10-20 |
Nagarajan Rajagopalan |
Adhesion improvement for low k dielectrics to conductive materials
|
|
US7115522B2
(en)
|
2004-07-09 |
2006-10-03 |
Kabushiki Kaisha Toshiba |
Method for manufacturing semiconductor device
|
|
CN100576474C
(zh)
|
2004-07-20 |
2009-12-30 |
应用材料股份有限公司 |
以钽前驱物taimata进行含钽材料的原子层沉积
|
|
US8288828B2
(en)
|
2004-09-09 |
2012-10-16 |
International Business Machines Corporation |
Via contact structure having dual silicide layers
|
|
US8084400B2
(en)
*
|
2005-10-11 |
2011-12-27 |
Intermolecular, Inc. |
Methods for discretized processing and process sequence integration of regions of a substrate
|
|
US20060102197A1
(en)
|
2004-11-16 |
2006-05-18 |
Kang-Lie Chiang |
Post-etch treatment to remove residues
|
|
US7196955B2
(en)
|
2005-01-12 |
2007-03-27 |
Hewlett-Packard Development Company, L.P. |
Hardmasks for providing thermally assisted switching of magnetic memory elements
|
|
US7235492B2
(en)
|
2005-01-31 |
2007-06-26 |
Applied Materials, Inc. |
Low temperature etchant for treatment of silicon-containing surfaces
|
|
US7365026B2
(en)
|
2005-02-01 |
2008-04-29 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
CxHy sacrificial layer for cu/low-k interconnects
|
|
JP4860219B2
(ja)
|
2005-02-14 |
2012-01-25 |
東京エレクトロン株式会社 |
基板の処理方法、電子デバイスの製造方法及びプログラム
|
|
US7214626B2
(en)
|
2005-08-24 |
2007-05-08 |
United Microelectronics Corp. |
Etching process for decreasing mask defect
|
|
US20070087581A1
(en)
|
2005-09-09 |
2007-04-19 |
Varian Semiconductor Equipment Associates, Inc. |
Technique for atomic layer deposition
|
|
US20070095367A1
(en)
|
2005-10-28 |
2007-05-03 |
Yaxin Wang |
Apparatus and method for atomic layer cleaning and polishing
|
|
US20070117040A1
(en)
|
2005-11-21 |
2007-05-24 |
International Business Machines Corporation |
Water castable-water strippable top coats for 193 nm immersion lithography
|
|
DE102006001253B4
(de)
|
2005-12-30 |
2013-02-07 |
Advanced Micro Devices, Inc. |
Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
|
|
US9230818B2
(en)
|
2006-02-02 |
2016-01-05 |
Trustees Of Boston University |
Planarization of GaN by photoresist technique using an inductively coupled plasma
|
|
US8257987B2
(en)
|
2006-02-02 |
2012-09-04 |
Trustees Of Boston University |
Planarization of GaN by photoresist technique using an inductively coupled plasma
|
|
US7662718B2
(en)
|
2006-03-09 |
2010-02-16 |
Micron Technology, Inc. |
Trim process for critical dimension control for integrated circuits
|
|
US7795148B2
(en)
|
2006-03-28 |
2010-09-14 |
Tokyo Electron Limited |
Method for removing damaged dielectric material
|
|
US20070238301A1
(en)
|
2006-03-28 |
2007-10-11 |
Cabral Stephen H |
Batch processing system and method for performing chemical oxide removal
|
|
US7368393B2
(en)
|
2006-04-20 |
2008-05-06 |
International Business Machines Corporation |
Chemical oxide removal of plasma damaged SiCOH low k dielectrics
|
|
US7416989B1
(en)
|
2006-06-30 |
2008-08-26 |
Novellus Systems, Inc. |
Adsorption based material removal process
|
|
CN101517713B
(zh)
|
2006-09-19 |
2011-02-09 |
东京毅力科创株式会社 |
等离子体清洁方法和等离子体cvd方法
|
|
US8465991B2
(en)
|
2006-10-30 |
2013-06-18 |
Novellus Systems, Inc. |
Carbon containing low-k dielectric constant recovery using UV treatment
|
|
KR101330707B1
(ko)
|
2007-07-19 |
2013-11-19 |
삼성전자주식회사 |
반도체 장치의 형성 방법
|
|
KR100905993B1
(ko)
|
2007-09-13 |
2009-07-02 |
인하대학교 산학협력단 |
인듐옥사이드-징크옥사이드의 건식 식각 방법
|
|
US8481423B2
(en)
|
2007-09-19 |
2013-07-09 |
International Business Machines Corporation |
Methods to mitigate plasma damage in organosilicate dielectrics
|
|
US7772114B2
(en)
|
2007-12-05 |
2010-08-10 |
Novellus Systems, Inc. |
Method for improving uniformity and adhesion of low resistivity tungsten film
|
|
WO2009100358A1
(en)
|
2008-02-08 |
2009-08-13 |
Illumitex, Inc. |
System and method for emitter layer shaping
|
|
US8247030B2
(en)
|
2008-03-07 |
2012-08-21 |
Tokyo Electron Limited |
Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
|
|
US7948044B2
(en)
|
2008-04-09 |
2011-05-24 |
Magic Technologies, Inc. |
Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
|
|
US8252194B2
(en)
|
2008-05-02 |
2012-08-28 |
Micron Technology, Inc. |
Methods of removing silicon oxide
|
|
US20090286402A1
(en)
|
2008-05-13 |
2009-11-19 |
Applied Materials, Inc |
Method for critical dimension shrink using conformal pecvd films
|
|
KR100925210B1
(ko)
|
2008-05-27 |
2009-11-06 |
한국전자통신연구원 |
건식 식각 공정을 이용한 산화물 박막 트랜지스터의 제조방법
|
|
US8058170B2
(en)
|
2008-06-12 |
2011-11-15 |
Novellus Systems, Inc. |
Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
|
|
JP5391594B2
(ja)
|
2008-07-02 |
2014-01-15 |
富士通セミコンダクター株式会社 |
半導体装置の製造方法
|
|
KR20100019233A
(ko)
|
2008-08-08 |
2010-02-18 |
삼성전자주식회사 |
박막 트랜지스터 기판 및 이의 제조 방법
|
|
US8551885B2
(en)
|
2008-08-29 |
2013-10-08 |
Novellus Systems, Inc. |
Method for reducing tungsten roughness and improving reflectivity
|
|
JP5128421B2
(ja)
|
2008-09-04 |
2013-01-23 |
東京エレクトロン株式会社 |
プラズマ処理方法およびレジストパターンの改質方法
|
|
JP5085595B2
(ja)
|
2008-09-08 |
2012-11-28 |
株式会社東芝 |
コアシェル型磁性材料、コアシェル型磁性材料の製造方法、デバイス装置、およびアンテナ装置。
|
|
JP4305574B1
(ja)
|
2009-01-14 |
2009-07-29 |
住友電気工業株式会社 |
Iii族窒化物基板、それを備える半導体デバイス、及び、表面処理されたiii族窒化物基板を製造する方法
|
|
JP5275094B2
(ja)
|
2009-03-13 |
2013-08-28 |
東京エレクトロン株式会社 |
基板処理方法
|
|
US7759239B1
(en)
|
2009-05-05 |
2010-07-20 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method of reducing a critical dimension of a semiconductor device
|
|
US8404561B2
(en)
|
2009-05-18 |
2013-03-26 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Method for fabricating an isolation structure
|
|
US8114306B2
(en)
|
2009-05-22 |
2012-02-14 |
International Business Machines Corporation |
Method of forming sub-lithographic features using directed self-assembly of polymers
|
|
US8124531B2
(en)
|
2009-08-04 |
2012-02-28 |
Novellus Systems, Inc. |
Depositing tungsten into high aspect ratio features
|
|
US9034768B2
(en)
|
2010-07-09 |
2015-05-19 |
Novellus Systems, Inc. |
Depositing tungsten into high aspect ratio features
|
|
JP5813303B2
(ja)
|
2009-11-20 |
2015-11-17 |
株式会社日立国際電気 |
半導体装置の製造方法、基板処理方法および基板処理装置
|
|
US8247332B2
(en)
|
2009-12-04 |
2012-08-21 |
Novellus Systems, Inc. |
Hardmask materials
|
|
US20110139748A1
(en)
|
2009-12-15 |
2011-06-16 |
University Of Houston |
Atomic layer etching with pulsed plasmas
|
|
US8664070B2
(en)
|
2009-12-21 |
2014-03-04 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
High temperature gate replacement process
|
|
KR101080604B1
(ko)
|
2010-02-09 |
2011-11-04 |
성균관대학교산학협력단 |
원자층 식각 장치 및 이를 이용한 식각 방법
|
|
US8227344B2
(en)
|
2010-02-26 |
2012-07-24 |
Tokyo Electron Limited |
Hybrid in-situ dry cleaning of oxidized surface layers
|
|
JP4982582B2
(ja)
|
2010-03-31 |
2012-07-25 |
株式会社東芝 |
マスクの製造方法
|
|
US9373500B2
(en)
|
2014-02-21 |
2016-06-21 |
Lam Research Corporation |
Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
|
|
US9257274B2
(en)
|
2010-04-15 |
2016-02-09 |
Lam Research Corporation |
Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
|
|
KR20130115085A
(ko)
|
2010-04-30 |
2013-10-21 |
어플라이드 머티어리얼스, 인코포레이티드 |
개선된 스택 결함을 위한 비결정질 탄소 증착 방법
|
|
KR101340793B1
(ko)
|
2010-07-09 |
2013-12-11 |
노벨러스 시스템즈, 인코포레이티드 |
고 종횡비 특징부 내부로 텅스텐 증착하기
|
|
JP5416280B2
(ja)
|
2010-08-19 |
2014-02-12 |
株式会社アルバック |
ドライエッチング方法及び半導体装置の製造方法
|
|
US8524612B2
(en)
|
2010-09-23 |
2013-09-03 |
Novellus Systems, Inc. |
Plasma-activated deposition of conformal films
|
|
WO2012050888A2
(en)
|
2010-09-28 |
2012-04-19 |
North Carolina State University |
Gallium nitride based structures with embedded voids and methods for their fabrication
|
|
US8124505B1
(en)
|
2010-10-21 |
2012-02-28 |
Hrl Laboratories, Llc |
Two stage plasma etching method for enhancement mode GaN HFET
|
|
US20120100308A1
(en)
|
2010-10-25 |
2012-04-26 |
Asm America, Inc. |
Ternary metal alloys with tunable stoichiometries
|
|
KR101739987B1
(ko)
|
2010-12-28 |
2017-05-26 |
에스케이 텔레콤주식회사 |
주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
|
|
US9064815B2
(en)
|
2011-03-14 |
2015-06-23 |
Applied Materials, Inc. |
Methods for etch of metal and metal-oxide films
|
|
US8546263B2
(en)
|
2011-04-27 |
2013-10-01 |
Applied Materials, Inc. |
Method of patterning of magnetic tunnel junctions
|
|
EP3441503B1
(en)
|
2011-04-29 |
2023-08-23 |
Applied Materials, Inc. |
Devices and methods for passivating a flexible substrate in a coating process
|
|
FR2975823B1
(fr)
|
2011-05-27 |
2014-11-21 |
Commissariat Energie Atomique |
Procede de realisation d'un motif a la surface d'un bloc d'un substrat utilisant des copolymeres a bloc
|
|
US8741775B2
(en)
|
2011-07-20 |
2014-06-03 |
Applied Materials, Inc. |
Method of patterning a low-K dielectric film
|
|
US8617411B2
(en)
|
2011-07-20 |
2013-12-31 |
Lam Research Corporation |
Methods and apparatus for atomic layer etching
|
|
KR101380835B1
(ko)
|
2011-07-22 |
2014-04-04 |
성균관대학교산학협력단 |
그래핀의 원자층 식각 방법
|
|
US20130099277A1
(en)
|
2011-10-25 |
2013-04-25 |
The Regents Of The University Of California |
SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
|
|
US9666414B2
(en)
|
2011-10-27 |
2017-05-30 |
Applied Materials, Inc. |
Process chamber for etching low k and other dielectric films
|
|
TWI541377B
(zh)
|
2011-11-04 |
2016-07-11 |
Asm國際股份有限公司 |
形成摻雜二氧化矽薄膜的方法
|
|
US8808561B2
(en)
|
2011-11-15 |
2014-08-19 |
Lam Research Coporation |
Inert-dominant pulsing in plasma processing systems
|
|
US8809994B2
(en)
|
2011-12-09 |
2014-08-19 |
International Business Machines Corporation |
Deep isolation trench structure and deep trench capacitor on a semiconductor-on-insulator substrate
|
|
EP2608247A1
(en)
|
2011-12-21 |
2013-06-26 |
Imec |
EUV photoresist encapsulation
|
|
US8883028B2
(en)
|
2011-12-28 |
2014-11-11 |
Lam Research Corporation |
Mixed mode pulsing etching in plasma processing systems
|
|
US20130313561A1
(en)
|
2012-05-25 |
2013-11-28 |
Triquint Semiconductor, Inc. |
Group iii-nitride transistor with charge-inducing layer
|
|
JP2014049466A
(ja)
|
2012-08-29 |
2014-03-17 |
Tokyo Electron Ltd |
エッチング処理方法及び基板処理装置
|
|
JP6035117B2
(ja)
|
2012-11-09 |
2016-11-30 |
東京エレクトロン株式会社 |
プラズマエッチング方法及びプラズマエッチング装置
|
|
US8969997B2
(en)
|
2012-11-14 |
2015-03-03 |
Taiwan Semiconductor Manufacturing Company, Ltd. |
Isolation structures and methods of forming the same
|
|
EP2920814A4
(en)
|
2012-11-16 |
2016-11-02 |
Massachusetts Inst Technology |
SEMICONDUCTOR STRUCTURE AND EDGE PROCESSING FOR SAVING
|
|
JP5918108B2
(ja)
|
2012-11-16 |
2016-05-18 |
東京エレクトロン株式会社 |
プラズマ処理方法及びプラズマ処理装置
|
|
US8927989B2
(en)
|
2012-11-28 |
2015-01-06 |
International Business Machines Corporation |
Voltage contrast inspection of deep trench isolation
|
|
US9362133B2
(en)
|
2012-12-14 |
2016-06-07 |
Lam Research Corporation |
Method for forming a mask by etching conformal film on patterned ashable hardmask
|
|
US9337068B2
(en)
|
2012-12-18 |
2016-05-10 |
Lam Research Corporation |
Oxygen-containing ceramic hard masks and associated wet-cleans
|
|
FR3000600B1
(fr)
|
2012-12-28 |
2018-04-20 |
Commissariat Energie Atomique |
Procede microelectronique de gravure d'une couche
|
|
US9304396B2
(en)
|
2013-02-25 |
2016-04-05 |
Lam Research Corporation |
PECVD films for EUV lithography
|
|
US20140335666A1
(en)
|
2013-05-13 |
2014-11-13 |
The Government Of The United States Of America, As Represented By The Secretary Of The Navy |
Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
|
|
JP6367322B2
(ja)
|
2013-06-17 |
2018-08-01 |
アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated |
湿式ウエハバックコンタクトを使用したシリコンビアを通した銅メッキのための方法
|
|
JP6170754B2
(ja)
|
2013-06-18 |
2017-07-26 |
株式会社日立国際電気 |
半導体装置の製造方法、基板処理装置およびプログラム
|
|
US8940646B1
(en)
|
2013-07-12 |
2015-01-27 |
Lam Research Corporation |
Sequential precursor dosing in an ALD multi-station/batch reactor
|
|
US9362163B2
(en)
|
2013-07-30 |
2016-06-07 |
Lam Research Corporation |
Methods and apparatuses for atomic layer cleaning of contacts and vias
|
|
KR102399752B1
(ko)
|
2013-09-04 |
2022-05-20 |
도쿄엘렉트론가부시키가이샤 |
유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
|
|
US9257431B2
(en)
|
2013-09-25 |
2016-02-09 |
Micron Technology, Inc. |
Memory cell with independently-sized electrode
|
|
US9435049B2
(en)
|
2013-11-20 |
2016-09-06 |
Lam Research Corporation |
Alkaline pretreatment for electroplating
|
|
JP6347695B2
(ja)
*
|
2013-11-20 |
2018-06-27 |
東京エレクトロン株式会社 |
被エッチング層をエッチングする方法
|
|
US10265742B2
(en)
|
2013-11-25 |
2019-04-23 |
Applied Materials, Inc. |
Method for in-situ chamber clean using carbon monoxide (CO) gas utlized in an etch processing chamber
|
|
US9620382B2
(en)
*
|
2013-12-06 |
2017-04-11 |
University Of Maryland, College Park |
Reactor for plasma-based atomic layer etching of materials
|
|
KR102363899B1
(ko)
|
2014-01-13 |
2022-02-15 |
어플라이드 머티어리얼스, 인코포레이티드 |
공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
|
|
FR3017241B1
(fr)
|
2014-01-31 |
2017-08-25 |
Commissariat Energie Atomique |
Procede de gravure plasma
|
|
JP5846335B1
(ja)
|
2014-03-26 |
2016-01-20 |
東レ株式会社 |
半導体装置の製造方法及び半導体装置
|
|
US9257638B2
(en)
|
2014-03-27 |
2016-02-09 |
Lam Research Corporation |
Method to etch non-volatile metal materials
|
|
US20150345029A1
(en)
|
2014-05-28 |
2015-12-03 |
Applied Materials, Inc. |
Metal removal
|
|
US9773683B2
(en)
|
2014-06-09 |
2017-09-26 |
American Air Liquide, Inc. |
Atomic layer or cyclic plasma etching chemistries and processes
|
|
US9768033B2
(en)
|
2014-07-10 |
2017-09-19 |
Tokyo Electron Limited |
Methods for high precision etching of substrates
|
|
FR3023971B1
(fr)
|
2014-07-18 |
2016-08-05 |
Commissariat Energie Atomique |
Procede de formation des espaceurs d'une grille d'un transistor
|
|
US10049921B2
(en)
|
2014-08-20 |
2018-08-14 |
Lam Research Corporation |
Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
|
|
WO2016033087A1
(en)
|
2014-08-28 |
2016-03-03 |
Cardiac Pacemakers, Inc. |
Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
|
|
US9520294B2
(en)
|
2014-08-29 |
2016-12-13 |
Applied Materials, Inc. |
Atomic layer etch process using an electron beam
|
|
US9609730B2
(en)
|
2014-11-12 |
2017-03-28 |
Lam Research Corporation |
Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
|
|
US10170324B2
(en)
|
2014-12-04 |
2019-01-01 |
Lam Research Corporation |
Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
|
|
WO2016100873A1
(en)
|
2014-12-18 |
2016-06-23 |
The Regents Of The University Of Colorado, A Body Corporate |
Novel methods of atomic layer etching (ale) using sequential, self-limiting thermal reactions
|
|
US9576811B2
(en)
|
2015-01-12 |
2017-02-21 |
Lam Research Corporation |
Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
|
|
WO2016160778A1
(en)
*
|
2015-03-30 |
2016-10-06 |
Tokyo Electron Limited |
Method for atomic layer etching
|
|
US9478433B1
(en)
|
2015-03-30 |
2016-10-25 |
Applied Materials, Inc. |
Cyclic spacer etching process with improved profile control
|
|
CN107567650A
(zh)
|
2015-04-02 |
2018-01-09 |
东京毅力科创株式会社 |
使用双频电容耦合等离子体(ccp)以euv抗蚀剂进行的沟槽和孔图案化
|
|
US9806252B2
(en)
|
2015-04-20 |
2017-10-31 |
Lam Research Corporation |
Dry plasma etch method to pattern MRAM stack
|
|
US9870899B2
(en)
|
2015-04-24 |
2018-01-16 |
Lam Research Corporation |
Cobalt etch back
|
|
SG10201604524PA
(en)
*
|
2015-06-05 |
2017-01-27 |
Lam Res Corp |
ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
|
|
US9449843B1
(en)
|
2015-06-09 |
2016-09-20 |
Applied Materials, Inc. |
Selectively etching metals and metal nitrides conformally
|
|
US9659771B2
(en)
|
2015-06-11 |
2017-05-23 |
Applied Materials, Inc. |
Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
|
|
US9922839B2
(en)
*
|
2015-06-23 |
2018-03-20 |
Lam Research Corporation |
Low roughness EUV lithography
|
|
US9972504B2
(en)
|
2015-08-07 |
2018-05-15 |
Lam Research Corporation |
Atomic layer etching of tungsten for enhanced tungsten deposition fill
|
|
US10096487B2
(en)
|
2015-08-19 |
2018-10-09 |
Lam Research Corporation |
Atomic layer etching of tungsten and other metals
|
|
US9520821B1
(en)
|
2015-08-19 |
2016-12-13 |
Nidec Motor Corporation |
System and method for optimizing flux regulation in electric motors
|
|
US9620376B2
(en)
|
2015-08-19 |
2017-04-11 |
Lam Research Corporation |
Self limiting lateral atomic layer etch
|
|
US9984858B2
(en)
|
2015-09-04 |
2018-05-29 |
Lam Research Corporation |
ALE smoothness: in and outside semiconductor industry
|
|
KR20170050056A
(ko)
|
2015-10-29 |
2017-05-11 |
삼성전자주식회사 |
반도체 소자의 패턴 형성 방법
|
|
WO2017099718A1
(en)
|
2015-12-08 |
2017-06-15 |
Intel Corporation |
Atomic layer etching of transition metals by halogen surface oxidation
|
|
US10727073B2
(en)
|
2016-02-04 |
2020-07-28 |
Lam Research Corporation |
Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
|
|
US9991128B2
(en)
|
2016-02-05 |
2018-06-05 |
Lam Research Corporation |
Atomic layer etching in continuous plasma
|
|
TWI658512B
(zh)
|
2016-02-23 |
2019-05-01 |
東京威力科創股份有限公司 |
原子層蝕刻用方法與系統
|
|
US10256108B2
(en)
|
2016-03-01 |
2019-04-09 |
Lam Research Corporation |
Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
|
|
US10269566B2
(en)
|
2016-04-29 |
2019-04-23 |
Lam Research Corporation |
Etching substrates using ale and selective deposition
|
|
US9865484B1
(en)
|
2016-06-29 |
2018-01-09 |
Applied Materials, Inc. |
Selective etch using material modification and RF pulsing
|
|
US9837312B1
(en)
|
2016-07-22 |
2017-12-05 |
Lam Research Corporation |
Atomic layer etching for enhanced bottom-up feature fill
|
|
US10283369B2
(en)
|
2016-08-10 |
2019-05-07 |
Tokyo Electron Limited |
Atomic layer etching using a boron-containing gas and hydrogen fluoride gas
|
|
US10566212B2
(en)
|
2016-12-19 |
2020-02-18 |
Lam Research Corporation |
Designer atomic layer etching
|
|
US9997371B1
(en)
|
2017-04-24 |
2018-06-12 |
Lam Research Corporation |
Atomic layer etch methods and hardware for patterning applications
|
|
US10832909B2
(en)
|
2017-04-24 |
2020-11-10 |
Lam Research Corporation |
Atomic layer etch, reactive precursors and energetic sources for patterning applications
|
|
US10494715B2
(en)
|
2017-04-28 |
2019-12-03 |
Lam Research Corporation |
Atomic layer clean for removal of photoresist patterning scum
|
|
US10796912B2
(en)
|
2017-05-16 |
2020-10-06 |
Lam Research Corporation |
Eliminating yield impact of stochastics in lithography
|
|
KR101853588B1
(ko)
|
2017-08-01 |
2018-04-30 |
성균관대학교산학협력단 |
반도체 소자, 광전 소자, 및 전이금속 디칼코게나이드 박막의 제조 방법
|
|
KR102485169B1
(ko)
|
2017-09-08 |
2023-01-09 |
삼성디스플레이 주식회사 |
표시 장치, 이의 제조 방법, 및 전극 형성 방법
|
|
US10763083B2
(en)
|
2017-10-06 |
2020-09-01 |
Lam Research Corporation |
High energy atomic layer etching
|
|
US10424487B2
(en)
|
2017-10-24 |
2019-09-24 |
Applied Materials, Inc. |
Atomic layer etching processes
|
|
US20190131130A1
(en)
|
2017-10-31 |
2019-05-02 |
Lam Research Corporation |
Etching metal oxide substrates using ale and selective deposition
|
|
WO2019190781A1
(en)
|
2018-03-30 |
2019-10-03 |
Lam Research Corporation |
Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
|
|
US10720334B2
(en)
|
2018-07-20 |
2020-07-21 |
Asm Ip Holding B.V. |
Selective cyclic dry etching process of dielectric materials using plasma modification
|
|
US10720337B2
(en)
|
2018-07-20 |
2020-07-21 |
Asm Ip Holding B.V. |
Pre-cleaning for etching of dielectric materials
|
|
JP7369899B2
(ja)
|
2018-07-26 |
2023-10-27 |
東京エレクトロン株式会社 |
半導体デバイス用の結晶学的に安定化された強誘電性ハフニウムジルコニウムベースの膜を形成する方法
|
|
WO2021178399A1
(en)
|
2020-03-06 |
2021-09-10 |
Lam Research Corporation |
Atomic layer etching of molybdenum
|