TW201820391A - 準原子層蝕刻方法 - Google Patents

準原子層蝕刻方法 Download PDF

Info

Publication number
TW201820391A
TW201820391A TW106130410A TW106130410A TW201820391A TW 201820391 A TW201820391 A TW 201820391A TW 106130410 A TW106130410 A TW 106130410A TW 106130410 A TW106130410 A TW 106130410A TW 201820391 A TW201820391 A TW 201820391A
Authority
TW
Taiwan
Prior art keywords
processing
plasma
conformal film
substrate according
substrate
Prior art date
Application number
TW106130410A
Other languages
English (en)
Other versions
TWI757334B (zh
Inventor
宏源 寇托
安祖 梅茲
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201820391A publication Critical patent/TW201820391A/zh
Application granted granted Critical
Publication of TWI757334B publication Critical patent/TWI757334B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

此處技術包括漸增地蝕刻一層材料的蝕刻製程(類似於原子層蝕刻(ALE)的單層蝕刻),但不必然包括ALE的自限制、單層作用。如此技術可視為是準原子層蝕刻(Q-ALE)。此處技術對於例如在軟遮罩開啟期間之精確蝕刻應用為有益的。此處技術使給定遮罩圖案得以精確轉移至下方層中。藉由仔細地控制在其時間週期內相對於聚合物輔助蝕刻之聚合物沉積,一層非常薄的保形聚合物可受到活化並用以精確地蝕刻與轉移所需的圖案。

Description

準原子層蝕刻方法
此揭露內容關於包括例如晶圓之基板的蝕刻之半導體製造。 [相關申請案的交互參照]
本申請案主張2016年9月6日申請、名為「Method of Quasi Atomic Layer Etching」之美國臨時專利申請案第62/384,161號的權利,其係整體併入於此,以供參考。
半導體產業中的積體電路(IC)的製造典型地採用電漿處理以產生與協助對於自電漿處理腔室內之基板移除材料與沉積材料至該基板上為必要的表面化學。電漿處理設備的例子包括配置成在基板上沉積薄膜的電漿CVD(化學氣相沉積)設備、與配置成自基板移除材料的電漿蝕刻設備,其可包括使用蝕刻遮罩以定義用於移除材料的區域。大致上,如此電漿處理系統在真空條件下藉由使製程氣體流入處理腔室內與加熱電子至足以維持離子化碰撞的能量來形成電漿。此外,加熱的電子可具有足以維持解離碰撞的能量,且因此,在預定條件下(例如,腔室壓力、氣體流率等等)之氣體的特定集合係擇定以產生適於腔室內執行之特定製程(例如,自基板移除材料之蝕刻製程或添加材料至基板的沉積製程)之荷電物種及/或化學反應性物種的群體。
持續的節距縮放需要先進的電路設計以符合多樣化應用與產品需求。複雜的電路設計需要精確地轉移至最終產品內以供電路與設備適當地運作。當用於圖案轉移時,習知的乾式電漿蝕刻對於維持起伏圖案中之不同的特徵部的CD具有挑戰性。因為如此蝕刻製程傾向遭受反應物種與荷電物種的運輸於其中變化之圖案密度相依蝕刻、及微負載與深寬比相依性的問題,所以在蝕刻期間維持CD是困難的。隨著圖案密度與節距縮放增加,對於蝕刻製程有強烈需求以消除孤立─密集負載和圖案密度效應。
此處技術包括在軟遮罩開啟期間採用準原子層蝕刻(Q-ALE)之新型蝕刻製程。遮罩材料可包括但不限於碳、SiOC、SiON與其他遮罩材料。此處技術使給定遮罩圖案得以精確轉移至下方層中。此處實施例包括針對例如下列者的複雜遮罩設計維持關鍵特徵部尺寸:橢圓接點短軸相對長軸臨界尺寸(CD)比率、短桿(bar)末端長度與T型桿彎曲曲率。
此處的Q-ALE製程在其時間循環內仔細地控制相對於聚合物輔助蝕刻之聚合物沉積。例如,一層相對薄的保形聚合物(nm厚度範圍)可用以精確地蝕刻與轉移所需的起伏圖案至下方層。經由製程時間循環、電漿化學與製程溫度的精確控制,關鍵特徵部可基於產品需求藉由寬廣範圍的CD調整能力轉移至基板中。
當然,如此處所述之不同步驟的討論的順序已經為求清楚而呈現。通常,此等步驟可以任何合適的順序執行。此外,儘管本文中不同特徵、技術、配置等等的各者可在本揭露內容的不同位置處討論,但其意圖在於概念的各者可彼此獨立或彼此相結合而實施。據此,本發明可以許多不同的方式加以實施及審視。
應注意,本發明內容章節並不具體說明本揭露內容或所請發明的每一實施例及/或漸增新穎實施態樣。反而,本發明內容章節僅提供不同實施例的初步討論、以及相對於習知技術之新型性的對應點。就本發明及實施例的額外細節及/或可能觀點而言,讀者被導引至如以下進一步討論之本揭露內容的實施方式章節及對應圖式。
此處技術包括漸增地蝕刻一層材料的蝕刻製程(類似於原子層蝕刻(ALE)的單層蝕刻),但不必然包括ALE的自限制、單層作用。如此技術被認為是準原子層蝕刻(Q-ALE)。此處技術對於例如在軟遮罩開啟期間之精確蝕刻應用為有益的。此處技術使給定遮罩圖案得以精確轉移至下方層中。藉由仔細地控制在其時間循環內相對於聚合物輔助蝕刻之聚合物沉積,一層非常薄的保形聚合物可受到活化並用以精確地蝕刻與轉移所需的圖案。
此處的準原子層蝕刻(Q-ALE)製程在其時間循環內仔細地控制相對於聚合物輔助蝕刻之聚合物沉積。例如,一層相對薄的保形聚合物(薄意味在例如個位數奈米之奈米厚度範圍)可用以精確地蝕刻與轉移所需的起伏圖案至下方層。經由製程時間循環、電漿化學與製程溫度的精確控制,關鍵特徵部可基於產品需求藉由寬廣範圍的CD調整能力轉移至基板中。
此處技術包括在軟遮罩開啟期間採用準原子層蝕刻(Q-ALE)之新穎蝕刻製程。如此軟遮罩材料可包括但不限於碳、SiOC、SiON與其他遮罩材料。此處技術使給定遮罩得以精確轉移至下方層中。此處實施例包括針對例如下列者的複雜遮罩設計維持關鍵特徵部尺寸:橢圓接點之短軸相對長軸臨界尺寸(CD)比率、短桿末端長度與T型桿彎曲曲率。
Cx Hy Fz 化學物係在此處Q-ALE中伴隨氬與含氧化學物採用以產生聚合物的均勻薄層。保形聚合物沉積對於維持用作為蝕刻遮罩之給定起伏圖案的圖案保真度為有益的。經由此處的精確沉積─蝕刻循環,僅僅沉積的聚合物在蝕刻期間受到消耗。在製程達到其沉積─蝕刻平衡後引入的光阻劑不受破壞。所以,當與習知的連續波電漿蝕刻相比時,光阻劑的蝕刻選擇性受到高度改善。對於EUV光阻劑,給定選擇性改善二到三倍,因為EUV微影係大致非常薄且可能易受蝕刻除去,其對於在高容積製造中之EUV微影實施係重要的。此處光阻選擇性改善亦提供可延伸至例如193nm阻劑之其他習知化學放大的阻劑之益處。
經由製程時間循環、電漿化學物與製程溫度的精確控制,關鍵特徵部可藉由對於光CD偏差可調整的蝕刻轉移至下方層中。製程可提供CD偏差的寬廣範圍,其中可達成正CD成長、零CD偏誤或引入CD的接近50%的CD減少(收縮)而仍維持給定CD深寬比。
藉由使用直流電疊加(DCS)技術,化學放大阻劑(CAR)光阻劑(EUV或193nm)可受處理以進一步改進其蝕刻耐受性、接點邊緣粗糙度(CER)、線邊緣粗糙度(LER)與線寬粗糙度(LWR)。藉由DCS,將負直流電施加至上電極以致使導向下方固持的基板之彈道電子的通量。DCS亦可使矽濺射至給定基板上。
此處技術可包括處理基板的方法。現參照圖1,基板105可容納在例如電漿處理系統之處理系統中。習知的電漿處理系統為已知。基板105具有工作表面。工作表面具有形成在下方層113上之起伏圖案114,使得下方層的部分未受覆蓋。光阻劑的起伏圖案可使用包括直流電疊加之不同固化技術而可選地受到固化或硬化以使基板暴露至彈道電子。換言之,蝕刻遮罩形成在給定層(其自身可成為蝕刻遮罩)上。下方層113可由軟遮罩材料構成。在一些例如EUV之光微影製程的情況下,EUV光阻劑對於後續的習知圖案蝕刻係太薄或不夠耐蝕,而因此在進一步蝕刻至目標層中前,初始EUV起伏圖案可能轉移至軟遮罩層中。應注意,此處技術不限於EUV,而亦可應用至包括前段微製造之實際上用於高容積製造的微製造技術。基板105可包括一或更多基礎層107及例如平面化層、蝕刻停止層、抗反射層等等之其他層。起伏圖案114可由包括EUV光阻劑材料之光阻劑材料構成。
現參照圖2,執行保形地沉積聚合物膜121-1在基板105上之保形膜沉積製程。藉由保形沉積,材料大致以相同厚度沉積在所有表面(水平的或垂直的)上。在此沉積中,聚合物沉積成包括少於三奈米的厚度之個位數奈米厚度。
接著,執行活化蝕刻製程,其使用保形膜121-1蝕刻下方層113直到保形膜自平行於基板的工作表面之下方層113的水平表面移除。如此蝕刻技術活化保形沉積的聚合物而與下方層113的材料反應,以移除下方層113的部分。移除的部分可大於單層分子但可蝕刻達若干奈米之多層的分子。聚合物膜121-1亦可自起伏圖案114移除,但不自起伏圖案114移除材料或不移除實質的材料。範例結果說明於圖3中。應注意,在初始保形膜121-1已經移除的狀況下,起伏圖案114保持完整。亦應注意,下方層113已經有由起伏圖案114露出之材料的部分受到移除。
保形地沉積薄聚合物膜與藉由活化聚合物膜加以蝕刻下方層的步驟係循環/重複直到移除預定量的下方層(在由起伏圖案露出的範圍/區域中)。例如,圖4說明保形膜121-2(作為第二或隨後的保形膜)已經沉積在基板上。如先前所沉積,保形膜121-2覆蓋起伏圖案114,但亦覆蓋下方層113,更深地延伸入開口中。活化蝕刻再次執行,其依序自下方層113移除更多材料。圖5說明範例結果。如此循環可持續直到達到例如露出較低層之預定深度。圖6說明起伏圖案114如何完全地轉移至下方層113中。起伏圖案114可接著移除並繼續例如使用軟遮罩蝕刻遮罩的習知蝕刻之隨後處理。
執行保形膜沉積可包括在第一離子能量下維持電漿,而活化蝕刻製程包括在第二離子能量下維持電漿,其中第二離子能量大於第一離子能量。在替代的實施例中,在活化蝕刻期間使用的電漿與沉積步驟相比可具有較大的電漿密度。處理可在相同的電漿處理腔室中執行,而因此腔室參數可在製程之間的切換時調變。執行保形膜沉積可包括使用Cx Fy 製程氣體。執行活化蝕刻製程包括停止Cx Fy 製程氣體流。執行保形膜沉積製程可包括使用等向性沉積製程。因此,離子與中性粒子可不具方向性地朝基板流動。然而,執行活化蝕刻製程可包括使用異向性蝕刻製程以垂直於基板的工作表面之角度朝基板加速離子。執行保形膜沉積可包括藉由基於基板的工作表面的孤立─密集特性選擇性使用連續波電漿或脈衝電漿來控制保形的程度。例如,可使用脈衝或連續波電漿以補償沉積或蝕刻延遲。給定基板可具有形成於其上之具有不同空間密度的特徵部。換言之,一些區域可自圍繞結構(開放區域)孤立,而其他區域具有例如線路或銷的陣列之結構的密度。因而,電漿沉積及聚合物蝕刻可選擇使用不同的電漿特性以造成孤立與密集區域兩者的準確蝕刻。
在保形沉積製程期間,離子能量係維持在低於造成聚合物膜的活化蝕刻之閾值活化能量。在活化蝕刻製程期間離子能量接著位於或高於此閾值活化能量。如果在沉積步驟期間離子能量過高,則可能發生一些蝕刻。足以活化蝕刻之閾值能量取決於擇定的特定聚合物及使用的特定離子。作為非限制性的例子,約15eV的離子能量可在氟電漿的存在下造成矽氧化物的活化蝕刻。又,如此處所揭露,活化蝕刻可藉由離子質量的選擇進一步控制。例如,氬、氦與氙具有不同質量。因而,活化蝕刻的濺射率可基於所使用之特定離子加以控制以控制離子通量。執行活化蝕刻製程可包括耦合低頻偏壓功率至用以處理基板之電漿處理腔室。執行保形膜沉積包括沉積聚合物膜持續1-9秒,而執行活化蝕刻製程包括異向地蝕刻下方層持續3-9秒。
因而,藉由此處微製造技術,執行沉積薄、保形聚合物膜之第一基於電漿的沉積步驟。沉積步驟之後為蝕刻步驟或活化步驟。活化步驟移除下方材料(例如軟遮罩)而不移除起伏圖案。在此活化步驟期間,薄聚合物膜可受到移除/消耗,但薄保形聚合物保護光阻劑起伏圖案。沉積薄保形膜與執行活化蝕刻的此等步驟係重複直到下方層蝕穿或直到下方層的預定深度已經移除。儘管多數實施例不是自限制(如利用原子層蝕刻),此處技術可受控制以沉積相對薄膜,並使用此等薄膜以例如藉由異向性蝕刻移除下方材料。此處技術的一益處為沉積與蝕刻製程兩者可原位完成。
保形膜沉積製程可包括使包括氟碳化物(例如C4 F8 、 C4 F6 等等)及載體氣體(例如氬)之製程氣體混合物流動。氧亦可包含於第一製程氣體混合物中。電漿可使用無低頻(偏壓)之高頻功率維持。電漿產物的氣流為等向的。此保形膜沉積製程可持續1-9秒,包括3-6秒。此保形膜沉積製程持續直到薄聚合物膜沉積在基板上。該膜係3奈米或更少。
在保形薄膜沉積完成後執行活化蝕刻製程,其使用保形膜蝕刻下方層直到保形膜自下方層的水平表面移除。對於此活化蝕刻製程,使用較大離子能量。使來自沉積步驟之特定氟碳化物流停止,且第二製程氣體混合物可僅包括例如氬之載體氣體。可選地,可包括氧。活化蝕刻步驟施加低頻功率至基板固持器以使第二製程氣體混合物充能並拉引離子朝向基板。離子中的能量係轉移至沉積聚合物,因而移除下方層的部分。此蝕刻步驟可持續3-9秒或直到下方層上的保形膜受到移除,其移除一些下方層。
此等二製程步驟─薄保形膜的沉積與蝕刻活化─係重複/循環直到下方層的所需量受到移除。在製程步驟循環期間電漿可在處理腔室中保留。應注意,保形膜亦將自起伏圖案的水平表面移除,且起伏圖案可部分地受到蝕刻。保形膜的側壁沉積物亦可移除。可選地,蝕刻活化步驟可持續直到所有聚合物沉積物移除,或直到僅水平表面沉積物移除從而遺留側壁沉積物。如此技術可對其中給定開口需要均勻地收縮之收縮應用有益。因為在長時間沉積的情況下,y軸可能較x軸收縮更多,此對於具有長軸與短軸的槽縫開口之情況而言可能是困難的。然而,藉由此處技術,僅少許奈米(其可稍微受回蝕)之接連微小沉積係相互加至彼此,產生均勻收縮比率技術。加入更多氧至第二製程氣體混合物可協助完全移除聚合物,而自第二製程氣體混合物減少或消除氧使側壁沉積物得以留下。
此處技術具有許多有益的應用。一應用為EUV阻劑的圖案轉移。EUV阻劑係典型地不如習知光阻劑穩健。為增加EUV阻劑的感度,目前將金屬加入,但這樣的金屬添加物對蝕刻設備帶來汙染風險。由於此處技術可在逐層移除製程中仔細地轉移圖案而不損壞EUV阻劑,此處技術可使不含金屬EUV阻劑的圖案轉移得以進行。另一益處為對於使用習知光阻劑轉移接觸開口之圖案轉移的收縮控制。橢圓形與槽縫開口可保持其比率來轉移,且開口可收縮並同時維持開口的尺寸比率。
圖7與8為顯示此處技術的範例結果及其益處之基板片段的放大影像。
因而,此處技術呈現在軟遮罩開啟期間採用Q-ALE技術之獨特的蝕刻製程,在該軟遮罩開啟中,乾式電漿沉積的保形聚合物係用以蝕刻遮罩層。藉由在達到沉積─蝕刻平衡後僅消耗均勻沉積的薄聚合物層,此製程能維持複雜的圖案保真度同時提供蝕刻CD偏差的寬廣範圍。
在先前的敘述中已闡述具體細節,例如處理系統的具體幾何結構、及此處使用之不同的元件與製程的敘述。然而,吾人應明白,此處技術可在脫離此等具體細節之其他實施例中實行,且如此細節係為了解釋的目的而非限制。此處揭露的實施例已藉由參照隨附圖示描述。類似地,為了解釋的目的,已闡述具體的數目、材料與配置以提供徹底的理解。儘管如此,實施例可在沒有如此具體細節的情況下實行。元件具有實質上相同的功能性構造係由類似的字符標誌,並因此可省略任何冗餘的敘述。
不同的技術已作為單獨的操作描述以協助瞭解不同的實施例。敘述的順序不應解釋為預設此等操作係必然順序相依。確實,此等操作不需要以呈現的順序操作。描述的操作較可以不同於描述的實施例之順序執行。不同的額外操作可執行及/或描述的操作可在額外的實施例中省略。
根據本發明,本文中所使用之「基板」或「目標基板」一般是指受處理的物體。基板可包含裝置(特別是半導體或其他電子裝置)的任何材料部分或結構,且舉例而言,可為基礎基板結構,如半導體晶圓、倍縮遮罩、或基礎基板結構上或覆蓋該基礎基板結構的膜層(如薄膜)。因此,基板不受限於任何特定的基礎結構、下方層或上方層、圖案化或非圖案化,反而基板被認為包含任何的如此之覆層或基礎結構、以及覆層及/或基礎結構的任何組合。描述內容可參照特定類型的基板,但其僅為說明性的目的。
熟習該領域技術者亦將理解,針對以上所解釋之技術的操作可作出諸多不同變化,而仍達成本發明之同樣的目標。如此之變化意在涵蓋於本揭露內容的範疇中。因此,本發明之實施例的前述內容不意圖為限制性。反而,對於本發明之實施例的任何限制係呈現於以下申請專利範圍中。
105‧‧‧基板
107‧‧‧基礎層
113‧‧‧下方層
114‧‧‧起伏圖案
121-1‧‧‧膜
121-2‧‧‧膜
在結合隨附圖式考量的情況下參照以下詳細說明,本發明之諸多實施例的更完整的理解及其許多伴隨的優點將變得顯而易見。圖式不必然按比例繪製,而是強調說明特徵、原理、及概念。
圖1為範例基板部分的橫剖面示意圖,其顯示根據此處揭露之實施例的製程流程。
圖2為範例基板部分的橫剖面示意圖,其顯示根據此處揭露之實施例的製程流程。
圖3為範例基板部分的橫剖面示意圖,其顯示根據此處揭露之實施例的製程流程。
圖4為範例基板部分的橫剖面示意圖,其顯示根據此處揭露之實施例的製程流程。
圖5為範例基板部分的橫剖面示意圖,其顯示根據此處揭露之實施例的製程流程。
圖6為範例基板部分的橫剖面示意圖,其顯示根據此處揭露之實施例的製程流程。
圖7為根據此處揭露之實施例處理之基板部分的放大影像的表格。
圖8為根據此處揭露之實施例處理之基板部分的放大影像的表格。

Claims (17)

  1. 一種處理基板的方法,該方法包含: 容納具有一工作表面之一基板,該工作表面具有形成在一下方層上之一起伏圖案,使得該下方層的部分露出; 執行一保形膜沉積製程,其在該基板上保形地沉積一聚合物膜,其中該聚合物膜具有少於三奈米之一厚度; 執行一活化蝕刻製程,其使用該保形膜蝕刻該下方層,直到該保形膜自平行於該工作表面之該下方層的水平表面移除;及 使保形膜沉積與活化蝕刻的步驟循環,直到移除一預定量之由該起伏圖案露出的該下方層。
  2. 如申請專利範圍第1項之處理基板的方法,其中該起伏圖案由光阻劑材料構成。
  3. 如申請專利範圍第2項之處理基板的方法,其中該光阻劑材料為EUV光阻。
  4. 如申請專利範圍第1項之處理基板的方法,其中該下方層為一軟遮罩材料。
  5. 如申請專利範圍第1項之處理基板的方法,其中執行該保形膜沉積包括在一第一離子能量下維持電漿,且其中執行該活化蝕刻製程包括在一第二離子能量下維持電漿,其中該第二離子能量大於該第一離子能量。
  6. 如申請專利範圍第5項之處理基板的方法,其中該第一離子能量係低於足以活化一特定沉積材料與離子組合之蝕刻的一閾值能量。
  7. 如申請專利範圍第1項之處理基板的方法,其中執行該保形膜沉積包括使用一Cx Fy 製程氣體,且其中執行該活化蝕刻製程包括停止該Cx Fy 製程氣體的流動。
  8. 如申請專利範圍第1項之處理基板的方法,其中執行該保形膜沉積製程包括使用一等向性沉積製程,且其中執行該活化蝕刻製程包括使用一異向性蝕刻製程。
  9. 如申請專利範圍第1項之處理基板的方法,其中執行該活化蝕刻製程包括耦合低頻偏壓功率至一電漿處理腔室。
  10. 如申請專利範圍第1項之處理基板的方法,其中執行該保形膜沉積包括沉積該聚合物膜持續1-9秒,且其中執行該活化蝕刻製程包括異向地蝕刻該下方層持續3-9秒。
  11. 如申請專利範圍第1項之處理基板的方法,其中執行該保形膜沉積包括藉由基於該基板的一工作表面的孤立─密集特性選擇性地使用連續波電漿或脈衝電漿來控制保形的程度。
  12. 一種處理基板的方法,該方法包含: 容納具有一工作表面之一基板,該工作表面具有形成在一下方層上之一起伏圖案,使得該下方層的部分露出; 執行一保形膜沉積製程,其在該基板上保形地沉積一聚合物膜,其中該保形膜沉積製程係持續執行1-9秒; 執行一活化蝕刻製程,其使用該保形膜持續蝕刻該下方層3-9秒;及 使保形膜沉積與活化蝕刻的步驟循環,直到移除一預定量的該下方層。
  13. 如申請專利範圍第12項之處理基板的方法,其中該起伏圖案為一軟遮罩材料。
  14. 如申請專利範圍第12項之處理基板的方法,其中執行該保形膜沉積包括在一第一電漿密度下維持電漿,且其中執行該活化蝕刻製程包括在一第二電漿密度下維持電漿,其中該第一電漿密度大於該第二電漿密度。
  15. 如申請專利範圍第12項之處理基板的方法,其中執行該保形膜沉積包括使用一Cx Fy 製程氣體,且其中執行該活化蝕刻製程包括停止該Cx Fy 製程氣體的流動。
  16. 如申請專利範圍第12項之處理基板的方法,其中執行該保形膜沉積製程包括使用一等向性沉積製程,且其中執行該活化蝕刻製程包括使用一異向性蝕刻製程。
  17. 如申請專利範圍第12項之處理基板的方法,其中執行該活化蝕刻製程包括耦合低頻偏壓功率至一電漿處理腔室。
TW106130410A 2016-09-06 2017-09-06 準原子層蝕刻方法 TWI757334B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662384161P 2016-09-06 2016-09-06
US62/384,161 2016-09-06

Publications (2)

Publication Number Publication Date
TW201820391A true TW201820391A (zh) 2018-06-01
TWI757334B TWI757334B (zh) 2022-03-11

Family

ID=61280929

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106130410A TWI757334B (zh) 2016-09-06 2017-09-06 準原子層蝕刻方法

Country Status (5)

Country Link
US (1) US10438797B2 (zh)
KR (1) KR102489215B1 (zh)
CN (1) CN109804459B (zh)
TW (1) TWI757334B (zh)
WO (1) WO2018048925A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI811753B (zh) * 2020-08-05 2023-08-11 日商愛發科股份有限公司 矽之乾蝕刻方法

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN113169026B (zh) 2019-01-22 2024-04-26 应用材料公司 用于控制脉冲电压波形的反馈回路
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11170981B2 (en) * 2019-09-17 2021-11-09 Tokyo Electron Limited Broadband plasma processing systems and methods
US11295937B2 (en) * 2019-09-17 2022-04-05 Tokyo Electron Limited Broadband plasma processing systems and methods
US11424123B2 (en) * 2020-02-25 2022-08-23 Tokyo Electron Limited Forming a semiconductor feature using atomic layer etch
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
CN115735262A (zh) * 2020-09-25 2023-03-03 朗姆研究公司 稳健的可灰化硬掩模
US11079682B1 (en) * 2020-11-13 2021-08-03 Tokyo Electron Limited Methods for extreme ultraviolet (EUV) resist patterning development
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11694876B2 (en) 2021-12-08 2023-07-04 Applied Materials, Inc. Apparatus and method for delivering a plurality of waveform signals during plasma processing
US20230326755A1 (en) * 2022-04-08 2023-10-12 Tokyo Electron Limited Patterning Features with Metal Based Resists
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
WO2024024919A1 (ja) * 2022-07-29 2024-02-01 東京エレクトロン株式会社 基板処理方法及び基板処理システム

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU1626194A1 (ru) * 1988-11-09 1991-02-07 Горьковский Политехнический Институт Устройство дл измерени комплексного коэффициента отражени двухполюсника СВЧ
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
TW594487B (en) * 2003-01-30 2004-06-21 Via Tech Inc System chip and related method of data access
KR100549204B1 (ko) * 2003-10-14 2006-02-02 주식회사 리드시스템 실리콘 이방성 식각 방법
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US20090017401A1 (en) * 2007-07-10 2009-01-15 Shinichi Ito Method of forming micropattern
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
CN101571905A (zh) * 2009-05-12 2009-11-04 中兴软件技术(杭州)有限公司 Rfid中间件应用接口转换系统和方法
WO2011031949A1 (en) * 2009-09-10 2011-03-17 Lockheed Martin Corporation Graphene nanoelectronic device fabrication
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP5952029B2 (ja) * 2011-02-28 2016-07-13 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物、およびフォトリソグラフィパターンを形成する方法
KR101295368B1 (ko) 2011-07-18 2013-08-09 한국 한의학 연구원 허니부쉬 추출물 또는 이의 발효액을 유효성분으로 함유하는 피부 주름 개선용 조성물
US8916054B2 (en) * 2011-10-26 2014-12-23 International Business Machines Corporation High fidelity patterning employing a fluorohydrocarbon-containing polymer
US8592327B2 (en) * 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US9514953B2 (en) * 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9791779B2 (en) * 2014-10-16 2017-10-17 Tokyo Electron Limited EUV resist etch durability improvement and pattern collapse mitigation
US9859138B2 (en) * 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
US9997373B2 (en) * 2014-12-04 2018-06-12 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
WO2016130795A1 (en) * 2015-02-12 2016-08-18 Massachusetts Institute Of Technology Methods and apparatus for variable selectivity atomic layer etching

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI811753B (zh) * 2020-08-05 2023-08-11 日商愛發科股份有限公司 矽之乾蝕刻方法

Also Published As

Publication number Publication date
KR102489215B1 (ko) 2023-01-16
US10438797B2 (en) 2019-10-08
US20180068852A1 (en) 2018-03-08
CN109804459B (zh) 2023-08-04
KR20190040313A (ko) 2019-04-17
WO2018048925A1 (en) 2018-03-15
TWI757334B (zh) 2022-03-11
CN109804459A (zh) 2019-05-24

Similar Documents

Publication Publication Date Title
TWI757334B (zh) 準原子層蝕刻方法
US7955516B2 (en) Etching of nano-imprint templates using an etch reactor
TWI527117B (zh) 橫向修整硬遮罩的方法
JP5674375B2 (ja) プラズマ処理方法及びプラズマ処理装置
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
TWI508162B (zh) Plasma processing methods and computer readable memory media
TWI703618B (zh) 用於圖案化具有所需尺度的材料層的方法
KR100944846B1 (ko) 마스크 에칭 프로세스
US20220051904A1 (en) Etching method
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
US9330935B2 (en) Plasma etching method and plasma etching apparatus
JP2024026599A (ja) プラズマ処理装置
KR20100004891A (ko) 플라즈마 에칭 방법, 제어 프로그램 및 컴퓨터 기억 매체
KR102072269B1 (ko) 패터닝된 층의 주기적 에칭을 위한 방법
JP2017528910A (ja) マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
KR101097025B1 (ko) 플라즈마 처리 방법 및 컴퓨터 판독 가능한 기억 매체
TW202030792A (zh) 乾式蝕刻方法及乾式蝕刻裝置
US20160141184A1 (en) Dry development and image transfer of si-containing self-assembled block copolymers
US20190304774A1 (en) Etching method
JP2007189153A (ja) 半導体装置の製造方法
JP2006324691A (ja) 加工方法及び装置
RU2700231C1 (ru) Способ формирования трехмерных структур топологических элементов функциональных слоев на поверхности подложек
TWI740961B (zh) 蝕刻銅層之方法
JP2010003757A (ja) 半導体装置の製造方法