JP2024026599A - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP2024026599A
JP2024026599A JP2023222475A JP2023222475A JP2024026599A JP 2024026599 A JP2024026599 A JP 2024026599A JP 2023222475 A JP2023222475 A JP 2023222475A JP 2023222475 A JP2023222475 A JP 2023222475A JP 2024026599 A JP2024026599 A JP 2024026599A
Authority
JP
Japan
Prior art keywords
substrate
mask
plasma
natural number
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023222475A
Other languages
English (en)
Inventor
亨 久松
Toru Hisamatsu
昌伸 本田
Masanobu Honda
嘉英 木原
Yoshihide Kihara
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2024026599A publication Critical patent/JP2024026599A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】パターンの制御性を改善する。【解決手段】プラズマ処理容器内で基板を処理する方法を提供する。本方法は、エッチング対象の下地層と下地層上にマスクを有する基板を提供する工程を含む。また、本方法は、マスク上に保護膜を形成する工程を含む。また、本方法は、異方性デポジションを実行して、保護膜の上部に堆積層を形成する工程を含む。【選択図】図1

Description

以下に開示する実施形態は、基板を処理する方法および装置に関する。
近年、継続的な集積度の増加に伴い様々なマスクパターニング技術が注目されている。ダブルパターニング、クアドラパターニングはその一例である。また、極端紫外線リソグラフィ(EUVL)やパターニングも広く研究されている。微細な間隔の1次元レイアウトパターンをEUVリソグラフィで実現した場合、その後のエッチングで高精度なクリティカル・ディメンション(CD)制御が必要となる。たとえば、原子スケールでのX-Y CD制御性や局所的変動の低減が求められる。局所的変動の指標としては、たとえば、ラインエッジラフネス(Line Edge Roughness:LER)、ラインウィズスラフネス(Line Width Roughness:LWR)、局所的なクリティカル・ディメンション均一性(Local Critical Dimension Uniformity:LCDU)等が挙げられる。
たとえば、EUVLを用いてパターニングされた形状のエッジを滑らかにするための方法および装置が提案されている(たとえば、特許文献1を参照)。また、高アスペクト比のホールのエッチング中に生じるマスクロスを低減するための技術が提案されている(たとえば、特許文献2を参照)。
米国特許出願公開第2018/0190503号明細書 米国特許出願公開第2018/0233357号明細書
本開示は、パターンの制御性を改善できる技術を提供する。
本開示の一態様による方法は、プラズマ処理容器内で基板を処理する方法である。本方法は、エッチング対象の下地層と下地層上にマスクを有する基板を提供する工程を含む。また、本方法は、マスク上に保護膜を形成する工程を含む。また、本方法は、異方性デポジションを実行して、保護膜の上部に堆積層を形成する工程を含む。
また、本開示の一態様による方法は、プラズマ処理容器内で基板を処理する方法である。本方法は、エッチング対象の下地層と下地層上にマスクを有する基板を提供する工程を含む。また、本方法は、Cと、N、O、HおよびFの少なくとも一つと、を所定の割合で含有する処理ガスからプラズマを生成し、プラズマに前記基板を暴露する工程を含む。Cにおいて、(i)xは1以上の自然数、yは1以上の自然数、かつ、zはゼロまたは1以上の自然数、または、(ii)xは1以上の自然数、yはゼロまたは1以上の自然数、かつ、zは1以上の自然数である。
また、本開示の一態様による方法は、プラズマ処理容器内で基板を処理する方法である。本方法は、エッチング対象の下地層と下地層上にマスクを有する基板を提供する工程を含む。また、本方法は、Cと、N、O、HおよびFの少なくとも一つと、を所定の割合で含有する処理ガスのプラズマに前記基板を暴露する工程を含む。また、本方法は、マスク上に保護膜を形成する工程を含む。また、本方法は、異方性デポジションを実行して、前記保護膜の上部に堆積層を形成する工程を含む。Cにおいて、(i)xは1以上の自然数、yは1以上の自然数、かつ、zはゼロまたは1以上の自然数、または、(ii)xは1以上の自然数、yはゼロまたは1以上の自然数、かつ、zは1以上の自然数である。
本開示によれば、パターンの制御性を改善できる。
図1は、一実施形態に係る基板処理方法の一例のフローチャートである。 図2は、一実施形態に係る基板処理方法の一例を説明するための概略図である。 図3は、一実施形態に係る異方性デポジションのメカニズムを説明するための図である。 図4は、一実施形態に係る異方性デポジションについて説明するための図である。 図5は、一実施形態に係る実験結果を示す図である。 図6は、処理対象パターンのアスペクト比と、当該パターンの側壁へのガスの付着係数との関係を示す図である。 図7は、処理対象パターンのアスペクト比と、当該パターンの底部におけるイオン密度との関係を示す図である。 図8Aは、比較例のマスクの減りについて説明するための図である。 図8Bは、一実施形態の異方性デポジションによるマスク増強について説明するための図である。 図9は、一実施形態に係る異方性デポジションにより形成された膜のエッチング選択比を示す図である。 図10Aは、一実施形態に係る例示的処理と比較処理とにより得られたパターン例を示す図である。 図10Bは、図10Aの実験結果を表したグラフである。 図11は、一実施形態による例示的処理によるLCDU改善効果を示す図である。 図12は、Direct Current Superposition(DCS)のメカニズムを説明するための図である。 図13は、容量結合プラズマ(CCP)型のプラズマシステムの概略構成を示す図である。 図14は、誘導結合プラズマ(ICP)型のプラズマシステムの概略構成を示す図である。
以下に、開示する実施形態について、図面に基づいて詳細に説明する。なお、本実施形態は限定的なものではない。また、各実施形態は、処理内容を矛盾させない範囲で適宜組み合わせることができる。
上述の通り、パターンラフネスは半導体製造におけるリソグラフィ技術の大きな課題となっている。リソグラフィ後エッチング前に形状のラフネスを減じるため、様々な試みがなされている。しかし、所望のCDを維持しつつLCDUを改善することは容易ではない。
(一実施形態に係る方法)
以下に説明する一実施形態に係る方法は、LCDUおよびX-Y CD制御性を改善する。図1は、一実施形態に係る基板処理方法の一例のフローチャートである。図2は、一実施形態に係る基板処理方法の一例を説明するための概略図である。
まず、基板100を提供する(ステップS101、図2(a))。基板100上には複数の層が形成されている。たとえば、下地層101(エッチング対象となる層)、反射防止膜102、マスク103等がこの順番に形成されている(図2(a)参照)。マスク103はEUVLで形成されてもよく、所定のパターンを有してもよい。その後、マスク103上に、保護膜200が形成される(ステップS102、図2(b))。保護膜200の上から、異方性デポジションを実行する(ステップS103、図2(c))。ステップS103における異方性デポジションの条件は、堆積物の層104がマスク103の頂部TPに選択的に形成されるように設定する。たとえば、層104は、実質的にマスク103の頂部TPのみに形成され、基板100上のパターンの底部BTMおよび/または側壁SDには形成されない。このため、ステップS103の異方性デポジションは、層104によりマスク103の厚みを実質的に増加させる。さらに、X-Y CD制御を実行してもよい(ステップS104)。X-Y CD制御には、後述する再デポジションを使用することができる。ステップS104の後、エッチングが実行され下地層101がエッチされる(ステップS105、図2(d))。さらに追加の処理を実行してもよい(ステップS106)。こうして、一実施形態に係る処理は終了する。
ここで、基板100はシリコン(Si)基板であってもよい。
下地層101は1以上の層を含んでもよい。下地層101は、酸化物、金属(ハフニウム、コバルト、タングステン、チタン等)または金属酸化物、導電膜(チタンシリサイド、チタンナイトライド、コバルトシリサイド等)、誘電材料(酸化シリコン、窒化シリコン、スピンオングラス(SOG)等)、ハードマスク材料(アモルファスカーボン、アモルファスシリコン等)等を含んでもよい。
反射防止膜102は、シリコン含有反射防止膜(SiARC)または窒素フリー反射防止膜(NFARL)であってもよい。下地膜101は、スピンオンカーボン(SOC)層を含んでもよい。
マスク103はフォトレジスト等の有機材料でできたマスクであってもよい。マスク103は、EUVリソグラフィで形成された有機フォトレジストマスクであってもよい。またマスク103はハードマスクであってもよい。ハードマスクはカーボン含有膜であってもよい。マスク103は、タングステン(W)またはチタン(Ti)等の金属を含有してもよい。
保護膜200は無機膜であってもよい。保護膜200はシリコンを含んでもよい。保護膜200はSiOまたはSiNを含んでもよい。保護膜200は金属を含んでもよい。保護膜200はDCS(Direct Current Superposition)、化学蒸着(CVD)、物理蒸着(PVD)またはALDによって形成してもよい。DCSについては後述する。上記説明では保護膜200は堆積物の層として説明した。これに代えて、保護膜200はマスク103の表面であってもよい。この場合、マスク103の表面はアルゴンガス等の処理ガスを用いたDCSにより改質(硬化)されて保護膜200として機能する。保護膜200は少なくとも1原子層の厚みを有する。たとえば、保護膜200は1~2ナノメートルの厚みを有する。
層104はカーボンを含んでもよい。
成膜を実現するための手法は特に限定されない。たとえば、CVD、PVD、ALD他の手法を成膜に用いることができる。同様に、エッチングはドライエッチング、原子層エッチング(ALE)等で実現することができる。ステップS103の異方性デポジションにおいては、Cと、少なくともN、O、HおよびFのうち一つと、を含有する処理ガスを使用することができる。たとえば、メタン(CH)と窒素(N)とを含有する処理ガスを使用できる。処理ガスはフッ化物(F)を含有しないことが好ましい。処理ガスは、Cを含有してもよいが、ここで、(i)xは1以上の自然数、yは1以上の自然数、zはゼロまたは1以上の自然数であるか、または、(ii)xは1以上の自然数、yはゼロまたは1以上の自然数、zは1以上の自然数である。一実施形態において、各処理は同一のプラズマ処理容器内で実行されてもよく、同一システム内で実行されてもよい。また、各処理は異なる処理容器内で実行されてもよく、異なるシステム内で実行されてもよい。
図1においては、異方性デポジション(ステップS103)の後に、X-Y CD制御を実行するものとして説明した。しかし、X-Y CD制御のための処理は異方性デポジション(ステップS103)の前に実行してもよい。また、X-Y CD制御のための処理は保護膜200が形成される前(すなわちステップS102の前)に実行されてもよい。たとえば、後述する均衡プラズマ処理(balanced plasma process)は、保護膜200が形成される前に実行されてもよい。
(異方性デポジション)
図1の処理中、異方性デポジションがステップS103において実行される。次に、異方性デポジションの詳細について説明する。
ここで、異方性デポジションとは、パターンの表面のうち一方向に延びる表面のみに実質的に堆積物が堆積して層を形成し、他方向に延びる表面には堆積しない成膜処理を指す。一実施形態において、堆積物の層は、実質的に基板上のパターンの頂部のみに形成され、パターンの底部または側壁には形成されない。異方性デポジションは、種々の処理条件を調整することで実現できる。本実施形態では、成膜効果とエッチング効果とのバランスを取ることで異方性デポジションを実現する。
図3は、一実施形態に係る異方性デポジションのメカニズムを示す。図3の例では、頂部TP、側壁SDおよび底部BTMを有するパターンが基板上に形成されている。このパターンに対して成膜処理を実行した場合、たとえばローディング効果により、堆積物は底部BTMまたは側壁SDに形成する層よりも厚い層を頂部TPに形成する。他方、このパターンに対してエッチング処理を実行した場合、エッチングされる量は頂部TPと底部BTMとで同量でありうる。つまり、カーボン(C)ガス等、パターン上への堆積物の形成に寄与するガスと、窒素ガス(N*、N+)等、パターンのエッチングに寄与するガスとの異なるガスが、プラズマ処理のために同時に基板に供給されると、エッチングの効果と成膜の効果とが底部BTMにおいては互いに相殺しあう一方で、頂部TPにおいては成膜の効果がエッチングの効果より顕著になりうる。図3の例では、堆積物の層は頂部TPのみに形成されうる。
図4は、一実施形態に係る異方性デポジションについて説明するための図である。
図4中、「初期状態」は、露光後のフォトレジストマスクの垂直断面を初期状態として示したものである。「CH/N:60s」は、CHとNとを含有する処理ガスを用いて60秒間異方性デポジションを実行した後のフォトレジストマスクの状態を示している。「N:60s」は、Nガスで60秒間処理を施した後のフォトレジストマスクの状態を示している。また、「CH:20s」は、CHガスで20秒間処理を施した後のフォトレジストマスクの状態を示している。
図4に示すように、CHガス(主として成膜効果を有する)とNガス(主としてエッチング効果を有する)とを、使用して60秒間処理を行ったとき、CDおよびマスク高のいずれも、初期状態において観察されたサイズから実質的に変化しなかった。他方、Nガスを単独で用いて60秒間処理を行ったときは、マスクが実質的に除去された。さらに、CHガスのみを用いて20秒間処理を行ったときは、マスク高は35.6ナノメートルから40.2ナノメートルに増加したが、マスクの全体形状は歪み、CDは30.8ナノメートルから28.2ナノメートルに減少した。このことから、一つの工程においてバランスをとった条件を使用して成膜およびエッチングの両方を実行することで、所望のCDとマスク高を実現可能と考えられる。ここでは、一つの工程の中で成膜効果とエッチング効果の均衡を実現する異方性デポジションを「均衡プラズマ処理(balanced plasma process)」と呼ぶ。
図5は、一実施形態に係る実験結果を示す図である。図5の例では、フォトレジストマスクが上に形成された基板を用いた。そして、図5に示すようにマスクにパターンを形成した(図5中、「初期状態」)。次に、処理容器内の上部電極に直流電流を印加することで生成したアルゴンガスのプラズマでマスクパターンを改質した。そして、CHとNを含有する処理ガスを用いて本実施形態の異方性デポジションを実行した。異方性デポジションの処理時間は、0秒(図5中、「例1」)、240秒(図5中、「例2」)、480秒(図5中、「例3」)とした。図5から明確に読み取れるように、異方性デポジションを実行しなかったとき(図5の「例1」)、本来矩形状のマスクパターン垂直断面は、頂部が歪んで台形状になった。他方、本実施形態の異方性デポジションを実行したとき、例2および例3に示すようにマスク高は実質的に増加した。加えて、異方性デポジションの結果、LWRが改善した。
(異方性デポジションに寄与する要因)
異方性デポジションに寄与する要因は種々存在する。異方性デポジションが実行されるプラズマ処理容器内の分圧、付着係数、基板温度、電荷密度すなわちイオン密度等である。
図6は、処理対象パターンのアスペクト比と、当該パターンの側壁へのガスの付着係数との関係を示す図である。図6から分かるように、付着係数が低いほど、穴の内部に輸送されるラジカルの量が増える。付着係数が高いほど、穴の内部に輸送されるラジカルが減少し、穴の側壁または底部上に堆積物の層が形成されにくくなる。このため、付着係数の差を利用してパターン側壁上への堆積量を制御することができる。このため、C、SiCl、CH等の付着係数が高いガスと、OやNのように付着係数が低いガスと、を組み合わせて異方性デポジションに用いることが好ましいだろう。
図7は、処理対象パターンのアスペクト比と、当該パターンの底部におけるイオン密度との関係を示す図である。パターン底部のイオン密度が低いとき、底部上の堆積物の量は減少する。言い換えれば、ローディング効果が大きくなる。図7に示すように、生成されるプラズマのエネルギ(eV)が低いほど、底部のイオン密度は低くなる。ということは、ローディング効果を利用するためには、エネルギが低い方が好ましい。
堆積物の量は印加電圧に基づいて制御することもできる。また、堆積物の量は基板が載置される静電チャック(ESC:Electrostatic Chuck)の温度に基づいて制御することもできる。堆積物の量は静電チャックの温度低下に応じて増加する。また、静電チャックは1以上の区画に分割して、各区画の温度を独立して制御できるようにしてもよい。そして、静電チャックの各区画の温度に基づいて堆積物の量を制御してもよい。これによって、静電チャック上に載置された基板上の堆積物の量の面内均一性を向上させることができるだろう。
上記のような要因を考慮した上で、一実施形態に係る異方性デポジションの処理条件を設定できる。
(マスク増強)
次に、本実施形態に係るマスク増強の効果について説明する。上記のように、マスク103がEUVLにより形成されたフォトレジストマスクである場合、マスク103の厚みは薄くなりがちである。たとえば、マスク103の厚みは約50ナノメートル程度である。このため、マスク103上からエッチングを実行するとマスク103がすぐにエッチングによって消滅してしまう。
本実施形態では、マスクを増強する(マスクを厚くする)ために、異方性デポジションを実行する。その後、さらに処理を実行してもよい。
図8Aは、比較例におけるマスクの膜減りを説明するための図である。図8Bは、一実施形態に係る異方性デポジションによるマスク増強を説明するための図である。
図8Aのサンプル基板S上には、下地層MLと、反射防止膜(ARC)と、フォトレジストマスクPRとがこの順番に形成されている。さらに、保護膜PFがフォトレジストマスクPR上に形成されている。図8Aの例では、DCS等の手法を用いて(図12参照)、保護膜PFを形成した。そして、再デポジション処理を実行した。
ここで、再デポジション処理は、成膜工程とスパッタリング工程とを含む。後続するスパッタリングにおいてスパッタされる材料が既にパターン中に存在している場合、成膜工程は省略してもよい。まず、成膜工程において、化学蒸着等の成膜法によりパターン上に堆積物が形成される。次に、スパッタリング工程において、加速された処理ガスのイオンを基板上のパターンに衝突させる。処理ガスはたとえば、アルゴン等の希ガスである。イオン衝撃により、パターン中の堆積物の粒子がパターンから飛び出し、飛び出したところから近い位置でパターン表面に再堆積する。穴を含むパターンの場合、比較的大きな穴であれば粒子が穴から飛び出しうるが、比較的小さな穴であれば粒子は穴の側壁に再堆積しうる。再デポジションはたとえばX>Yシュリンクを実現するために利用できる。ここでは、一例として再デポジションについて説明するが、X-Y制御に他の手法を用いてもよい。
図8Aの(2)に示すように、再デポジション処理を図8Aの(1)のサンプル基板に対して実行したとき、フォトレジストマスクPRの上端と反射防止膜(SiARC)の一部がエッチングにより除去され、理想的には矩形のフォトレジストマスクPRの断面が台形状に変化した。
図8Bは、一実施形態に係る異方性デポジションによるマスク増強を説明するための図である。図8Aと同様の基板を用いてまず、図8Aの例のように、DCSを用いて保護膜PFを形成した。次に、保護膜PFの上から本実施形態の異方性デポジションを実行した。結果として得られたパターンを図8Bの(1)に示す。図8Bの(1)から分かる通り、異方性デポジションによりマスク高は大きく増加し、元からあったフォトレジストマスクPRが十分に保持されている。その後、図8Aと同様の条件下で再デポジションを実行した。再デポジションを行ったにもかかわらず、フォトレジストマスクPRの矩形断面は実質的に変化せず、スパッタリングにより飛び出した粒子は主として反射防止膜(SiARC)の側壁に堆積した(図8Bの(2))。このため、フォトレジストマスクPRの形状が実質的に維持されると同時に、マスク高が大幅に増加した。このように、一実施形態によれば、所望のX-Y CDを実現するために数回にわたり再デポジションを実行した場合でも、マスクの膜減りやパターンの変形を回避することができるだろう。
(エッチング選択比の向上)
異方性デポジションによりマスク高を増加させた場合、マスクのエッチング選択比も改善される。
図9は、一実施形態に係る異方性デポジションにより形成された膜のエッチング選択比を示す図である。図9中、異方性デポジションにより形成されたマスクのエッチング選択比(図9中、「TOPDEPO」)と、EUVリソグラフィにより形成されたフォトレジストマスク(図8AのPR参照)のエッチング選択比(図9中、「EUV」)と、DCSにより形成された膜(図8AのPF参照)のエッチング選択比(図9中、「DCS」)と、が比較されている。CFを用いて10秒間フォトレジストマスクPRをエッチングしたとき、フォトレジストマスクPRは約20ナノメートル除去された。他方、本実施形態の異方性デポジションを用いて形成したマスクの除去された量は約10ナノメートルであった。このように、本実施形態の異方性デポジションにより形成したマスクは、特に処理を施していないEUVフォトレジストマスクPRと比較して高いエッチング選択比を有する。さらに、CFに代えてアルゴンガスを用いて120秒間エッチングした場合も、上記とほぼ同様の結果が得られた。
このように、一実施形態に係る異方性デポジションを実行した場合、パターンのCDを維持できるとともに、マスク高およびマスク品質(すなわちエッチング選択比)を向上させることができる。
(X-Y CD制御)
図10Aは、一実施形態に係る例示的処理と比較処理とにより得られたパターン例を示す図である。図10Bは、図10Aの実験結果を表したグラフである。
図10Aの例(1)では、パターン(「初期状態」)にはX-Y CD制御のため、DCSと再デポジションが施された。図10Aに示されているように、再デポジションの結果、楕円形のパターンは幅が狭くなっている。印加電圧が200(パルス)ワット+200ワットのとき、マスクパターンは閉塞した。また、印加電圧が3300ワット+200ワットのとき、穴のパターンが消失した(マスクブレイクと呼ぶ)。
他方、図10Aの例(2)では、パターンは一実施形態に係る異方性デポジションが施され、その後、X-Y CD制御のため再デポジションが実行された。図10Aの(2)から分かるように、楕円形の穴は印加電圧の値に関係なく元の形状を維持している。さらに、CDが維持され、X/Y比も十分に制御されている。
図10Bに示すように、比較例(1)では、マスク閉塞とマスクブレイクが発生した。この場合と同一の処理条件を用いて(つまり、処理容器内の電極に印加する電圧値を同一にして)本実施形態の異方性デポジションを実行した場合、比較例と比較してはるかに高いΔX/ΔY比が得られた。また、CDはほぼ変化しなかった。このように、本実施形態の異方性デポジションがX-Y CD制御性を改善する効果を有することが確認された。
ここで、X-Y CD制御とは、直行する2方向すなわちX方向とY方向とにおけるパターンのクリティカル・ディメンションの制御を意味する。たとえば、各々楕円形の水平断面を有する複数の穴を含むパターンを形成したとする。楕円形状の一軸たとえばX方向に沿った軸は、他軸たとえばY方向に沿った軸よりも短い。
ここで、パターンの寸法をX方向におけるよりもY方向において減少させる制御を「X<Yシュリンク」または「X<Y制御」と呼ぶ。また、パターンの寸法をY方向におけるよりもX方向において減少させる制御を「X>Yシュリンク」または「X>Y制御」と呼ぶ。また、パターンの寸法をX方向およびY方向の双方においてほぼ同量だけ減少させる制御を「X=Yシュリンク」または「X=Y制御」と呼ぶ。
(LCDU改善)
本発明者らは、EUVマスクに対して均衡プラズマ処理を実行したとき、LCDUが改善されることを確認した。図11は、一実施形態に係る例示的処理により得られたLCDU改善効果を示す図である。
図11の例(1)においては、シリコン基板上に、70ナノメートルの厚さのスピンオンカーボン(SOC)層を形成した。さらに、SOC層上に10ナノメートルの厚さのSiARC膜を形成した。SiARC膜上には、35ナノメートル厚のEUVマスクを形成した。露光後にLCDU(3σ)およびCDを検査したところ、CDは28.87ナノメートル、LCDUは3.59であった。また、均衡プラズマ処理とエッチングを続けて実行した後に検査したところ、CDは27.05ナノメートル、LCDUは2.01であった。このように、均衡プラズマ処理の場合、CDを大きく減じることなくLCDUが改善されている。図11の例(2)では、SOC層の厚みとSiARC膜の厚みをそれぞれ130ナノメートルと20ナノメートルに変更した。露光後の初期状態では、CDは25.33ナノメートル、LCDUは4.35であった。均衡プラズマ処理とエッチングを続けて実行した後に検査したところ、CDは25.45ナノメートル、LCDUは2.97であった。このように、いずれのサンプルでもCDを大きく減じることなくLCDUが改善された。
(DCS)
保護膜200(図1のステップS102および図2(b)を参照)は、DCSを用いて形成してもよい。図12はDCSのメカニズムを説明するための図である。DCSは、プラズマ処理容器内の上部電極に負の直流電圧を印加して、上部電極に含有されるシリコン等の材料を上部電極下に配置された基板の上に落下させることで基板を処理する手法である。DCSはたとえば、米国特許出願公開2018/0151333号明細書に記載の手法により実行できる。
DCSは、ウエハ上に膜を形成するために使用できる。また、DCSはH/Nを含有する処理ガスを用いる等してマスク103を改質するために使用できる。本実施形態では、マスク103上に保護膜200を形成するためにDCSを用いる。保護膜200の形成のため、さらにターゲットを基板上に配置してもよい。
(装置例)
実施形態に係る異方性デポジションを達成するため、異方性デポジションを実行するプラズマ処理装置は好ましくは、上部構造物すなわち上部電極に高周波電力を供給する機構を含む。プラズマ生成のための高周波電圧が下部構造物(すなわち下部電極)から供給されるとき、堆積物はパターンの底部に輸送されがちである。このため、本実施形態の異方性デポジションを実現するためには、誘導結合プラズマ(ICP)装置、上部電極に高周波電力を印加する形式の容量結合プラズマ(CCP)装置等を用いてもよい。
図13は、容量結合プラズマ(CCP)型のプラズマシステムを示す。図13のシステムは、処理容器1と、上部電極3と、下部電極4と、を含む。高周波電源(RF源)6,7から供給されるRF電力は、上部電極3および下部電極4に結合されている。パワーカップリングには、異なるRF周波数が含まれてもよい。下部電極4は、静電チャック(ESC)5を備え、基板Wを支持かつ保持する。ガス供給源8は、処理容器1に接続され、処理ガスを処理容器1内に供給する。ターボ分子ポンプ(TMP)等の排気装置9は、処理容器1に接続され、処理容器1内を排気する。上部電極3および下部電極4の少なくとも一方に高周波電力が供給されるに伴い、プラズマ2が上部電極3と下部電極4との間に生成される。代替的に、複数のRF電源6,7は、同一の電極に結合されていてもよい。また、可変直流(DC)電源10が上部電極3に結合されていてもよい。
図14は、誘導結合プラズマ(ICP)型プラズマシステムを示す図である。このシステムは、処理容器11と、誘電体窓21と、下部電極14とを備える。誘導体(コイル)20は、誘電体窓21上に配置される。高周波電源(RF源)16,17からのRF電力は、それぞれコイル20および下部電極14に結合される。下部電極14は、静電チャック(ESC)15を備え、基板Wを支持かつ保持する。ガス供給源18は、処理容器11に接続され、処理ガスを処理容器11内に供給する。ターボ分子ポンプ(TMP)等の排気装置19は、処理容器11に接続され、処理容器11内を排気する。誘電体窓21および下部電極14の少なくとも一方に高周波電力が供給されるに伴い、プラズマ12が誘電体窓21と下部電極14との間に生成される。
なお、均衡プラズマ処理は、保護膜200の形成前に、X-Y CD制御のために実行されてもよい。
今回開示された実施形態は全ての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその趣旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。
[付記1]
処理容器と、
前記処理容器内に設けられる基板支持台と、
前記処理容器内にプラズマを生成するプラズマ生成部と、
前記処理容器に接続されるガス供給部と、
制御部と、
を備える、基板を処理する装置であって、
前記制御部は、
エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
前記マスク上に保護膜を形成する工程と、
異方性デポジションを実行して、前記保護膜の上部に堆積層を形成する工程と、
を含む各工程を前記装置の各部に実行させる、装置。
[付記2]
処理容器と、
前記処理容器内に設けられる基板支持台と、
前記処理容器内にプラズマを生成するプラズマ生成部と、
前記処理容器に接続されるガス供給部と、
制御部と、
を備える、基板を処理する装置であって、
前記制御部は、
エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
と、N、O、HおよびFの少なくとも一つと、を所定の割合で含有する処理ガスからプラズマを生成し、前記プラズマに前記基板を暴露する工程と、を含み、
前記Cにおいて、
(i)xは1以上の自然数、yは1以上の自然数、かつ、zはゼロまたは1以上の自然数、または、
(ii)xは1以上の自然数、yはゼロまたは1以上の自然数、かつ、zは1以上の自然数
である方法を、前記装置の各部に実行させる、装置。
1,11 処理容器
2,12 プラズマ
3 上部電極
4,14 下部電極
5,15 静電チャック
6,7,16,17 RF電源
8,18 ガスソース
9,19 排気装置
10 可変直流電源
20 誘導体(コイル)
21 誘電体窓
100 基板
101 下地層
102 反射防止膜
103 マスク
200 保護膜
以下に開示する実施形態は、プラズマ処理装置に関する。

Claims (18)

  1. プラズマ処理容器内で基板を処理する方法であって、
    エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
    前記マスク上に保護膜を形成する工程と、
    異方性デポジションを実行して、前記保護膜の上部に堆積層を形成する工程と、
    を含む方法。
  2. 前記プラズマ処理容器上に上部電極を有し、
    前記保護膜を形成する工程は、
    前記上部電極に直流電流を印加して、前記基板の上方に配置されたターゲットをスパッタリングする、または、
    原子層堆積(ALD)、物理蒸着(PVD)および化学蒸着(CVD)の少なくとも一つを実行する
    ことによりシリコンを含有する前記保護膜を形成する、請求項1に記載の方法。
  3. 前記保護膜を形成する工程は、前記マスクの上面および側面に少なくとも一原子層の前記保護膜を形成する、請求項1に記載の方法。
  4. 前記マスクは有機膜でできており、
    前記保護膜は、無機材料または金属でできている、請求項1に記載の方法。
  5. 前記マスクは、金属を含有する、請求項1に記載の方法。
  6. 前記マスクは、タングステン(W)またはチタン(Ti)を含有する、請求項5に記載の方法。
  7. 前記堆積層を形成する工程は、
    と、N,O,HおよびFのうち少なくとも一つを含有する処理ガスからプラズマを生成して前記基板を前記プラズマに暴露して異方性デポジションを実行する、請求項1に記載の方法。
  8. 前記堆積層を形成する工程の後に、デポジションを生じる第1ガスのプラズマと、スパッタリングおよびエッチングのうち少なくとも一つを生じる第2ガスのプラズマとに、前記基板を連続的に暴露することで、前記基板上のパターン寸法を調整する工程をさらに含む、請求項1に記載の方法。
  9. 前記第1ガスはシリコン(Si)、C、および、NまたはOを含有し、
    前記第2ガスはHe、Ne、Ar、Kr、XeおよびNのうち少なくとも一つを含有する、請求項8に記載の方法。
  10. 前記第1ガスは、シリコン(Si)、C、および、NまたはOを含有し、
    前記第2ガスは、Cを含有する、請求項8に記載の方法。
  11. 前記堆積層を形成する工程の後に、前記堆積層が形成された前記マスクを介して前記下地層をエッチングする工程をさらに含む、請求項1に記載の方法。
  12. 前記堆積層を形成する工程および前記エッチングする工程は、同一のプラズマ処理容器内で、または、同一のシステム内で実行される、請求項11に記載の方法。
  13. プラズマ処理容器内で基板を処理する方法であって、
    エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
    と、N、O、HおよびFの少なくとも一つと、を所定の割合で含有する処理ガスからプラズマを生成し、前記プラズマに前記基板を暴露する工程と、を含み、
    前記Cにおいて、
    (i)xは1以上の自然数、yは1以上の自然数、かつ、zはゼロまたは1以上の自然数、または、
    (ii)xは1以上の自然数、yはゼロまたは1以上の自然数、かつ、zは1以上の自然数
    である方法。
  14. 前記所定の割合は、前記基板を暴露する工程においてエッチングとデポジションとが実質的に相殺しあう割合である、請求項13に記載の方法。
  15. プラズマ処理容器内で基板を処理する方法であって、
    エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
    と、N、O、HおよびFの少なくとも一つと、を所定の割合で含有する処理ガスのプラズマに前記基板を暴露する工程と、
    前記マスク上に保護膜を形成する工程と、
    異方性デポジションを実行して、前記保護膜の上部に堆積層を形成する工程と、を含み、
    前記Cにおいて、
    (i)xは1以上の自然数、yは1以上の自然数、かつ、zはゼロまたは1以上の自然数、または、
    (ii)xは1以上の自然数、yはゼロまたは1以上の自然数、かつ、zは1以上の自然数
    である方法。
  16. 前記堆積層を形成する工程の後に、前記基板上のパターン寸法を調整する工程をさらに含む、請求項15に記載の方法。
  17. 処理容器と、
    前記処理容器内に設けられる基板支持台と、
    前記処理容器内にプラズマを生成するプラズマ生成部と、
    前記処理容器に接続されるガス供給部と、
    制御部と、
    を備える、基板を処理する装置であって、
    前記制御部は、
    エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
    前記マスク上に保護膜を形成する工程と、
    異方性デポジションを実行して、前記保護膜の上部に堆積層を形成する工程と、
    を含む各工程を前記装置の各部に実行させる、装置。
  18. 処理容器と、
    前記処理容器内に設けられる基板支持台と、
    前記処理容器内にプラズマを生成するプラズマ生成部と、
    前記処理容器に接続されるガス供給部と、
    制御部と、
    を備える、基板を処理する装置であって、
    前記制御部は、
    エッチング対象の下地層と前記下地層上にマスクを有する基板を提供する工程と、
    と、N、O、HおよびFの少なくとも一つと、を所定の割合で含有する処理ガスからプラズマを生成し、前記プラズマに前記基板を暴露する工程と、を含み、
    前記Cにおいて、
    (i)xは1以上の自然数、yは1以上の自然数、かつ、zはゼロまたは1以上の自然数、または、
    (ii)xは1以上の自然数、yはゼロまたは1以上の自然数、かつ、zは1以上の自然数
    である方法を、前記装置の各部に実行させる、装置。
JP2023222475A 2019-01-31 2023-12-28 プラズマ処理装置 Pending JP2024026599A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/262,994 US10886136B2 (en) 2019-01-31 2019-01-31 Method for processing substrates
US16/262,994 2019-01-31
JP2020001754A JP7414535B2 (ja) 2019-01-31 2020-01-08 基板を処理する方法および装置

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020001754A Division JP7414535B2 (ja) 2019-01-31 2020-01-08 基板を処理する方法および装置

Publications (1)

Publication Number Publication Date
JP2024026599A true JP2024026599A (ja) 2024-02-28

Family

ID=71835756

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020001754A Active JP7414535B2 (ja) 2019-01-31 2020-01-08 基板を処理する方法および装置
JP2023222475A Pending JP2024026599A (ja) 2019-01-31 2023-12-28 プラズマ処理装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020001754A Active JP7414535B2 (ja) 2019-01-31 2020-01-08 基板を処理する方法および装置

Country Status (5)

Country Link
US (3) US10886136B2 (ja)
JP (2) JP7414535B2 (ja)
KR (1) KR20200095393A (ja)
CN (1) CN111508827A (ja)
TW (1) TW202045749A (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates
TW202308466A (zh) 2021-06-22 2023-02-16 日商東京威力科創股份有限公司 電漿處理方法、電漿處理裝置及電漿處理系統

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0635884A1 (de) * 1993-07-13 1995-01-25 Siemens Aktiengesellschaft Verfahren zur Herstellung eines Grabens in einem Substrat und dessen Verwendung in der Smart-Power-Technologie
JP3385729B2 (ja) * 1994-07-13 2003-03-10 ソニー株式会社 プラズマエッチング方法
US6093332A (en) * 1998-02-04 2000-07-25 Lam Research Corporation Methods for reducing mask erosion during plasma etching
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7572386B2 (en) * 2006-08-07 2009-08-11 Tokyo Electron Limited Method of treating a mask layer prior to performing an etching process
JP2010286418A (ja) * 2009-06-15 2010-12-24 Sony Corp 表面プラズモン発生装置およびその製造方法
CN102079503B (zh) * 2009-11-26 2012-08-29 中芯国际集成电路制造(上海)有限公司 构成mems器件的硅衬底的刻蚀方法
US8609548B2 (en) * 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8598016B2 (en) * 2011-06-15 2013-12-03 Applied Materials, Inc. In-situ deposited mask layer for device singulation by laser scribing and plasma etch
JP6050944B2 (ja) 2012-04-05 2016-12-21 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマ処理装置
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
JP6063264B2 (ja) 2012-09-13 2017-01-18 東京エレクトロン株式会社 被処理基体を処理する方法、及びプラズマ処理装置
JP6529357B2 (ja) 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US9922839B2 (en) * 2015-06-23 2018-03-20 Lam Research Corporation Low roughness EUV lithography
JP2017098478A (ja) * 2015-11-27 2017-06-01 東京エレクトロン株式会社 エッチング方法
US20170178899A1 (en) 2015-12-18 2017-06-22 Lam Research Corporation Directional deposition on patterned structures
JP6541618B2 (ja) 2016-05-25 2019-07-10 東京エレクトロン株式会社 被処理体を処理する方法
JP2018049976A (ja) 2016-09-23 2018-03-29 東芝メモリ株式会社 半導体装置の製造方法
US10854430B2 (en) 2016-11-30 2020-12-01 Tokyo Electron Limited Plasma etching method
JP6861535B2 (ja) 2017-02-28 2021-04-21 東京エレクトロン株式会社 処理方法及びプラズマ処理装置
CN110431655A (zh) 2017-03-17 2019-11-08 东京毅力科创株式会社 用于蚀刻度量改进的表面改性控制
US10886136B2 (en) * 2019-01-31 2021-01-05 Tokyo Electron Limited Method for processing substrates

Also Published As

Publication number Publication date
US20200251344A1 (en) 2020-08-06
US10886136B2 (en) 2021-01-05
JP2020126999A (ja) 2020-08-20
US20210111034A1 (en) 2021-04-15
US11495469B2 (en) 2022-11-08
KR20200095393A (ko) 2020-08-10
CN111508827A (zh) 2020-08-07
TW202045749A (zh) 2020-12-16
JP7414535B2 (ja) 2024-01-16
US20230035391A1 (en) 2023-02-02

Similar Documents

Publication Publication Date Title
US10438797B2 (en) Method of quasi atomic layer etching
JP7058080B2 (ja) Aleおよび選択的蒸着を用いた基板のエッチング
CN107431011B (zh) 用于原子层蚀刻的方法
JP2024026599A (ja) プラズマ処理装置
JP5674375B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI618145B (zh) 電漿蝕刻方法及電漿蝕刻裝置
US9330935B2 (en) Plasma etching method and plasma etching apparatus
JP5271267B2 (ja) エッチング処理を実行する前のマスク層処理方法
KR20090119266A (ko) 박막패턴 형성방법
KR100894345B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
WO2018044713A1 (en) Method of quasi-atomic layer etching of silicon nitride
JP5065787B2 (ja) プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
TW201724252A (zh) 蝕刻方法
JP2021007186A (ja) 被処理体を処理する方法
TWI713486B (zh) 蝕刻方法(二)
US10658192B2 (en) Selective oxide etching method for self-aligned multiple patterning
CN110783187A (zh) 等离子体处理方法和等离子体处理装置
US20180358233A1 (en) Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
US10607835B2 (en) Etching method
KR102638422B1 (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
US10607852B2 (en) Selective nitride etching method for self-aligned multiple patterning
US11328934B2 (en) Etching method and substrate processing apparatus
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240126

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240126