JP2006173637A - ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成 - Google Patents

ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成 Download PDF

Info

Publication number
JP2006173637A
JP2006173637A JP2005364987A JP2005364987A JP2006173637A JP 2006173637 A JP2006173637 A JP 2006173637A JP 2005364987 A JP2005364987 A JP 2005364987A JP 2005364987 A JP2005364987 A JP 2005364987A JP 2006173637 A JP2006173637 A JP 2006173637A
Authority
JP
Japan
Prior art keywords
wafer
layer
depositing
conductive material
air gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005364987A
Other languages
English (en)
Other versions
JP5110792B2 (ja
Inventor
Serge Vanhaelemeersch
セルジュ・ヴァンエルメールシュ
Eddy Kunnen
エディ・クネン
Laure Carbonell
ロール・カルボネル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2006173637A publication Critical patent/JP2006173637A/ja
Application granted granted Critical
Publication of JP5110792B2 publication Critical patent/JP5110792B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76264SOI together with lateral isolation, e.g. using local oxidation of silicon, or dielectric or polycristalline material refilled trench or air gap isolation regions, e.g. completely isolated semiconductor islands
    • H01L21/76289Lateral isolation by air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1094Conducting structures comprising nanotubes or nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

【課題】サーマルバジェットに関する問題を解決し、三次元の積層半導体素子を作成する方法を提供する。
【解決手段】基板内にホールをパターニングするステップと、このホールに部分的に犠牲材料を充填するステップと、このホールの充填されていない部分の側壁にスペーサを形成し開口を狭めるステップと、犠牲材料の残留物をこの狭められた開口から除去するステップと、最後にスペーサ上に共形層を堆積することでエアギャップの開口を密封するステップとから構成される、半導体基板内に深いエアギャップを形成する方法が開示される。深いビアエアギャップを形成する方法はウェハ同士の垂直積層を作成するのに用いられる。従来のFEOLおよびBEOL処理の完了後、深いビアエアギャップが開口されるようにウェハの裏面が薄くされ、導電性材料がこのビア開口内に堆積され、導電性材料が充填されたスルーウェハもしくは深いビアが作成される。
【選択図】図3

Description

(関連出願のクロスリファレンス)
本出願は、2004年12月17日に出願された米国仮出願No.60/637,288の利益を主張するものであり、同出願は参照することにより全体として本明細書に組み込まれ本明細書の一部を構成する。
本発明は、半導体基板内の、深いビアエアギャップとも称される、気体(空気)を充填された深いビアを形成する分野に関する。この深いビアエアギャップは、ウェハの垂直積層もしくは三次元半導体集積回路装置とも称される、三次元(3D)のウェハ同士の相互接続構造のための、導電性材料を充填されたスルーウェハビアを作成するのに用いられる。
数十年にわたって、半導体製造業者は、ムーアの法則−チップのパフォーマンスは18ヶ月ごとに倍になる−に述べられるスピードとパフォーマンスの毎年の増加を達成するために、集積回路におけるトランジスタのサイズを縮小してきた。ムーアの法則は、RC遅延が信号伝搬遅延と比較してごくわずかであったからこそ存在する。しかし、サブミクロン技術については、RC遅延は主要な要因である。銅冶金、low−k誘電体および化学機械研磨(CMP)への変更が、RC遅延を低減させ、デバイススケーリングによって予想されるパフォーマンスの増加を可能にし、ムーアの法則をこの十年、続けさせてきた。
銅およびCMPはスムーズに集積回路作成プロセスに統合されてきたが、Spin−on、もしくはCVD low−k誘電体についてはそうではない。誘電率、そしてライン容量を低減させるために、集積回路製造業者は最後の手段としてチップ生産において前歴のない材料に頼らざるを得なかった。半導体産業は、実現の遅れの主要な要因であると考えられる信頼性と生産性の問題から真のlow−k (k<2.8)ILDへの移行を三度(3ノード)先送りしてきた。
low−kがスムーズに統合できないことは、我々が知っているように、デバイススケーリングの終わりに関する多くの論議を生じ、現在の二次元シリコン装置の認識されている限界を超える解決法の探索を急がせた。新たな解決法は三次元統合、または三次元相互接続と称されるものである。三次元相互接続構造は、サイズの縮小のためだけではなく有利であり、他の要因として、二次元構造においては長い相互接続が大変遅く、大変多くのパワーを消費するということがある。もし二次元構造において必要とされる大量の長い相互接続が短い垂直相互接続に置換できるならば、これはパフォーマンスを大いによくするであろう。シリコン基板が垂直相互接続によって消費されるが、ウェハ間の有効な相互接続密度は、最小の領域ペナルティーで達成される。図1は二次元集積スキームと三次元集積スキームとの比較を図示しており、二次元構造で必要とされる大量の長い相互接続が短い垂直相互接続で置換され、大いにパフォーマンスをよくしている様子を明瞭に示している。具体的には、単に2つのウェハを積層するだけで、パス長さの削減とトランジスタを接続するのに必要な金属層とにより、15%のパフォーマンス増加、30%のパワー削減、15%のコスト削減をもたらす。
従来技術において、銅が充填された“スルーウェハ”ビアによってシリコンを介した垂直接続でのダイレクトなウェハ同士(ダイ同士)の積層が実現される、ウェハの三次元積層を実現するためのいくつかの解決法が記載されている。これらのスルーウェハビアは、フロントエンドオブライン(FEOL)処理全ての完了後でしばしばBEOL処理の完了後にエッチングされる。このスルーウェハビアに銅などの導電性材料を充填するために、改良されたダマシン法(damascene)の技術が用いられる。
“スルーウェハ”ビアもしくは深いビアの実現において、解決すべき問題が未だ多くある。第1の問題は、BEOL、FEOL中に深いビアを、続いてシリコン基板をエッチングした後に得られるサーマルバジェットに関する。これらの深いビアには銅などの導電性材料、TaNなどのバリア層、任意には銅のシード層が充填される。この導電性材料を基板の大部分から絶縁するには、ビア内に誘電性アイソレーター(ライナー)を堆積する必要がある。典型的に、これらの誘電性アイソレーター(例えばTEOS oxide)は、この導電性材料の堆積より前に、処理のこの段階には適合しない(サーマルバジェットは450°Cより低いことが望ましい)、通常比較的高いサーマルバジェットを有する熱プラズマ助長堆積技術(LP−CVD、ALD、PE−CVDなど)によって堆積される。
この深いビアの作成についての第2の問題は、処理のこの段階では達成されることが大変困難なハードマスク選択(エッチ)処理に関する。大変厚いハードマスク層が(下の層へのダメージを防ぐために)堆積される必要があり、後に、例えばハードマスク残留物の原因となり、化学機械研磨(CMP)によって除去する必要がある。このCMP処理は大変危険で、処理のこの段階においては行うことが困難である。例えばWコンタクトプラグへのダメージは完全に回避されなければならない。
米国特許第6,448,174号 米国特許第6,645,832号
米国特許第6,448,174号で、ビアホールはFEOLおよびBEOL処理の完了後に作成される。これらのビアホールには、まず有機接着層が充填されるので、ハンドリングウェハ(キャリアウェハとも称される)が上の基板上に貼付けられる。続いて、ビアが裏から開口され、続く処理で第2のウェハ表面に接続されるように、ウェハの裏面がビアホールのところまで薄くされる。そこでキャリアウェハと有機接着剤は除去され、ビアにタングステンなどの導電性材料が充填される。
米国特許第6,645,832号で、まず能動集積回路素子を含む2つのウェハ(FEOLおよびBEOL処理の完了後)が、両方のウェハの対向する(上部)表面に堆積された金属接着層によって、両方のウェハ上の能動素子間に電気接続が確立されるように、指定された接着領域で接着される。ウェハ接着が完了した後、両方のウェハの能動素子間の電気接続と外部の相互接続を確立するために、上のウェハに1つ以上のウェハ間ビアがエッチングされる。
半導体基板内に“深いビアエアギャップ”を形成する方法が開示される。より具体的にはこの深いビアエアギャップは、Siウェハなどの半導体基板内のフロントエンドオブライン(FEOL)とバックエンドオブライン(BEOL)処理などの半導体処理の前に作成される。好適には、この深いビアエアギャップの直径は1μmから10μmで、より好適には、2μmから6μmである。好適には、この深いビアエアギャップの基板内の深さ(長さとも称される)は、10μmから100μmで、より好適には20μmから50μmである。
深いビアエアギャップを形成する方法は、まずビア(ホール)を基板内にパターニングするステップから成る。この基板は好適にはSiウェハなどの半導体基板で、いかなる半導体処理よりも前、例えば能動部品の作成が行われる前が最も好適である。このビア内で、まず分離ライナーが側壁を酸化環境に露出させながら側壁上に熱成長され、任意に、また深いビアエアギャップのさらなる利用によって、窒化層上の窒化層と二酸化ケイ素層の組み合わせや、バリア層上の銅バリア層と二酸化ケイ素層の組み合わせなどの予備の共形層が堆積される。好適には、この分離ライナーはテトラエチルオルトシリケート酸化物(TEOS−oxide)などの二酸化ケイ素や、Siなどの窒化物でできている。続いて、このビアに部分的もしくは全体的に犠牲材料(例えば、ポリシリコン)を充填する。
ビアに完全に犠牲材料が充填される場合、部分的に充填されたビアが作成されるように、ドライエッチングやウェットエッチングなどの技術がこの犠牲材料を除去するのに用いられてもよい。
この部分的に充填されたビア内で、スペーサがビアの充填されていない部分の側壁に形成される。このスペーサは好適にはTEOS−oxideでできていて、ビアの開口を狭めるのに用いられる。“深いビアエアギャップ”が作成されるように、この狭められた開口を介して犠牲材料の残りが好適に(例えば等方性エッチングによって)除去され、ビアの開口は共形層(TEOS−oxide)をスペーサ上に堆積することで密封される。この深いビアエアギャップの密封部分はまた、エアギャッププラグとも称される。
深いビアエアギャップを形成する方法は、好適には、ウェハ同士もしくはダイ同士の相互接続、またはウェハもしくはダイの三次元(3D)積層とも称される、導電性材料を充填されたスルーウェハもしくは深いビアを実現するのに用いられる。この利用において、コンタクトプラグ(例えばWプラグ)が、BEOL内の(銅)ラインとの相互接続を確立するためにエアギャッププラグ内に作成される。好適な実施の形態における、FEOL処理の前に作成されるエアギャップビアから出発する、ウェハ同士の相互接続のためのスルーウェハもしくは深いビアを作成するという方法の有利な点は、この段階においてサーマルバジェットがなく、ビアのパターニングに用いられるハードマスク層の選択は無制限で、BEOLレベルの有用な表面領域の浪費がない、ということである。前述の問題を克服するために、まず深いビアエアギャップが、FEOL処理が始まる前に作成され、BEOL処理の完了後に、この深いビアエアギャップが、導電性材料が充填されたスルーウェハもしくは深いビアの中に移動される。FEOL処理の前の深いビア形成の間、サーマルバジェットは無制限であり、通常高温で堆積されるLP−CVD−TEOSもしくはSiなどの広範囲の等角で良質の分離ライナーが、この深いビアの側壁上に堆積されてもよい。導電性材料が充填された深いビアを絶縁するのに、この分離ライナーは(さらなる処理で説明されるように)バルクのSi基板に関して堆積される必要がある。任意には、深いビアエアギャップの側壁上に堆積されるバリア層でもよい。
したがって、第1の様態において、本発明の深いビアエアギャップを導電性材料が充填されたスルーウェハもしくは深いビアに移動させる方法が開示される。この移動は、FEOLおよびBEOL処理が全て完了した後に実現される。好適にはこの方法は、深いビアエアギャップを開口するようにウェハの裏面を薄くすることから始まり、このウェハを薄くすることは、好適には化学機械研磨、研磨および/またはシリコンウェットエッチングプロセスによって達成される。続いて、コンタクトプラグ(例えばWプラグ)が深いビアエアギャップの底面から自由になるように、エアギャッププラグの一部分が除去される。もしバリア層がまだ堆積されていなければ、バリア層は、導電性材料が基板に移動することを防ぐため、この深いビア内の側壁上に形成される必要がある。そこで導電性材料は深いビア内に堆積される。この導電性材料は銅、アルミニウム、タングステンなどの金属、導電性ポリマー、金属シリサイドおよび/または導電性カーボンナノチューブ(CNT)、導電性ナノワイヤーであってもよい。従来の物理的気相堆積(PVD)、化学気相堆積(CVD)などの堆積プロセス、電気めっき(ECP)や電着(ECD)などの電気化学的方法、および直接めっきがこのビアを充填するのに用いられ、必要であればもしくは任意に、シード層がまず堆積されてもよい。もしコンタクトプラグが電気化学的めっきのスタートポイントとして用いられるならば、まずシード層を堆積する必要はない。
本発明の方法は、三次元積層された半導体素子を作成するのに用いられる。この素子はまた三次元の相互接続(IC)積層、ウェハ同士の接着およびウェハ積層とも称される。この素子は、少なくとも導電性材料が充填されたスルーウェハビアを有する第1のウェハと第2のウェハから構成されることで特徴付けられる。このスルーウェハビアは、第1のウェハのウェハ基板内に完全に位置するという点で特徴付けられる。両方のウェハは能動集積回路素子(FEOLおよびBEOL相互接続構造)から成る。この第1および第2のウェハは、第1のウェハ内に位置するスルーウェハビアによってお互いに接触しており、好適には、スルーウェハビアから成る第1のウェハの裏面が第2のウェハの正面に接続される(接着とも称される)。より具体的には、スルーウェハビアは第2のウェハ内に位置する相互接続構造に接続される。
ウェハ(ダイ)の三次元積層を含む出願は、例えば、個々のロジックやメモリを有する製品の積層や、低パワーのユニットと、欠陥に耐性のあるメモリユニットとSOC(チップ上のシステム)タイプの製品との積層を含む。
全ての図面は、態様および実施の形態の一部を説明することを目的としている。明瞭さの観点から、装置は簡略化されて描写されている。全ての代替例およびオプションが示されているわけではないため、好適な実施の形態は与えられた図面の内容に限定されるものではない。異なる図面において同じ部分を参照するのに、同じ参照番号が用いられる。
以下の記載および実施例は本発明の好適な実施例を詳細に説明する。当業者は、本発明の範囲に包含される、本発明の数々の変形や改良が存在することを認めるであろう。従って、好適な実施の形態の記載は本発明の範囲を限定するものとはみなされない。
本明細書において“犠牲”の語は、装置を作成するプロセスにおいて、最終的な装置には少なくとも全体的には残らない一時的に堆積される材料や層を、もしくは少なくとも部分的には除去されることになっている材料や層を表す語として、広義に制限なく用いられている。
本明細書において“スペーサ”の語は、ビアの開口を狭めるために、部分的に犠牲材料が充填されているビアの側壁の覆われていない部分に堆積する材料や層を表す語として、広義に制限なく用いられている。スペーサを形成するステップは2つのサブステップを含むことができる:好ましくはコンフォーマル堆積プロセスによって、開口を塞ぐか実質的に狭めるかするスペーサ材料の層を堆積するステップと、その後スペーサ材料の層をエッチバックし、それによってスペーサが形成されるステップである。エッチバックステップは適切ないかなるエッチングプロセスによって行われてもよく、好ましくは異方性ドライエッチングプロセスによって行われる(反応性イオンエッチング、RIEとも称される)。
“ウェハ積層”の語は、少なくとも2つのウェハを互いに積層する垂直積層を含み、第1のウェハ内に位置し第2のウェハの相互接続構造に接続するスルーシリコンもしくはスルーウェハのビア(相互接続)を用いる。スルーシリコンもしくはスルーウェハのビアは導電性材料を充填されている。好ましくは、ウェハは同じサイズおよび同じ形のウェハであるが、これに限定されるものではない。
“垂直に”積層されたウェハ、もしくはウェハの垂直積層とは少なくとも2つのウェハの積層を意味し、第1のウェハの裏面は第2のウェハの正面に、第1のウェハ内に位置する少なくとも1つのスルーシリコン(スルーウェハ)のビアによって接続されており、両ウェハの相互接続構造を接続している。これは数回繰り返すことができる。
深いビアエアギャップの形成方法
深いビアエアギャップの一般的な形成方法が以下に説明される。この方法は図4Aから4Hに概略的に示される。図中に描写される例において、基板1はSiウェハである。基板1は好ましくは、FEOL処理などの半導体処理が行われる前のベアシリコンウェハである。基板1を保護する場合には、“基板保護層”2が堆積されてもよい。続いて、この保護層2および基板はパターニングされる。深いビアエアギャップを作成するためには、まずビアがパターニングされる必要がある。このパターニングを行うには、感光層が堆積される必要があり、ビアパターンがこの感光層にフォトリソグラフィを用いて転写される。任意かつ好適には、まずハードマスク層が基板上に堆積される。このハードマスク層は、ドライエッチング処理を用いてフォトリソグラフィーパターンを転写するために用いられる。処理のこの段階では制限サーマルバジェットは存在せず、広範囲にわたるハードマスク層が適している。そこでハードマスクパターンはドライエッチング処理を用いて基板に転写される。
この深いビアエアギャップの直径は好適には1μmから10μmで、より好適には2μmから6μmである。この深いビアエアギャップの深さ(基板内の)は10μmから100μmで、より好適には20μmから50μmである。
図4Aから図4Hに示される例において、ビアの異方性パターニングはドライエッチングによって行われる(例えば、反応性イオンプラズマを基礎としたエッチング)。図4Bおよび4Cに示されるように、まず分離層がビア内に形成されてもよい。この層は、後で犠牲材料4を基板1に関して選択的に除去することが難しい場合に用いられる。図中に描写される例において、ビア内においてまず分離ライナーが、側壁を酸化環境に露出することによって側壁上に熱的に成長され、より具体的には、分離層としての20nmの酸化物層が熱的もしくはウエット酸化プロセスによってSiビア表面に成長させられる(図4Bに描写されている)。
任意には、そして深いビアエアギャップのさらなる適用に応じて、分離層もしくはバリア層としての機能を果たす少なくとも1つの追加(共形)層22が、例えば窒化ケイ素層上の窒化ケイ素層と二酸化ケイ素層の組み合わせや、バリア層上の銅バリア層と二酸化ケイ素層の組み合わせなどのビアの側壁上に堆積されてもよい。好ましくはこの分離ライナーは、オルトケイ酸テトラエチル(TEOS−oxide)などの二酸化ケイ素、もしくはSiなどの窒化ケイ素でできている。図4Cに示される追加層22の厚さは可変でよい(300nm程度以下)。追加層22の材料に応じて、この層は分離層として機能することができ、もしくは深いビアエアギャップがスルーウェハビアを作成するために用いられる場合は、導電性材料の基板への移動を防ぐバリア層として機能する予備のライナーが(任意に)堆積されることができる。追加層が分離層として機能する場合、堆積された材料はTEOS−oxideやSiなどの酸化物であるが、その他のいかなる適する誘電性材料も代替的に用いられる。
続いて、ビアを充填するために犠牲材料4が堆積される(図4Dを参照のこと)。いかなる適切な犠牲材料が用いられてもよい。一般的にはポリシリコンが好まれるが、他の材料、例えば、アモルファスシリコン、酸化物(ウェットエッチングにより除去される)、十分なサーマルバジェットを有する適切な有機材料などが代替的に用いられる。化学機械研磨(CMP)もしくは代替的にエッチバックプロセス(ウェットもしくはドライ)が、この材料を堆積されたライナー22に関して平坦化させるために用いられてもよい。化学機械研磨(CMP)が平坦化の方法として用いられる場合、堆積されたライナー22は停止層として機能してもよい。
ビア内の犠牲材料4が、保護層2の上面より下の面が得られるまで除去され(例えばエッチバックによって)、部分的に充填されたビアが作成される(図4E参照)。
図4Fにおいて、スペーサ5がビアの開口を狭めるために形成される。スペーサは堆積プロセス(例えば、コンフォーマル堆積プロセス)によって形成され、続いてエッチバックプロセス(例えば、異方性エッチバックプロセス)が行われる。図中に描写される例においては、酸化物スペーサが形成され、より具体的には、TEOS−oxideスペーサが形成される。ドライエッチングのエンドポイントのトリガーを簡単にするために、まず10nmの窒化ライナーが堆積されてもよい。窒化層はエンドポイントの発見を容易にする。図中に描写される例において、スペーサ形成後のスペーサ間の距離は狭ければ狭いほどよい。
スペーサエッチングの後、犠牲材料はスペーサ間に形成された開口から除去される(図4Gを参照)。図中に描写される例において、犠牲材料はポリシリコンであり、好ましくは、例えばSFベースの等方性ドライエッチプラズマによって除去される。その他の反応性気体が用いられてもよい。同じく、ダウンストリームエッチングなどの技術も適切に用いられる。犠牲材料はスペーサ間の開口から出られる気体に気化する。酸化物が保護層として用いられる場合、エッチングは酸化物に関して選択的である。
最後に、スペーサ間の開口が堆積プロセスによって密封される。図中に描写される例において、コンフォーマル酸化物堆積プロセスが、密封層6(例えば、化学気相堆積によって形成されるTEOS層)を形成するのに用いられる。図4Hは、開口を密封した後に形成される、深いビアエアギャップ7を示しており、わずかな量のシーリング材がビア内に堆積される(図示されない)。堆積された密封層6は、更に平坦化され(例えば化学機械平坦化によって)、保護層2で止まってもよい。したがって、完全に基板内に位置する深いビアエアギャップが作成される。エアギャップの密封には通常上記の手順が好ましいが、その他の堆積プロセスも利用可能である。同じく、非コンフォーマル堆積プロセスも代替的に用いられてもよい。
その他の代替的方法において、犠牲材料4の堆積は、部分的に充填されたビアが作成され、それ以上のエッチバックは行われないというものである。
図7は、等方性エッチングによって犠牲材料(ポリシリコン)を除去した後のエアギャップ18の形成およびエアギャップを閉じる共形層6の堆積(例えば、化学気相堆積によるTEOS−oxideの堆積)を説明する、走査型電子顕微鏡の写真である。
深いビアエアギャップに導電性材料を充填し、三次元ウェハ相互接続のためのスルーウェハビア相互接続を作成する方法
深いビアエアギャップを形成するための好適な実施形態の方法は、三次元ウェハ相互接続構造を作成するための深いビアもしくはスルーウェハビアの形成にうまく適用することができる。この深いビアは約10μmから約100μm(最も好適には20μmから50μm)の典型的なビア深さと、約1μmから10μm(最も好適には2μmから6μm)の直径とを有する。
エアギャップビアから出発する深いビアもしくはスルーウェハビアを作成するために必要とされる追加処理ステップは、図5Aから図5Hに示されている。前述のようにエアギャップビアを作成した後に、エアギャッププラグ8内にコンタクトホールが作成される。これらのコンタクトホールは、後に作成されるBEOL構造の(銅)相互接続ラインとの電気接続を確立するために必要とされる。好適にはこれらのコンタクトホールは約100nmから200nmの、最も好適には約150nmの直径を有する。しかし、深いビアがより大きいサイズであるため、より大きい接触が考えられる。好適にはこれらのコンタクトホールは従来のFEOL処理の間に作成される。より好適には、これらのコンタクトホールはFEOLの能動領域(ソース、ドレイン、ゲートから構成される)内のWプラグの形成と同時に作成される。まず、層がエアギャップビアと能動領域から構成される基板上に堆積される。この堆積層10は好適には約50nmの厚さを有し、好適にはSiON、Si、SiC、SiCNでできているが、これに限らない。堆積層10の上にはプレメタル絶縁(PMD)層13が堆積される。ドライエッチング処理によってコンタクトホール11を作成するパターンがPMD層13に転写される。このパターンは、この堆積層10に関して選択的なエッチングプロセスによってPMD層13にエッチングされ、この堆積層10を図5Cおよび図5Dに示されるように停止層として用いる。続いて、作成されたコンタクトホール11の底辺の堆積層10が除去され、エアギャッププラグ8内のコンタクトホール11は図5Eに示されるようにわずかに延びる。これらのコンタクトホール内で、TiNなどのバリア層がまず堆積され、続いてこれらのコンタクトホールに導電性材料が充填され、コンタクトプラグ12が作成される。好ましくは、これらコンタクトプラグはタングステン(W)によって作成される。続いて、IMD層15が堆積され、このIMD層内に導電性構造14が作成され、プラグ12とBEOLのBEOL相互接続構造との間に接続を確立する。導電性構造のパターンを転写することが必要とされる追加層が、まず堆積される必要がある。BEOLの相互接続ラインへの接続が確立されるようにコンタクトプラグ12上に堆積された、コンタクトプラグ12と導電性構造14(好ましくは銅でできている)で構成される最後の深いビアエアギャップが、図5Hに示されている。
導電性材料が充填されたスルーウェハもしくは深いビアを作成するための異なる処理ステップが図6Aから図6Eに示されている。まず、深いビアエアギャップが開口されるようにウェハの裏面が薄くされる(図6Aに示されている)。このウェハの薄層化は好ましくは化学機械研磨、研磨、および/またはシリコンウェットエッチングプロセスによって行われる。扱いを簡単にするために、好適にはまずウェハ(正面)がキャリアウェハ上に堆積される。続いて、コンタクトプラグ12(例えば、Wプラグ)が深いビアエアギャップの底辺から自由になるようにエアギャッププラグ8の一部が除去される。バリア層がまだ堆積されていなければ、導電性材料の基板への移動を防ぐために、バリア層16は深いビア7の側壁上に形成される必要がある。そして導電性材料は深いビア内に堆積され、この導電性材料としては好適には、銅(Cu)、アルミニウム(Al)、タングステン(W)であり、代替的には導電性ポリマー、金属シリサイドおよび/または導電性カーボン(CNT)もしくは導電性ナノワイヤーが考えられる。堆積プロセスとしては、従来の物理的気相成長法(PVD)、化学気相成長法(CVD)、無電解めっき、電気めっき、および(直接)めっきが、このビアを充填するために用いられ、必要であればまたは任意に、シード層または触媒(核または層)が、堆積技術によってまず堆積される。このシード層はビアに充填される導電性材料によって異なる。もしビアに導電性材料を充填するのに直接めっきが用いられるならば、高抵抗バリア層(Ti/TiN、WCN、WNなど)上に直接薄いシード層を、触媒(Pd、Pt、W、Ruなど)を用いないか用いるかして堆積することが要求される。直接めっきは、第1ステップでシード層を堆積するのにも用いられ(大変低い濃度の銅を含むアルカリ性浴を用いることで)、続いて、従来の酸めっき浴を含む第2の電気めっきステップでビアに導電性材料(銅)を充填するのに用いられる。無電解の電気めっき堆積の使用は、それが電気接続を必要としない点で有利である。無電解堆積はさらに、金属上へのガルバニック置換反応によって堆積される触媒核の使用を必要とする、選択性が高い堆積技術で特徴付けられる。バリア層(例えば、Ta/TaN、Ti/Tin、Wベースのバリアなど)上への銅堆積の場合、Pdが触媒として用いられてもよい。無電解堆積では、Pd核を触媒として用いるNiやCoなどのその他の導電性材料を堆積することも可能である。
ビアに導電性材料を充填した後、バリア層、シード層および/または導電性材料のかぶりを除去するために、平坦化プロセス(例えば、化学機械平坦化)を行う必要がある。ウェハ同士の(相互接続)積層にすぐに用いることができる、最後のスルーウェハもしくは深いビアが図6Eに示されている。図3は、三次元の相互接続構造(ここでは、2つのウェハの垂直積層が示されている)内の導電性材料が充填された深いビアを説明している。この図において、ビアホールは基板のみに位置し、FEOL/BEOL領域にはないことが明らかである。FEOL内のWコンタクトプラグ12および能動素子(ソース、ゲート、ドレインから構成される)もまた示される。
ここで引用されている全ての参考文献は、参照することによって全体として本明細書に組み込まれ、本明細書の一部を構成する。参照することにより本明細書の一部を構成するところの出版物および特許もしくは特許出願が本明細書の記載に矛盾する場合、本明細書が優先され、および/またはこれら矛盾する材料に勝るものである。
“から構成される(comprising)”の語は、ここでは、“含む”、“包含する”、“によって特徴付けられる”の同義語として用いられ、この語は、包括的な、あるいは、制限のないものであって、追加や記載されていない要素や方法を排除するものではない。
本明細書中、およびに請求の範囲において用いられる、材料や反応条件などの量を表す数字は、全ての場合において、“約”の語によって変更されるものと理解されるべきである。したがって、別に示されていない限り、明細書中および添付の請求の範囲において規定される数値パラメータは、本発明によって得ようとする所望の特性によって変化する近似値である。少なくとも、特許請求の範囲への同等の原則の適用を制限する試みとしてではなく、各数値パラメータは、有効数字の、通常の四捨五入の観点から解釈されるべきである。
上述の記載は、本発明のいくつかの方法と材料を開示する。本発明は、作成方法および装置の変更と同じく、方法および材料の改善の余地がある。これらの改善は、ここに開示される本発明の開示やプラクティスから当業者にとって明らかなものであろう。したがって、本発明はここに開示される特定の実施形態に制限されるものではなく、添付の請求の範囲において具現化される発明の範囲と精神の範囲内で、全ての改善および変形例を網羅するものである。
(従来技術)二次元と三次元の集積スキームを比較して説明する図であり、二次元構造において必要とされる大量の長い相互接続が、パフォーマンスを大いに高める短い垂直相互接続と置換できることを、明瞭に示している。 (従来技術)BEOL処理の後に作成されるスルーウェハビアを用いる三次元の集積スキームを説明する図である。 FEOL処理の前に作成され、エアギャッププラグ内にWプラグを有しBEOL処理の完了後に導電性材料を充填されるスルーウェハビアを用いる、本発明による三次元の集積スキームを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第1の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第2の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第3の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第4の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第5の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第6の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第7の処理ステップを説明する図である。 好適な実施の形態の方法を用いて深いビアエアギャップを形成するための第8の処理ステップを説明する図である。 好適な実施の形態の方法を用いて、深いビアエアギャップが導電性材料を充填されたスルーウェハビアもしくは深いビアを形成するために用いられる場合に、エアギャッププラグ内にコンタクトプラグを作成するための別の第1の処理ステップを説明する図である。 図5Aに続く第2の処理ステップを説明する図である。 図5Bに続く第3の処理ステップを説明する図である。 図5Cに続く第4の処理ステップを説明する図である。 図5Dに続く第5の処理ステップを説明する図である。 図5Eに続く第6の処理ステップを説明する図である。 図5Fに続く第7の処理ステップを説明する図である。 図5Gに続く第8の処理ステップを説明する図である。 導電性材料を充填されたスルーウェハビアもしくは深いビアを形成するための第1の処理ステップを説明する図である。 図6Aに続く第2の処理ステップを説明する図である。 図6Bに続く第3の処理ステップを説明する図である。 図6Cに続く第4の処理ステップを説明する図である。 図6Dに続く第5の処理ステップを説明する図である。 等方性エッチングによって犠牲的材料(ポリシリコン)を除去した後の深いエアギャップ18の形成およびエアギャップを閉じる共形層6の堆積(例えば、化学気相堆積によるTEOS−oxide堆積)を説明する、走査型電子顕微鏡の写真である。

Claims (40)

  1. 側壁を有する少なくとも1つのビアを、第1のウェハにパターニングするステップと、
    部分的に充填されたビアの部分を形成するステップで、その部分的に充填された部分は犠牲材料で構成され、それによって側壁の一部分が犠牲材料に露出されるステップと、
    側壁の露出部分上にスペーサを形成するステップで、それによってビアの開口を狭めるステップと、
    狭められた開口から犠牲材料を除去するステップと、
    スペーサ上に密封層を堆積して開口を密封するステップで、それによってエアギャッププラグのエアギャップが形成されるステップと、
    少なくとも1つのコンタクトホールをエアギャッププラグ内に作成するステップと、
    少なくとも1つのコンタクトプラグが形成されるようにコンタクトホールに導電性材料を充填するステップと、
    コンタクトプラグ上に導電性構造を堆積するステップと、
    従来のバックエンドオブライン処理ステップを行うことによってコンタクトプラグに接触を確立するステップと、
    エアギャップを開口するように第1のウェハの裏面を薄くし、それによってスルーウェハビアもしくは深いビアを形成するステップと、
    スルーウェハビアもしくは深いビア内に導電性材料を堆積して第1のウェハ内に導電性材料が充填されたスルーウェハビアもしくは導電性材料が充填された深いビアのどちらかをそれぞれ作成するステップと、
    導電性材料が充填されたスルーウェハビアを介して、もしくは導電性材料が充填された深いビアを介して、第1のウェハの裏面を第2のウェハの正面に位置する相互接続構造に接触させるステップと、
    を含む、少なくとも2つのウェハの垂直積層を作成してスルーウェハビアを用いる三次元の積層半導体素子を作成する方法。
  2. 部分的に充填されたビアの部分を形成するステップは、
    ビアに犠牲材料を完全に充填するステップと、
    犠牲材料の一部分をエッチバックするステップで、それによって側壁の一部分が犠牲材料に露出されるステップと、
    を含む、請求項1に記載の方法。
  3. さらに、ビア内に分離層を形成するステップで、分離層は熱成長した二酸化ケイ素層で構成されるステップを含む、請求項1に記載の方法。
  4. さらに、ビア内に少なくとも1つの追加分離層を堆積するステップで、追加分離層は窒化ケイ素層および銅バリア層のどちらかの上の二酸化ケイ素層で構成されるステップから成ることを含む、請求項1に記載の方法。
  5. スペーサを形成するステップは、
    ドライエッチングのエンドポイントのトリガー層として窒化層を堆積するステップと、
    その後に、ビア内のスペーサ材料から構成される共形層を堆積することで、開口を閉じるか狭めるかするステップと、
    スペーサ材料を異方性エッチバックするステップで、それによってスペーサが形成されるステップと、
    を含むことを特徴とする、請求項1に記載の方法。
  6. 犠牲材料は等方性エッチングプロセスによって除去されることを特徴とする、請求項1に記載の方法。
  7. 密封層をスペーサ上に堆積することによる開口の密封は、コンフォーマル堆積プロセスによる密封層の堆積を含むことを特徴とする、請求項1に記載の方法。
  8. コンフォーマル堆積プロセスは化学気相堆積プロセスであることを特徴とする、請求項7に記載の方法。
  9. さらに密封層を平坦化するステップを含むことを特徴とする、請求項1に記載の方法。
  10. 平坦化するステップは化学機械平坦化ステップを含むことを特徴とする、請求項9に記載の方法。
  11. 第1のウェハはシリコンウェハであることを特徴とする、請求項1に記載の方法。
  12. 犠牲物質はポリシリコンであることを特徴とする、請求項1に記載の方法。
  13. スペーサ材料は二酸化ケイ素であることを特徴とする、請求項1に記載の方法。
  14. ビアは約1μmから約10μmの直径を有することを特徴とする、請求項1に記載の方法。
  15. ビアは約2μmから約6μmの直径を有することを特徴とする、請求項1に記載の方法。
  16. ビアは第1のウェハ内に約10μmから約100μmの深さを有することを特徴とする、請求項1に記載の方法。
  17. ビアは第1のウェハ内に約20μmから約50μmの深さを有することを特徴とする、請求項1に記載の方法。
  18. コンタクトホールはフロントエンドオブライン処理中にドライエッチングによって作成されることを特徴とする、請求項1に記載の方法。
  19. エアギャップビア内の少なくとも1つのコンタクトプラグが、半導体素子の能動素子内の少なくとも1つのコンタクトプラグと同時に作成されることを特徴とする、請求項1に記載の方法。
  20. 少なくとも1つのコンタクトホールが約100μmから約200μmの直径を有することを特徴とする、請求項1に記載の方法。
  21. エッチング停止層を堆積し、その後にエッチング停止層の上に誘電体層を堆積するステップをさらに含み、
    堆積ステップはエアギャッププラグにエアギャップを作成した後に行われることを特徴とする、請求項1に記載の方法。
  22. エッチング停止層はSiON層、Si層、SiC層、SiCN層の中から選択されることを特徴とする、請求項21に記載の方法。
  23. バリア層をコンタクトホールの側壁上に堆積するステップをさらに含む、請求項1に記載の方法。
  24. コンタクトホールに導電性材料を充填する前に、共形バリア層をコンタクトホール内に堆積するステップをさらに含み、
    導電性材料はタングステンで構成されることを特徴とする、請求項1に記載の方法。
  25. バリア層は、バリア層を構成するTa(N)、Ti(N)、TaSiN、TiSiN、TiW、W(C)N、Ruの中から選択される材料を含むことを特徴とする、請求項23に記載の方法。
  26. ウェハの裏面を薄くすることは、少なくとも1つの化学除去プロセスもしくは機械除去プロセスを含むことを特徴とする、請求項1に記載の方法。
  27. バリア層を堆積するステップと、
    その後にシード層もしくは触媒核をスルーウェハビアもしくは深いビアの側壁上に堆積するステップをさらに含み、
    堆積ステップはウェハの裏面を薄くするステップの後に行われることを特徴とする、請求項1に記載の方法。
  28. スルーウェハビアもしくは深いビアに充填するために用いられる導電性材料は銅であることを特徴とする、請求項1に記載の方法。
  29. 導電性材料をスルーウェハビアもしくは深いビア内に堆積するステップは、物理的気相堆積、化学気相堆積、無電解めっき、直接めっきの中から選択される方法を用いることを特徴とする、請求項1に記載の方法。
  30. 請求項1に記載の方法によって得られた半導体素子。
  31. 少なくとも1つの能動集積回路素子を備え、導電性材料が充填され、上面と底面と側壁を有し、ウェハ基板内に位置する少なくとも1つのスルーウェハビアを有する第1のウェハと、
    少なくとも1つの能動集積回路素子を有する第2のウェハと、
    スルーウェハビアを介してお互いに接触している第1および第2のウェハの能動素子と
    を含む三次元積層された半導体素子。
  32. スルーウェハビア内の導電性材料は、金属、導電性ポリマー、金属シリサイド、導電性カーボンナノチューブ、導電性ナノワイヤーの中から選択される少なくとも1つの材料から構成されることを特徴とする、請求項31に記載の三次元積層された半導体素子。
  33. スルーウェハ内の導電性材料は、銅、アルミニウム、タングステンの中から選択される少なくとも1つの金属を含むことを特徴とする、請求項31に記載の三次元積層された半導体素子。
  34. スルーウェハビアの側壁は少なくとも1つの分離層を含むことを特徴とする、請求項31に記載の三次元積層された半導体素子。
  35. スルーウェハビアの側壁は少なくとも1つの分離層を含み、分離層は窒化ケイ素バリア層もしくは銅バリア層の上に二酸化ケイ素層を備えることを特徴とする、請求項31に記載の三次元積層された半導体素子。
  36. スルーウェハビアの側壁は少なくとも1つの分離層を含み、分離層は銅バリア層の上の二酸化ケイ素層を有し、銅バリア層はバリア層を構成するTa(N)、Ti(N)、TaSiN、TiSiN、TiW、W(C)N、Ruの中から選択される少なくとも1つの材料を含むことを特徴とする、請求項31に記載の三次元積層された半導体素子。
  37. スルーウェハビアの側壁は、少なくとも1つの分離層の上にシード層もしくは触媒をさらに有することを特徴とする、請求項31に記載の三次元積層された半導体素子。
  38. スルーウェハビアの上面は少なくとも1つのコンタクトプラグを含むことを特徴とする、請求項31に記載の三次元積層された半導体素子。
  39. 少なくとも1つのコンタクトプラグはスルーウェハビア内の導電性材料内に部分的に位置することを特徴とする、請求項31に記載の三次元積層された半導体素子。
  40. コンタクトプラグはタングステンを含むことを特徴とする、請求項31に記載の三次元積層された半導体素子。
JP2005364987A 2004-12-17 2005-12-19 ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成 Expired - Fee Related JP5110792B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US63728804P 2004-12-17 2004-12-17
US60/637,288 2004-12-17

Publications (2)

Publication Number Publication Date
JP2006173637A true JP2006173637A (ja) 2006-06-29
JP5110792B2 JP5110792B2 (ja) 2012-12-26

Family

ID=35079195

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005025409A Active JP4801356B2 (ja) 2004-12-17 2005-02-01 深溝エアギャップの形成とその関連応用
JP2005364987A Expired - Fee Related JP5110792B2 (ja) 2004-12-17 2005-12-19 ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005025409A Active JP4801356B2 (ja) 2004-12-17 2005-02-01 深溝エアギャップの形成とその関連応用

Country Status (3)

Country Link
US (3) US7396732B2 (ja)
EP (2) EP1672687A1 (ja)
JP (2) JP4801356B2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009064820A (ja) * 2007-09-04 2009-03-26 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2011530812A (ja) * 2008-08-08 2011-12-22 インターナショナル・ビジネス・マシーンズ・コーポレーション シリコン貫通ビアおよびこれを製作する方法
JP2012501079A (ja) * 2008-08-29 2012-01-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド スルホールビアのための炭素ベース材質を備えた半導体デバイス
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
JP2012119689A (ja) * 2010-12-03 2012-06-21 Samsung Electronics Co Ltd 半導体装置の製造方法
JP2013033900A (ja) * 2011-07-05 2013-02-14 Sony Corp 半導体装置、電子機器、及び、半導体装置の製造方法
US9443802B2 (en) 2011-07-05 2016-09-13 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus

Families Citing this family (575)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7045861B2 (en) * 2002-03-26 2006-05-16 Semiconductor Energy Laboratory Co., Ltd. Light-emitting device, liquid-crystal display device and method for manufacturing same
RU2374359C2 (ru) * 2003-05-09 2009-11-27 Басф Акциенгезельшафт Составы для обесточенного осаждения тройных материалов для промышленности полупроводников
US8084866B2 (en) 2003-12-10 2011-12-27 Micron Technology, Inc. Microelectronic devices and methods for filling vias in microelectronic devices
US7091124B2 (en) 2003-11-13 2006-08-15 Micron Technology, Inc. Methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US20050247894A1 (en) 2004-05-05 2005-11-10 Watkins Charles M Systems and methods for forming apertures in microfeature workpieces
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
US7083425B2 (en) 2004-08-27 2006-08-01 Micron Technology, Inc. Slanted vias for electrical circuits on circuit boards and other substrates
US7300857B2 (en) 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7396732B2 (en) * 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7271482B2 (en) 2004-12-30 2007-09-18 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US9685524B2 (en) * 2005-03-11 2017-06-20 Vishay-Siliconix Narrow semiconductor trench structure
US7687400B2 (en) 2005-06-14 2010-03-30 John Trezza Side stacking apparatus and method
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US7560813B2 (en) 2005-06-14 2009-07-14 John Trezza Chip-based thermo-stack
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US8154131B2 (en) 2005-06-14 2012-04-10 Cufer Asset Ltd. L.L.C. Profiled contact
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US8456015B2 (en) 2005-06-14 2013-06-04 Cufer Asset Ltd. L.L.C. Triaxial through-chip connection
US7767493B2 (en) 2005-06-14 2010-08-03 John Trezza Post & penetration interconnection
US7795134B2 (en) 2005-06-28 2010-09-14 Micron Technology, Inc. Conductive interconnect structures and formation methods using supercritical fluids
US7863188B2 (en) 2005-07-29 2011-01-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7863187B2 (en) 2005-09-01 2011-01-04 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7262134B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
US7723842B2 (en) * 2005-09-02 2010-05-25 Semiconductor Energy Laboratory Co., Ltd Integrated circuit device
US7563714B2 (en) * 2006-01-13 2009-07-21 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
CN101375388B (zh) * 2006-01-18 2011-08-03 Nxp股份有限公司 金属线之间的自对准沟槽的集成
US9035359B2 (en) 2006-03-09 2015-05-19 Tela Innovations, Inc. Semiconductor chip including region including linear-shaped conductive structures forming gate electrodes and having electrical connection areas arranged relative to inner region between transistors of different types and associated methods
US8653857B2 (en) 2006-03-09 2014-02-18 Tela Innovations, Inc. Circuitry and layouts for XOR and XNOR logic
US7956421B2 (en) 2008-03-13 2011-06-07 Tela Innovations, Inc. Cross-coupled transistor layouts in restricted gate level layout architecture
US7917879B2 (en) 2007-08-02 2011-03-29 Tela Innovations, Inc. Semiconductor device with dynamic array section
US8541879B2 (en) 2007-12-13 2013-09-24 Tela Innovations, Inc. Super-self-aligned contacts and method for making the same
US9230910B2 (en) 2006-03-09 2016-01-05 Tela Innovations, Inc. Oversized contacts and vias in layout defined by linearly constrained topology
US9563733B2 (en) 2009-05-06 2017-02-07 Tela Innovations, Inc. Cell circuit and layout with linear finfet structures
US8658542B2 (en) 2006-03-09 2014-02-25 Tela Innovations, Inc. Coarse grid design methods and structures
US8245180B2 (en) 2006-03-09 2012-08-14 Tela Innovations, Inc. Methods for defining and using co-optimized nanopatterns for integrated circuit design and apparatus implementing same
US8839175B2 (en) 2006-03-09 2014-09-16 Tela Innovations, Inc. Scalable meta-data objects
US8225261B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining contact grid in dynamic array architecture
US9009641B2 (en) 2006-03-09 2015-04-14 Tela Innovations, Inc. Circuits with linear finfet structures
US7446352B2 (en) * 2006-03-09 2008-11-04 Tela Innovations, Inc. Dynamic array architecture
US7932545B2 (en) 2006-03-09 2011-04-26 Tela Innovations, Inc. Semiconductor device and associated layouts including gate electrode level region having arrangement of six linear conductive segments with side-to-side spacing less than 360 nanometers
US8225239B2 (en) 2006-03-09 2012-07-17 Tela Innovations, Inc. Methods for defining and utilizing sub-resolution features in linear topology
US8247846B2 (en) 2006-03-09 2012-08-21 Tela Innovations, Inc. Oversized contacts and vias in semiconductor chip defined by linearly constrained topology
US7763534B2 (en) 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
US8448102B2 (en) 2006-03-09 2013-05-21 Tela Innovations, Inc. Optimizing layout of irregular structures in regular layout context
US7943967B2 (en) * 2006-03-09 2011-05-17 Tela Innovations, Inc. Semiconductor device and associated layouts including diffusion contact placement restriction based on relation to linear conductive segments
US8409954B2 (en) 2006-03-21 2013-04-02 Vishay-Silconix Ultra-low drain-source resistance power MOSFET
CN101410972B (zh) * 2006-03-27 2010-09-08 皇家飞利浦电子股份有限公司 用于半导体载体的低欧姆衬底通孔互连
US7485561B2 (en) * 2006-03-29 2009-02-03 Asm Nutool, Inc. Filling deep features with conductors in semiconductor manufacturing
US7749899B2 (en) 2006-06-01 2010-07-06 Micron Technology, Inc. Microelectronic workpieces and methods and systems for forming interconnects in microelectronic workpieces
US7687397B2 (en) 2006-06-06 2010-03-30 John Trezza Front-end processed wafer having through-chip connections
US7626269B2 (en) * 2006-07-06 2009-12-01 Micron Technology, Inc. Semiconductor constructions and assemblies, and electronic systems
US7629249B2 (en) 2006-08-28 2009-12-08 Micron Technology, Inc. Microfeature workpieces having conductive interconnect structures formed by chemically reactive processes, and associated systems and methods
US7902643B2 (en) 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7803713B2 (en) * 2006-09-21 2010-09-28 Taiwan Semiconductor Manufacturing Co. Ltd. Method for fabricating air gap for semiconductor device
WO2008035261A1 (en) 2006-09-22 2008-03-27 Nxp B.V. Electronic device and method for making the same
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7646064B1 (en) * 2006-10-27 2010-01-12 National Semiconductor Corporation Semiconductor die with aluminum-spiked heat pipes
US7560344B2 (en) * 2006-11-15 2009-07-14 Samsung Electronics Co., Ltd. Semiconductor device having a pair of fins and method of manufacturing the same
US20080116584A1 (en) * 2006-11-21 2008-05-22 Arkalgud Sitaram Self-aligned through vias for chip stacking
JP5403862B2 (ja) * 2006-11-28 2014-01-29 チェイル インダストリーズ インコーポレイテッド 微細金属パターンの製造方法
US8034409B2 (en) * 2006-12-20 2011-10-11 Lam Research Corporation Methods, apparatuses, and systems for fabricating three dimensional integrated circuits
US7670874B2 (en) * 2007-02-16 2010-03-02 John Trezza Plated pillar package formation
US7979829B2 (en) 2007-02-20 2011-07-12 Tela Innovations, Inc. Integrated circuit cell library with cell-level process compensation technique (PCT) application and associated methods
US8286107B2 (en) 2007-02-20 2012-10-09 Tela Innovations, Inc. Methods and systems for process compensation technique acceleration
US8667443B2 (en) 2007-03-05 2014-03-04 Tela Innovations, Inc. Integrated circuit cell library for multiple patterning
US7795735B2 (en) * 2007-03-21 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming single dies with multi-layer interconnect structures and structures formed therefrom
US7968418B1 (en) * 2007-04-10 2011-06-28 National Semiconductor Corporation Apparatus and method for isolating integrated circuit components using deep trench isolation and shallow trench isolation
US7615480B2 (en) 2007-06-20 2009-11-10 Lam Research Corporation Methods of post-contact back end of the line through-hole via integration
US8034702B2 (en) * 2007-08-16 2011-10-11 Micron Technology, Inc. Methods of forming through substrate interconnects
SG150410A1 (en) 2007-08-31 2009-03-30 Micron Technology Inc Partitioned through-layer via and associated systems and methods
DE102007044685B3 (de) * 2007-09-19 2009-04-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Elektronisches System und Verfahren zur Herstellung eines dreidimensionalen elektronischen Systems
US7696064B2 (en) * 2007-10-11 2010-04-13 Skyworks Solutions, Inc. Methods for forming a through via
KR101374338B1 (ko) * 2007-11-14 2014-03-14 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조방법
US7884015B2 (en) 2007-12-06 2011-02-08 Micron Technology, Inc. Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods
US8453094B2 (en) 2008-01-31 2013-05-28 Tela Innovations, Inc. Enforcement of semiconductor structure regularity for localized transistors and interconnect
EP3032578B1 (en) * 2008-03-19 2021-01-13 IMEC vzw Method for fabricating through-substrate vias and corresponding semiconductor device
US7939443B2 (en) * 2008-03-27 2011-05-10 Tela Innovations, Inc. Methods for multi-wire routing and apparatus implementing same
WO2009127914A1 (en) 2008-04-17 2009-10-22 Freescale Semiconductor, Inc. Method of sealing an air gap in a layer of a semiconductor structure and semiconductor structure
US8329573B2 (en) * 2008-05-06 2012-12-11 Gautham Viswanadam Wafer level integration module having controlled resistivity interconnects
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US7939449B2 (en) 2008-06-03 2011-05-10 Micron Technology, Inc. Methods of forming hybrid conductive vias including small dimension active surface ends and larger dimension back side ends
KR101761530B1 (ko) 2008-07-16 2017-07-25 텔라 이노베이션스, 인코포레이티드 동적 어레이 아키텍쳐에서의 셀 페이징과 배치를 위한 방법 및 그 구현
US9122832B2 (en) 2008-08-01 2015-09-01 Tela Innovations, Inc. Methods for controlling microloading variation in semiconductor wafer layout and fabrication
KR20100025107A (ko) * 2008-08-27 2010-03-09 크로스텍 캐피탈, 엘엘씨 에어갭을 구비한 샐로우 트렌치 소자분리구조, 이를 이용한시모스 이미지 센서 및 그 제조방법
WO2010022973A1 (en) * 2008-08-29 2010-03-04 Advanced Micro Devices Inc. A semiconductor device comprising a carbon based material for through hole vias
US7872332B2 (en) 2008-09-11 2011-01-18 Micron Technology, Inc. Interconnect structures for stacked dies, including penetrating structures for through-silicon vias, and associated systems and methods
US8030780B2 (en) 2008-10-16 2011-10-04 Micron Technology, Inc. Semiconductor substrates with unitary vias and via terminals, and associated systems and methods
TWI559414B (zh) * 2008-10-29 2016-11-21 聯華電子股份有限公司 基底穿孔製程
KR101486984B1 (ko) * 2008-10-30 2015-01-30 삼성전자주식회사 가변 저항 메모리 소자 및 그 형성방법
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
GB0821158D0 (en) * 2008-11-20 2008-12-24 Durham Scient Crystals Ltd Semiconductor device connection
US7923369B2 (en) * 2008-11-25 2011-04-12 Freescale Semiconductor, Inc. Through-via and method of forming
US7985655B2 (en) * 2008-11-25 2011-07-26 Freescale Semiconductor, Inc. Through-via and method of forming
KR20100066970A (ko) * 2008-12-10 2010-06-18 주식회사 동부하이텍 반도체 소자 및 이를 포함하는 시스템 인 패키지, 반도체 소자를 제조하는 방법
DE102008054765A1 (de) * 2008-12-16 2010-06-24 Robert Bosch Gmbh Bauteil mit einer Durchkontaktierung und ein Verfahren zur Herstellung eines solchen Bauteils
US8158515B2 (en) * 2009-02-03 2012-04-17 International Business Machines Corporation Method of making 3D integrated circuits
US7884016B2 (en) * 2009-02-12 2011-02-08 Asm International, N.V. Liner materials and related processes for 3-D integration
TW201034150A (en) * 2009-03-13 2010-09-16 Advanced Semiconductor Eng Silicon wafer having interconnection metal
US8344513B2 (en) 2009-03-23 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier for through-silicon via
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20110035783A (ko) * 2009-09-30 2011-04-06 주식회사 하이닉스반도체 상변화 메모리 소자 제조 방법
JP2011082450A (ja) * 2009-10-09 2011-04-21 Elpida Memory Inc 半導体装置及びこれを備える情報処理システム
US8661392B2 (en) 2009-10-13 2014-02-25 Tela Innovations, Inc. Methods for cell boundary encroachment and layouts implementing the Same
EP2327659B1 (en) 2009-11-30 2018-07-11 IMEC vzw Method of manufacturing a semiconductor device and semiconductor devices resulting therefrom
CN102082111A (zh) * 2009-11-30 2011-06-01 上海华虹Nec电子有限公司 带空气间隙的深隔离槽的制造方法
US9324718B2 (en) * 2010-01-29 2016-04-26 Hewlett Packard Enterprise Development Lp Three dimensional multilayer circuit
US20110204517A1 (en) * 2010-02-23 2011-08-25 Qualcomm Incorporated Semiconductor Device with Vias Having More Than One Material
US8525260B2 (en) * 2010-03-19 2013-09-03 Monolithic Power Systems, Inc. Super junction device with deep trench and implant
FR2958076B1 (fr) * 2010-03-24 2012-08-17 St Microelectronics Sa Procede de formation de vias electriques
US8946048B2 (en) 2010-06-19 2015-02-03 Sandisk Technologies Inc. Method of fabricating non-volatile memory with flat cell structures and air gap isolation
US8492224B2 (en) 2010-06-20 2013-07-23 Sandisk Technologies Inc. Metal control gate structures and air gap isolation in non-volatile memory
US8674472B2 (en) 2010-08-10 2014-03-18 International Business Machines Corporation Low harmonic RF switch in SOI
US8497203B2 (en) 2010-08-13 2013-07-30 International Business Machines Corporation Semiconductor structures and methods of manufacture
US8450789B2 (en) 2010-08-24 2013-05-28 Micron Technology, Inc. Memory array with an air gap between memory cells and the formation thereof
US8530347B2 (en) 2010-10-05 2013-09-10 Freescale Semiconductor, Inc. Electronic device including interconnects with a cavity therebetween and a process of forming the same
US8492241B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method for simultaneously forming a through silicon via and a deep trench structure
JP2012089613A (ja) * 2010-10-18 2012-05-10 Sumitomo Electric Ind Ltd 炭化珪素基板を有する複合基板の製造方法
US9159627B2 (en) 2010-11-12 2015-10-13 Tela Innovations, Inc. Methods for linewidth modification and apparatus implementing the same
CN102479740B (zh) * 2010-11-25 2014-03-12 中芯国际集成电路制造(北京)有限公司 相变存储器深沟槽隔离结构及制作方法
US8778749B2 (en) * 2011-01-12 2014-07-15 Sandisk Technologies Inc. Air isolation in high density non-volatile memory
US9054160B2 (en) 2011-04-15 2015-06-09 International Business Machines Corporation Interconnect structure and method for fabricating on-chip interconnect structures by image reversal
US8890318B2 (en) * 2011-04-15 2014-11-18 International Business Machines Corporation Middle of line structures
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8481425B2 (en) 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
CN102832161B (zh) * 2011-06-13 2014-10-01 中芯国际集成电路制造(上海)有限公司 用于形成硅通孔的方法
US8822336B2 (en) 2011-06-16 2014-09-02 United Microelectronics Corp. Through-silicon via forming method
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US8828745B2 (en) 2011-07-06 2014-09-09 United Microelectronics Corp. Method for manufacturing through-silicon via
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8569130B2 (en) 2011-07-28 2013-10-29 Micron Technology, Inc. Forming air gaps in memory arrays and memory arrays with air gaps thus formed
US8822137B2 (en) 2011-08-03 2014-09-02 International Business Machines Corporation Self-aligned fine pitch permanent on-chip interconnect structures and method of fabrication
CN102915999B (zh) * 2011-08-03 2016-08-03 无锡华润上华半导体有限公司 沟槽多晶硅过腐蚀台阶测试图形及其形成方法
KR20130025204A (ko) 2011-09-01 2013-03-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US20130062732A1 (en) 2011-09-08 2013-03-14 International Business Machines Corporation Interconnect structures with functional components and methods for fabrication
JP2013089859A (ja) * 2011-10-20 2013-05-13 Toshiba Corp 半導体装置の製造方法
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US8395217B1 (en) * 2011-10-27 2013-03-12 International Business Machines Corporation Isolation in CMOSFET devices utilizing buried air bags
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
CN103137545B (zh) * 2011-11-25 2015-08-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN103178000B (zh) * 2011-12-20 2014-11-05 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US8518823B2 (en) 2011-12-23 2013-08-27 United Microelectronics Corp. Through silicon via and method of forming the same
US8907396B2 (en) 2012-01-04 2014-12-09 Micron Technology, Inc Source/drain zones with a delectric plug over an isolation region between active regions and methods
US8609529B2 (en) 2012-02-01 2013-12-17 United Microelectronics Corp. Fabrication method and structure of through silicon via
US9123714B2 (en) 2012-02-16 2015-09-01 Sandisk Technologies Inc. Metal layer air gap formation
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8603889B2 (en) 2012-03-30 2013-12-10 International Business Machines Corporation Integrated circuit structure having air-gap trench isolation and related design structure
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9257392B2 (en) * 2012-04-11 2016-02-09 Mediatek Inc. Semiconductor package with through silicon via interconnect
CN103377992B (zh) * 2012-04-23 2016-04-13 中芯国际集成电路制造(上海)有限公司 硅通孔结构及其制造方法
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8691600B2 (en) 2012-05-02 2014-04-08 United Microelectronics Corp. Method for testing through-silicon-via (TSV) structures
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9087753B2 (en) 2012-05-10 2015-07-21 International Business Machines Corporation Printed transistor and fabrication method
CN102683263A (zh) * 2012-05-28 2012-09-19 上海华力微电子有限公司 栅极隔离结构制造方法、栅极隔离结构以及半导体器件
US9269609B2 (en) 2012-06-01 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor isolation structure with air gaps in deep trenches
US8691688B2 (en) 2012-06-18 2014-04-08 United Microelectronics Corp. Method of manufacturing semiconductor structure
US9275933B2 (en) 2012-06-19 2016-03-01 United Microelectronics Corp. Semiconductor device
US8900996B2 (en) 2012-06-21 2014-12-02 United Microelectronics Corp. Through silicon via structure and method of fabricating the same
US8525296B1 (en) 2012-06-26 2013-09-03 United Microelectronics Corp. Capacitor structure and method of forming the same
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
KR101986126B1 (ko) 2012-07-18 2019-06-05 삼성전자주식회사 비휘발성 메모리 소자 및 그 제조 방법
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8912844B2 (en) 2012-10-09 2014-12-16 United Microelectronics Corp. Semiconductor structure and method for reducing noise therein
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9035457B2 (en) 2012-11-29 2015-05-19 United Microelectronics Corp. Substrate with integrated passive devices and method of manufacturing the same
US20140159132A1 (en) * 2012-12-06 2014-06-12 Micron Technology, Inc. Memory arrays with air gaps between conductors and the formation thereof
US8716104B1 (en) 2012-12-20 2014-05-06 United Microelectronics Corp. Method of fabricating isolation structure
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9070653B2 (en) * 2013-01-15 2015-06-30 Freescale Semiconductor, Inc. Microelectronic assembly having a heat spreader for a plurality of die
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9997443B2 (en) 2013-02-25 2018-06-12 Infineon Technologies Ag Through vias and methods of formation thereof
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8884398B2 (en) 2013-04-01 2014-11-11 United Microelectronics Corp. Anti-fuse structure and programming method thereof
US9287173B2 (en) 2013-05-23 2016-03-15 United Microelectronics Corp. Through silicon via and process thereof
ITMI20130897A1 (it) 2013-05-31 2014-12-01 St Microelectronics Srl Dispositivo microelettronico a vuoto integrato e relativo metodo di fabbricazione.
US9123730B2 (en) 2013-07-11 2015-09-01 United Microelectronics Corp. Semiconductor device having through silicon trench shielding structure surrounding RF circuit
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9231074B2 (en) 2013-07-19 2016-01-05 Globalfoundries Inc. Bipolar junction transistors with an air gap in the shallow trench isolation
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US20150021773A1 (en) * 2013-07-22 2015-01-22 Conversant Intellectual Property Management Inc. Through Semiconductor via Structure with Reduced Stress Proximity Effect
US9024416B2 (en) 2013-08-12 2015-05-05 United Microelectronics Corp. Semiconductor structure
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US8916471B1 (en) 2013-08-26 2014-12-23 United Microelectronics Corp. Method for forming semiconductor structure having through silicon via for signal and shielding structure
US9048223B2 (en) 2013-09-03 2015-06-02 United Microelectronics Corp. Package structure having silicon through vias connected to ground potential
US9117804B2 (en) 2013-09-13 2015-08-25 United Microelectronics Corporation Interposer structure and manufacturing method thereof
EP2848586A1 (en) 2013-09-13 2015-03-18 Teknologian Tutkimuskeskus VTT Wafer level encapsulation structure and fabrication method thereof
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9343359B2 (en) 2013-12-25 2016-05-17 United Microelectronics Corp. Integrated structure and method for fabricating the same
US9349740B2 (en) 2014-01-24 2016-05-24 Sandisk Technologies Inc. Non-volatile storage element with suspended charge storage region
US9331072B2 (en) 2014-01-28 2016-05-03 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers defined by conductive patterns and methods of manufacturing the same
US10340203B2 (en) 2014-02-07 2019-07-02 United Microelectronics Corp. Semiconductor structure with through silicon via and method for fabricating and testing the same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
FR3017993B1 (fr) * 2014-02-27 2017-08-11 Commissariat Energie Atomique Procede de realisation d'une structure par assemblage d'au moins deux elements par collage direct
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
KR20150145823A (ko) 2014-06-19 2015-12-31 삼성전자주식회사 메모리 장치 및 그 제조 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9224858B1 (en) 2014-07-29 2015-12-29 Globalfoundries Inc. Lateral double-diffused metal oxide semiconductor field effect transistor (LDMOSFET) with a below source isolation region and a method of forming the LDMOSFET
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9589805B2 (en) 2014-08-04 2017-03-07 Cypress Semiconductor Corporation Split-gate semiconductor device with L-shaped gate
KR102366416B1 (ko) * 2014-08-11 2022-02-23 삼성전자주식회사 Cmos 이미지 센서
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9553209B2 (en) * 2014-11-18 2017-01-24 Stmicroelectronics S.R.L. Process for manufacturing a semiconductor device comprising an empty trench structure and semiconductor device manufactured thereby
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105826279B (zh) * 2015-01-06 2018-08-10 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
WO2016111832A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Laminate and core shell formation of silicide nanowire
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9722057B2 (en) * 2015-06-23 2017-08-01 Global Foundries Inc. Bipolar junction transistors with a buried dielectric region in the active device region
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP6301882B2 (ja) * 2015-08-21 2018-03-28 トヨタ自動車株式会社 半導体装置の製造方法と半導体装置
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9728570B2 (en) 2015-11-09 2017-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Deep trench isolation fabrication for BSI image sensor
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN106601667B (zh) * 2016-12-20 2019-08-20 上海集成电路研发中心有限公司 一种具有空气隙的金属互连层结构及其制备方法
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN107644838B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
CN107644840A (zh) * 2017-08-31 2018-01-30 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
CN107644837B (zh) * 2017-08-31 2019-01-01 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
CN107644836A (zh) * 2017-08-31 2018-01-30 长江存储科技有限责任公司 用于三维存储器的晶圆三维集成引线工艺及其结构
US10607887B2 (en) 2017-08-31 2020-03-31 Yangtze Memory Technologies Co., Ltd. Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof
US10651087B2 (en) * 2017-08-31 2020-05-12 Yangtze Memory Technologies Co., Ltd. Method for forming three-dimensional integrated wiring structure and semiconductor structure thereof
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10522677B2 (en) 2017-09-26 2019-12-31 Nxp Usa, Inc. Field-effect transistor and method therefor
US10424646B2 (en) 2017-09-26 2019-09-24 Nxp Usa, Inc. Field-effect transistor and method therefor
US10600911B2 (en) 2017-09-26 2020-03-24 Nxp Usa, Inc. Field-effect transistor and method therefor
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10224396B1 (en) 2017-11-20 2019-03-05 Globalfoundries Inc. Deep trench isolation structures
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10510616B2 (en) 2017-12-15 2019-12-17 Nxp Usa, Inc. Post contact air gap formation
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10600879B2 (en) 2018-03-12 2020-03-24 Nxp Usa, Inc. Transistor trench structure with field plate structures
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN108417595A (zh) * 2018-03-21 2018-08-17 德淮半导体有限公司 图像传感器及其形成方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
FR3082661B1 (fr) 2018-06-19 2020-12-25 Aledia Dispositif optoelectronique a diodes electroluminescentes
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11398415B2 (en) * 2018-09-19 2022-07-26 Intel Corporation Stacked through-silicon vias for multi-device packages
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10833174B2 (en) 2018-10-26 2020-11-10 Nxp Usa, Inc. Transistor devices with extended drain regions located in trench sidewalls
US10749023B2 (en) 2018-10-30 2020-08-18 Nxp Usa, Inc. Vertical transistor with extended drain region
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10643927B1 (en) 2018-11-16 2020-05-05 Globalfoundries Inc. Ring isolated through-substrate vias for high resistivity substrates
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US10749028B2 (en) 2018-11-30 2020-08-18 Nxp Usa, Inc. Transistor with gate/field plate structure
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020118100A1 (en) * 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US10818541B2 (en) * 2018-12-27 2020-10-27 Nanya Technology Corporation Semiconductor structure
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102627584B1 (ko) 2019-02-20 2024-01-22 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 주기적 증착 방법 및 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
CN111696914B (zh) * 2019-03-14 2022-12-23 长鑫存储技术有限公司 互连线结构的制备方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
US10727216B1 (en) 2019-05-10 2020-07-28 Sandisk Technologies Llc Method for removing a bulk substrate from a bonded assembly of wafers
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
CN112635560B (zh) 2019-10-08 2023-12-05 联华电子股份有限公司 鳍状晶体管结构及其制造方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11387348B2 (en) 2019-11-22 2022-07-12 Nxp Usa, Inc. Transistor formed with spacer
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11127678B2 (en) * 2019-12-10 2021-09-21 Globalfoundries U.S. Inc. Dual dielectric layer for closing seam in air gap structure
US11329156B2 (en) 2019-12-16 2022-05-10 Nxp Usa, Inc. Transistor with extended drain region
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11164774B2 (en) 2020-01-16 2021-11-02 International Business Machines Corporation Interconnects with spacer structure for forming air-gaps
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
US11309433B2 (en) * 2020-03-18 2022-04-19 Winbond Electronics Corp. Non-volatile memory structure and manufacturing method thereof
US11075110B1 (en) 2020-03-31 2021-07-27 Nxp Usa, Inc. Transistor trench with field plate structure
US11217675B2 (en) 2020-03-31 2022-01-04 Nxp Usa, Inc. Trench with different transverse cross-sectional widths
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN117393536A (zh) * 2020-04-27 2024-01-12 联华电子股份有限公司 半导体元件及其制造方法
CN113571412A (zh) * 2020-04-28 2021-10-29 芯恩(青岛)集成电路有限公司 一种功率器件结构及其制作方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111952240B (zh) * 2020-08-21 2024-06-14 中国科学院上海微系统与信息技术研究所 具有纳米级空腔结构的soi衬底及其制备方法
CN111952238B (zh) * 2020-08-21 2024-06-14 中国科学院上海微系统与信息技术研究所 具有空腔结构的soi衬底及其制备方法
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
CN113479841B (zh) * 2021-05-24 2024-05-28 中国电子科技集团公司第五十五研究所 一种硅基微流道基板制备方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11848384B2 (en) 2021-09-27 2023-12-19 International Business Machines Corporation Semiconductor device with airgap spacer formation from backside of wafer

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS607149A (ja) * 1983-06-24 1985-01-14 Nec Corp 半導体装置の製造方法
JPH05160251A (ja) * 1991-06-18 1993-06-25 Internatl Business Mach Corp <Ibm> 集積回路製造方法
JPH11243142A (ja) * 1998-02-26 1999-09-07 Nec Corp 半導体装置の製造方法
JP2000183149A (ja) * 1998-12-10 2000-06-30 Sanyo Electric Co Ltd 半導体装置
JP2001326325A (ja) * 2000-05-16 2001-11-22 Seiko Epson Corp 半導体装置及びその製造方法
JP2003203914A (ja) * 2002-01-09 2003-07-18 Japan Science & Technology Corp 半導体集積回路装置及びその製造方法
JP2004531070A (ja) * 2001-06-14 2004-10-07 ストミクロエレクトロニクス・ソシエテ・アノニム 深い絶縁トレンチ及びその形成方法

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4104086A (en) 1977-08-15 1978-08-01 International Business Machines Corporation Method for forming isolated regions of silicon utilizing reactive ion etching
JPS63314845A (ja) * 1987-06-17 1988-12-22 Nec Corp 半導体集積回路装置
US5098865A (en) 1989-11-02 1992-03-24 Machado Jose R High step coverage silicon oxide thin films
US5411913A (en) 1994-04-29 1995-05-02 National Semiconductor Corporation Simple planarized trench isolation and field oxide formation using poly-silicon
US6165890A (en) 1997-01-21 2000-12-26 Georgia Tech Research Corporation Fabrication of a semiconductor device with air gaps for ultra-low capacitance interconnections
DE19813239C1 (de) 1998-03-26 1999-12-23 Fraunhofer Ges Forschung Verdrahtungsverfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur und vertikale integrierte Schaltungsstruktur
US6268637B1 (en) * 1998-10-22 2001-07-31 Advanced Micro Devices, Inc. Method of making air gap isolation by making a lateral EPI bridge for low K isolation advanced CMOS fabrication
US6180995B1 (en) 1999-05-06 2001-01-30 Spectrian Corporation Integrated passive devices with reduced parasitic substrate capacitance
JP3895595B2 (ja) * 1999-05-27 2007-03-22 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デル・アンゲヴァンテン・フォルシュング・アインゲトラーゲネル・フェライン 背面接触により電気コンポーネントを垂直に集積する方法
US6307247B1 (en) 1999-07-12 2001-10-23 Robert Bruce Davies Monolithic low dielectric constant platform for passive components and method
US6940146B2 (en) * 1999-09-03 2005-09-06 United Microelectronics Corp. Interconnect structure with an enlarged air gaps disposed between conductive structures or surrounding a conductive structure within the same
US6984571B1 (en) 1999-10-01 2006-01-10 Ziptronix, Inc. Three dimensional device integration method and integrated device
DE19956078B4 (de) * 1999-11-22 2006-12-28 Infineon Technologies Ag Verfahren zur Herstellung eines Isolationskragens in einem Grabenkondensators
US6287979B1 (en) 2000-04-17 2001-09-11 Chartered Semiconductor Manufacturing Ltd. Method for forming an air gap as low dielectric constant material using buckminsterfullerene as a porogen in an air bridge or a sacrificial layer
US6954657B2 (en) * 2000-06-30 2005-10-11 Texas Instruments Incorporated Wireless communication device having intelligent alerting system
JP2002184979A (ja) * 2000-12-19 2002-06-28 Toshiba Corp 半導体装置及びその製造方法
JP3530149B2 (ja) 2001-05-21 2004-05-24 新光電気工業株式会社 配線基板の製造方法及び半導体装置
FR2830984B1 (fr) 2001-10-17 2005-02-25 St Microelectronics Sa Tranchee d'isolement et procede de realisation
US6645832B2 (en) 2002-02-20 2003-11-11 Intel Corporation Etch stop layer for silicon (Si) via etch in three-dimensional (3-D) wafer-to-wafer vertical stack
US6908845B2 (en) * 2002-03-28 2005-06-21 Intel Corporation Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US20030183943A1 (en) 2002-03-28 2003-10-02 Swan Johanna M. Integrated circuit die and an electronic assembly having a three-dimensional interconnection scheme
US6791155B1 (en) * 2002-09-20 2004-09-14 Integrated Device Technology, Inc. Stress-relieved shallow trench isolation (STI) structure and method for forming the same
TW554472B (en) * 2002-09-23 2003-09-21 Nanya Technology Corp A method for forming shallow trench isolation
US20040127198A1 (en) * 2002-12-30 2004-07-01 Roskind James A. Automatically changing a mobile device configuration based on environmental condition
US6964907B1 (en) * 2003-11-17 2005-11-15 National Semiconductor Corporation Method of etching a lateral trench under an extrinsic base and improved bipolar transistor
TWI273671B (en) * 2004-03-18 2007-02-11 Imec Inter Uni Micro Electr Method of manufacturing a semiconductor device having damascene structures with air gaps
US20050255826A1 (en) * 2004-05-12 2005-11-17 Wittenburg Kent B Cellular telephone based surveillance system
US7396732B2 (en) 2004-12-17 2008-07-08 Interuniversitair Microelektronica Centrum Vzw (Imec) Formation of deep trench airgaps and related applications
US7333604B2 (en) * 2005-01-10 2008-02-19 Infone Tech, Ltd. Adaptive notification of an incoming call in a mobile phone

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS607149A (ja) * 1983-06-24 1985-01-14 Nec Corp 半導体装置の製造方法
JPH05160251A (ja) * 1991-06-18 1993-06-25 Internatl Business Mach Corp <Ibm> 集積回路製造方法
JPH11243142A (ja) * 1998-02-26 1999-09-07 Nec Corp 半導体装置の製造方法
JP2000183149A (ja) * 1998-12-10 2000-06-30 Sanyo Electric Co Ltd 半導体装置
JP2001326325A (ja) * 2000-05-16 2001-11-22 Seiko Epson Corp 半導体装置及びその製造方法
JP2004531070A (ja) * 2001-06-14 2004-10-07 ストミクロエレクトロニクス・ソシエテ・アノニム 深い絶縁トレンチ及びその形成方法
JP2003203914A (ja) * 2002-01-09 2003-07-18 Japan Science & Technology Corp 半導体集積回路装置及びその製造方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9054165B2 (en) 2007-07-31 2015-06-09 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end
US9842806B2 (en) 2007-07-31 2017-12-12 Micron Technology, Inc. Stacked semiconductor devices
US9711457B2 (en) 2007-07-31 2017-07-18 Micron Technology, Inc. Semiconductor devices with recessed interconnects
US8193092B2 (en) 2007-07-31 2012-06-05 Micron Technology, Inc. Semiconductor devices including a through-substrate conductive member with an exposed end and methods of manufacturing such semiconductor devices
JP4585561B2 (ja) * 2007-09-04 2010-11-24 株式会社東芝 半導体装置の製造方法
KR101085656B1 (ko) 2007-09-04 2011-11-22 가부시끼가이샤 도시바 반도체 장치 및 반도체 장치의 제조 방법
JP2009064820A (ja) * 2007-09-04 2009-03-26 Toshiba Corp 半導体装置および半導体装置の製造方法
KR101546995B1 (ko) * 2008-08-08 2015-08-24 울트라테크 인크. 쓰루 실리콘 비아 및 이의 제작 방법
JP2011530812A (ja) * 2008-08-08 2011-12-22 インターナショナル・ビジネス・マシーンズ・コーポレーション シリコン貫通ビアおよびこれを製作する方法
JP2012501079A (ja) * 2008-08-29 2012-01-12 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド スルホールビアのための炭素ベース材質を備えた半導体デバイス
JP2012119689A (ja) * 2010-12-03 2012-06-21 Samsung Electronics Co Ltd 半導体装置の製造方法
JP2013033900A (ja) * 2011-07-05 2013-02-14 Sony Corp 半導体装置、電子機器、及び、半導体装置の製造方法
US9443802B2 (en) 2011-07-05 2016-09-13 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
US11569123B2 (en) 2011-07-05 2023-01-31 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus

Also Published As

Publication number Publication date
US7396732B2 (en) 2008-07-08
US7400024B2 (en) 2008-07-15
EP1672688A1 (en) 2006-06-21
JP4801356B2 (ja) 2011-10-26
US7338896B2 (en) 2008-03-04
JP2006173551A (ja) 2006-06-29
US20060223301A1 (en) 2006-10-05
US20060131655A1 (en) 2006-06-22
JP5110792B2 (ja) 2012-12-26
EP1672687A1 (en) 2006-06-21
US20060258077A1 (en) 2006-11-16

Similar Documents

Publication Publication Date Title
JP5110792B2 (ja) ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成
US8252659B2 (en) Method for producing interconnect structures for integrated circuits
US9087878B2 (en) Device with through-silicon via (TSV) and method of forming the same
US7772123B2 (en) Through substrate via semiconductor components
JP5089575B2 (ja) 相互接続構造体及びその製造方法
US7615480B2 (en) Methods of post-contact back end of the line through-hole via integration
US7629264B2 (en) Structure and method for hybrid tungsten copper metal contact
WO2011066742A1 (zh) 3d集成电路结构、半导体器件及其形成方法
US9520321B2 (en) Integrated circuits and methods for fabricating integrated circuits with self-aligned vias
KR20060015515A (ko) 멀티레벨 상호접속 구조를 제조하기 위한 방법
CN107564850B (zh) 互连结构及其制造方法
KR101517851B1 (ko) 반도체 소자의 제조 방법
WO2011160419A1 (zh) 半导体结构及其制造方法
US6194313B1 (en) Method for reducing recess for the formation of local interconnect and or plug trench fill for etchback process
CN109841678A (zh) 鳍式场效晶体管装置结构
WO2023070860A1 (zh) 一种半导体结构及其形成方法、晶圆键合方法
US7927966B2 (en) Method of manufacturing openings in a substrate, a via in substrate, and a semiconductor device comprising such a via
JP2010135631A (ja) 配線構造及びその形成方法、並びに半導体装置
WO2009033837A2 (en) Method of fabricating ultra-deep vias and three-dimensional integrated circuits using ultra-deep vias
CN104517894B (zh) 半导体结构及其形成方法
US11916013B2 (en) Via interconnects including super vias
CN105845650B (zh) 一种硅通孔结构及其制作方法
WO2021031419A1 (zh) 第一晶圆及其形成方法、晶圆堆叠结构
CN113990832A (zh) 半导体结构
KR20100079169A (ko) 금속 배선 형성 방법

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080128

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081016

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120327

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120625

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120925

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121009

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151019

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees