JP2011530812A - シリコン貫通ビアおよびこれを製作する方法 - Google Patents

シリコン貫通ビアおよびこれを製作する方法 Download PDF

Info

Publication number
JP2011530812A
JP2011530812A JP2011522155A JP2011522155A JP2011530812A JP 2011530812 A JP2011530812 A JP 2011530812A JP 2011522155 A JP2011522155 A JP 2011522155A JP 2011522155 A JP2011522155 A JP 2011522155A JP 2011530812 A JP2011530812 A JP 2011530812A
Authority
JP
Japan
Prior art keywords
trench
layer
substrate
forming
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011522155A
Other languages
English (en)
Other versions
JP2011530812A5 (ja
JP5709265B2 (ja
Inventor
アンドリー、ポール、ステファン
スプロジス、エドマンド、ジュリス
ツァン、コーネリア、ケイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2011530812A publication Critical patent/JP2011530812A/ja
Publication of JP2011530812A5 publication Critical patent/JP2011530812A5/ja
Application granted granted Critical
Publication of JP5709265B2 publication Critical patent/JP5709265B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • H01L2224/141Disposition
    • H01L2224/1418Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/14181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1305Bipolar Junction Transistor [BJT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits

Abstract

【課題】 シリコン貫通ビア構造およびシリコン貫通ビアを製作する方法を提供する。
【解決手段】 この方法は、(a)シリコン基板(100)内にトレンチ(140)を形成するステップであって、トレンチ(140)が基板(100)の上面(105)に対して開いているステップと、(b)トレンチ(140)の側壁上に二酸化シリコン層(145)を形成するステップであって、二酸化シリコン層がトレンチ(140)を充填しないステップと、(c)トレンチ内の残りの空間をポリシリコン(160)で充填するステップと、(d)(c)の後に、基板(100)内にCMOSデバイス(200)の少なくとも一部分を製作するステップと、(e)トレンチ(140)からポリシリコン(160)を除去するステップであって、誘電体層(145)がトレンチの側壁上に残存するステップと、(f)トレンチ(140)を導電性コア(255)で再充填するステップと、(g)(f)の後に、基板(100)の上面(105)の上に1つまたは複数の配線層(260)を形成するステップであって、基板(100)に隠されている1つまたは複数の配線レベルのうちの1つの配線レベル(255)の1つの電線(260)が導電性コア(255)の上面に接触するステップとを含む。
【選択図】 図19

Description

本発明は、集積回路チップの分野に関し、より具体的には、集積回路チップ内で使用するためのシリコン貫通ビア(through silicon via)およびシリコン貫通ビアを製作する方法に関する。
集積回路チップを使用してデバイスの密度を高めるために、集積回路チップの上面と底面の両方への相互接続を行えるようにすることが望ましい。これには、集積チップの上面から底面へのシリコン貫通ビアであって、相補型金属酸化膜半導体(CMOS)技術と互換性があるシリコン貫通ビアの形成が必要である。多くの既存の貫通ビア方式は、CMOS製作プロセスに統合するのが困難であるか、または結果的に集積回路チップの前面から集積回路チップの底面へあるいは集積回路チップの底面から集積回路チップの前面へまたはその両方に伝搬する信号が容認できないほど劣化する。
したがって、当技術分野では、上記の欠点および制限を克服する必要がある。
本発明の第1の態様は、(a)シリコン基板内にトレンチを形成するステップであって、トレンチが基板の上面に対して開いているステップと、(b)トレンチの側壁上に二酸化シリコン層を形成するステップであって、二酸化シリコン層がトレンチを充填しないステップと、(c)トレンチ内の残りの空間をポリシリコンで充填するステップと、(d)(c)の後に、基板内にCMOSデバイスの少なくとも一部分を製作するステップと、(e)トレンチからポリシリコンを除去するステップであって、誘電体層がトレンチの側壁上に残存するステップと、(f)トレンチを導電性コアで再充填するステップと、(g)(f)の後に、基板の上面の上に1つまたは複数の配線層を形成するステップであって、基板に対し隠されている1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が導電性コアの上面に接触するステップとを含む、方法である。
本発明の第2の態様は、(a)シリコン基板の上面上に酸化バリア層を形成し、バリア層内に開口部を形成するステップであって、基板の上面の一領域が開口部の底面内で露出されるステップと、(b)基板がバリア層によって保護されないトレンチをシリコン基板内に形成するステップであって、トレンチが基板の上面に対して開いているステップと、(c)トレンチの側壁上に二酸化シリコン層を形成するステップであって、二酸化シリコン層がトレンチを充填しないステップと、(d)酸化バリア層を除去するステップと、(e)基板の上面および二酸化シリコン層のすべての露出面上にハードマスク層を形成するステップと、(f)トレンチ内の残りの空間をポリシリコンで充填するステップであって、その後、ポリシリコンの上面がハードマスク層の上面と同一平面上にあるステップと、(g)(f)の後に、基板内の電界効果トランジスタのソース、ドレイン、およびチャネル領域、チャネル領域上のゲート誘電体、ならびにゲート誘電体上のゲート電極を形成するステップと、(h)ハードマスク層および電界効果トランジスタの上にパッシベーション層を形成するステップと、(i)パッシベーション層を貫通して電界効果トランジスタのソース、ドレイン、およびゲート電極それぞれに対する金属接点を形成するステップであって、金属接点の上面がパッシベーション層の上面と同一平面上にあるステップと、(j)トレンチの上のパッシベーション層内に開口部を形成し、トレンチからポリシリコンを除去するステップであって、誘電体層およびハードマスク層がトレンチの側壁上に残存するステップと、(k)トレンチを導電性コアで再充填するステップであって、誘電体層およびハードマスク層がトレンチの側壁上に残存し、コアの上面がパッシベーション層の上面と同一平面上にあるステップと、(l)(k)の後に、パッシベーション層の上に1つまたは複数の配線層を形成するステップであって、基板に対し隠されている1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が導電性コアの上面に接触するステップとを含む、方法である。
本発明の第3の態様は、シリコン基板内のトレンチと、トレンチの側壁上の二酸化シリコン層であって、二酸化シリコン層がトレンチを充填せず、二酸化シリコン層がトレンチの側壁上の二酸化シリコン層の最薄領域と最厚領域との間で約10%未満の厚みの変動を有する、二酸化シリコン層と、トレンチ内の残りの空間を充填する導電性コアと、基板内のCMOSデバイスの少なくとも一部分と、基板の上面の上の1つまたは複数の配線層であって、基板に対し隠されている1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が導電性コアの上面に接触する、1つまたは複数の配線層とを含む、構造である。
本発明の第4の態様は、シリコン基板内のトレンチと、トレンチの側壁上の二酸化シリコン層であって、二酸化シリコン層がトレンチを充填しない、二酸化シリコン層と、基板の上面上および二酸化シリコン層上の誘電体層であって、誘電体層がトレンチを充填しない、誘電体層と、トレンチ内の残りの空間を充填する導電性コアと、基板内の電界効果トランジスタのソース、ドレイン、およびチャネル領域、チャネル領域上のゲート誘電体、ならびにゲート誘電体上のゲート電極と、誘電体層および電界効果トランジスタの上のパッシベーション層であって、コアがパッシベーション層を貫通して延び、コアの上面がパッシベーション層の上面と同一平面上にある、パッシベーション層と、パッシベーション層を貫通して電界効果トランジスタのソース、ドレイン、およびゲート電極それぞれに対する金属接点であって、金属接点の上面がパッシベーション層の上面と同一平面上にある、金属接点と、パッシベーション層の上の1つまたは複数の配線層であって、基板に対し隠されている1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が導電性コアの上面に接触する、1つまたは複数の配線層とを含む、構造である。
本発明の特徴は特許請求の範囲に明記されている。しかし、本発明自体は、添付図面に併せて読んだときに、以下に示す例示的な一実施形態の詳細な説明を参照することにより最も良く理解されるであろう。
本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。 本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。
図1〜図13は、本発明の諸実施形態によるシリコン貫通ビアの初期製作段階を示す断面図である。図1では、単結晶シリコン基板100は上面105を有する。一例では、基板100は上面105に対して<100>の結晶配列を有する。一例では、基板100はP型ドープされている。基板100の上面105上にはバリア層110が形成されている。一例では、バリア層110は2つまたはそれ以上の個別層を含む。一例では、図1に示されている通り、バリア層110は、基板100上の第1の層115と、第1の層上の第2の層120から構成される。一例では、第1の層115は二酸化シリコンであり、約5nm〜約20nmの厚さであり、第2の層120は窒化シリコンであり、約100nm〜約30nmの厚さである。一例では、バリア層110は、二酸化シリコンの層、窒化シリコンの層、および炭化シリコンの層のうちの1つまたは複数の層を含む。バリア層110の一目的は、その後の処理ステップのためにシリコン酸化バリア(すなわち、酸素(O、O2、またはO3として)、水素/酸素化合物(たとえば、H2O)、およびその他の酸素含有化合物(たとえば、NO、N2O)用の拡散バリア)として作用することである。したがって、バリア層110のうちの少なくとも1つの層は、酸化バリアである材料から構成される。また、バリア層110は、その表面が酸化する場合に、依然として酸素(または水)拡散バリアとして作用するために十分な厚さの材料が残っているように、十分な厚さのものである必要がある。例示のため、以下に記載する後続の図面に第1の層115および第2の層120を示す。
図2では、バリア層110上にフォトレジスト層125が形成され、フォトレジスト層内に開口部130がフォトリソグラフィにより形成され、開口部の底面内のパッド誘電体を露出する。
フォトリソグラフィ・プロセスは、フォトレジスト層が表面に塗布され、フォトレジスト層がパターン形成されたフォトマスクを通して化学線に曝され、曝されたフォトレジスト層が現像されて、パターン形成されたフォトレジスト層を形成するプロセスである。フォトレジスト層がポジ型フォトレジストを含む場合、現像液は化学線に曝されたフォトレジストの領域を溶解し、パターン形成されたフォトマスクがフォトレジスト層と衝突しないようにブロックした(または化学線の強度を大幅に減衰した)領域を溶解しない。フォトレジスト層がネガ型フォトレジストを含む場合、現像液は化学線に曝されたフォトレジストの領域を溶解せず、パターン形成されたフォトマスクがフォトレジスト層と衝突しないようにブロックした(または化学線の強度を大幅に減衰した)領域を溶解する。追加の処理(たとえば、エッチングまたはイオン注入)後、パターン形成されたフォトレジストは除去される。フォトレジスト層は任意選択で、化学線に曝す前、化学線に曝してから現像までの間、現像後のうちの1つまたは複数の時点で焼き付けることができる。
図3では、フォトレジスト層125の開口部130内でバリア層が露出される開口部135がバリア層110を貫通してエッチングされる。基板100の上面105は開口部135の底面内で露出される。一例では、開口部135は反応性イオン・エッチング(RIE)プロセスによって形成される。
図4では、トレンチ140は、バリア層110の開口部135内で基板100内にエッチングされる。一例では、トレンチ140は、RIEプロセスを使用してエッチングされる。トレンチ140をエッチングするための適切なRIEプロセスの一例は、当業界ではボッシュ・プロセス(パルスまたは時間マルチプレックス・プラズマ・エッチング・プロセスとしても知られている)として知られている。
図5では、フォトレジスト層135は除去される(図4を参照)。これは、乾燥剥離灰化(dry stripping ashing)(たとえば、酸素プラズマ内でフォトレジスト層にエッチングする)、酸性溶液内での湿式剥離(wet stripping)、または乾燥剥離と湿式剥離の組み合わせによって行うことができる。トレンチ140は、上面105から距離Dだけ基板100内に延びており、実質的に均一な幅(すなわち、W1の約±10%以内)を有し、基板100の上面105に対して測定された角度Aで本質的にまっすぐな側壁141を有する。一例では、Aは約85°〜約95°である。一例では、Aは約88°〜約92°である。図5に示されている通り、Aは約90°と等しい。Aが可能な限り90°に近いことは有利である。一例では、Dは約20ミクロン〜約200ミクロンであり、W1は約1ミクロン〜約5ミクロンである。幅とは、1つのトレンチの最も近く向かい合う2つの側壁間の距離であって、基板の上面に平行な平面内で測定されたものとして定義される。たとえば、まっすぐな辺を有する長方形のトレンチでは、幅は、長辺間で長辺に対して垂直に測定され、単一の幅値が得られる。たとえば、テーパ状の辺を有する方形のトレンチでは、幅は、長辺間で長辺に対して垂直に測定されるが、基板の上面に平行な、どの表面で幅が測定されるかに応じて幅値が変動するが、依然として最も近く向かい合う側壁間で測定される。
図6は第1の代替成形トレンチを示している。図6では、トレンチ140Aは、トレンチの最上部で幅W1を有し、トレンチの底面で幅W2を有する。図6では、側壁142は角度Aで内側に向かって均一にテーパ状になっている。図6に示されている通り、Aは90°より大きい。
図7は第2の代替成形トレンチを示している。図7では、トレンチ140Bは、トレンチの最上部で幅W1を有し、トレンチの底面で幅W3を有する。W3はW1より大きい。図7では、トレンチ140Bは、外側に向かってテーパ状になっている側壁143と球状底面144とを有する。図7に示されている通り、Aは90°より小さい。例示のため、以下に記載する後続の図面に図5のトレンチ140を示す。
図8では、トレンチ140の側面および底面上に二酸化シリコン層145が形成されている。二酸化シリコン層145は酸化プロセスによって形成される。二酸化シリコンはトレンチ140に直接隣接する上面105から両方向に成長するので、トレンチ140の外周付近のバリア層110に尖端150が形成される。一例では、二酸化シリコン層145は、大気圧(すなわち、1気圧)で約1000℃〜約1200℃の温度のH2Oを使用して湿式酸化によって形成される。一例では、二酸化シリコン層145は、大気圧で約1000℃〜約1200℃の温度のO2を使用して乾燥酸化によって形成される。一例では、二酸化シリコン層145は、約500℃〜約800℃の温度のO2またはH2Oを使用して高圧(すなわち、1気圧超)で形成される。二酸化シリコン層145はT1の厚さを有する。二酸化シリコン層145は酸化によって形成されるので、二酸化シリコン層の厚さの約半分はシリコンの消費によって形成され、酸化物成長の約半分はトレンチ140の元の側壁(図5)から基板内に進み、酸化物成長の約半分はトレンチの元の側壁からトレンチ内に進む。トレンチ140の側壁および底面上で約(T1)/2の厚さのシリコンの層が消費されている。トレンチ140の幅はこの時点でW4に縮小されており、したがって、W1(図5を参照)はW4+T1とほぼ等しい。一例では、T1は0.1ミクロン〜約1ミクロンであり、W4は約0.8ミクロン〜約4.8ミクロンである。一例では、T1は少なくとも約0.5ミクロンである。
本発明の諸実施形態の特徴の1つは、トレンチの側壁上の二酸化シリコン層の最薄領域と最厚領域との間で(トレンチの側壁に対して垂直な方向に測定した)約10%未満の厚みの変動を有する二酸化シリコン層145を形成することであり、これは現在のCMOS互換トレンチ技術では達成不能なものである。本発明の諸実施形態の特徴の1つは、約0.5ミクロン以上の厚さを有する二酸化シリコン層145を形成する能力であり、これは現在のCMOS互換トレンチ技術では達成不能なものである。本発明の諸実施形態の特徴の1つは、トレンチの側壁上の酸化物の最薄領域と最厚領域との間で(トレンチの側壁に対して垂直な方向に測定した)約10%未満の厚みの変動を有する二酸化シリコン層145を形成することであり、約0.5ミクロン以上の厚さを有する二酸化シリコン層145を形成することであり、これは現在のCMOS互換トレンチ技術では達成不能なものである。
図9では、任意選択でバリア層110(図8を参照)が除去され、基板100の上面105および二酸化シリコン層145のすべての露出面の上にハードマスク層155を付着させる。ハードマスク層155は、酸化バリア110(図1を参照)について上記のものと同じ材料および材料の組み合わせを含むことができる。例示のため、以下に記載する後続の図面にハードマスク層155を示す。
図10では、ハードマスク155上(存在する場合、そうでなければ、バリア層110上、図8を参照)に充填層160を付着させる。一例では、充填層160はポリシリコンを含む。充填層160はトレンチ140内の残りの空間をすべて充填する。トレンチ140の側壁上の任意の層間の最大距離がBであり、充填層160の厚さがCである場合、トレンチ140が最上部まで充填層160で完全に充填されることを保証するために、CはBの約1.5倍にすることができる。
図11では、トレンチ140内の充填層160の上面165がハードマスク層155の上面170と同一平面上になるように、化学的機械的研磨(CMP)が実行される。したがって、ハードマスク層155は研磨ストップ層としても作用する。
図12では、基板100の上面105より下に距離Rだけ充填層160の上面175を陥凹させるために、充填層陥凹エッチングが実行される。一例では、充填陥凹エッチングは反応性イオン・エッチング(RIE)である。一例では、Rは約50nm〜約500nmである。任意選択の充填陥凹エッチングが実行された場合、図13では、任意選択の誘電体キャップ180がトレンチ140内に形成され、図12で形成された陥凹部を充填する。キャップ180の上面185はハードマスク層155の上面170と同一平面上にある。一例では、キャップ180は、二酸化シリコンの化学的気相堆積(CVD)によって形成され、続いてCMPが行われる。例示のため、以下に記載する後続の図面にキャップ180を示す。
図13の構造は、前駆シリコン貫通ビア190と見なすことができる。このシリコン貫通ビアは、CMOSデバイスおよびCMOS技術と互換性のあるデバイスの製作に関連して図14〜図21に示され、以下に記載されている諸ステップで完成する。
図14〜図21は、本発明の諸実施形態によるCMOS集積回路デバイスおよび配線の製作と統合されたシリコン貫通ビアの追加の製作段階を示す断面図である。図14では、1つまたは複数の前駆シリコン貫通ビア190を有する基板100を使用して、集積回路の通常の製作が開始される。図14では、電界効果トランジスタ(FET)200が製作されている。FET200は、ウェル210内に形成され、ゲート電極220の下のチャネル領域215によって分離されたソース/ドレイン205を含む。ゲート電極220はゲート誘電体225によってチャネル領域から分離されている。ゲート電極220の側壁上には誘電体側壁スペーサが形成されている。ソース/ドレイン205およびウェル210は基板100内に形成されている。誘電体トレンチ分離235は、ウェル210の外周に隣接し、ソース/ドレイン205に隣接する。ゲート誘電体225は基板100の上面105に接して形成され、ゲート電極220およびスペーサ230は上面105より上に形成される。金属シリサイド接点(図示せず)はソース/ドレイン205およびゲート電極220の露出面上に形成される。FET200は、プロセスのこの時点で製作可能なCMOSデバイスの一例である。その他のタイプのCMOSデバイスまたはCMOS互換(すなわち、CMOSプロセス技術を使用して製作可能なもの)としては、バイポーラ・トランジスタ(SiGeトランジスタを含む)、ダイオード、キャパシタ、トレンチ・キャパシタ、および抵抗器(ポリシリコンおよび金属抵抗器を含む)を含む。FET200(あるいはFETとその他のデバイスまたはその両方)の製作後、ハードマスク層155、トレンチ分離235、およびFET200の露出部分上に誘電体パッシベーション層240を付着させる。一例では、誘電体層240は二酸化シリコンである。一例では、パッシベーション層240は、テトラエトキシシラン(TEOS)を使用するCVD(すなわち、TEOS酸化物を形成するため)によって形成される。一例では、パッシベーション層240は、シランあるいはシラン誘導体またはその両方を使用する酸化物の高圧(1気圧超)プラズマ付着(すなわち、HDP酸化物を形成するため)によって形成される。
図15では、ソース/ドレイン205およびゲート電極220に対する金属接点245がパッシベーション層240を貫通して形成される。一例では、接点245はタングステンを含む。金属接点245は、ダマシーン・プロセス(damascene process)を使用して形成されている。
ダマシーン・プロセスは、電線トレンチまたはビア開口部が誘電体層内に形成され、トレンチを充填するために十分な厚さの電気導体を誘電体の上面上に付着させ、化学的機械的研磨(CMP)プロセスを実行して、過剰な導体を除去し、導体の表面を誘電体層の表面と同一平面上にして、ダマシーン電線(またはダマシーン・ビア)を形成するプロセスである。1つのトレンチおよび電線(または1つのビア開口部およびビア)のみが形成される場合、このプロセスはシングルダマシーンと呼ばれる。電線という用語は接点という用語を含むものである。
図16では、フォトリソグラフィ/RIEプロセスを使用して、前駆シリコン貫通ビア190の上にパッシベーション層140およびハードマスク層155を貫通する開口部250を形成する。誘電体キャップ180(図15を参照)がこのプロセス中に除去されるとともに、ハードマスク層155および二酸化シリコン層145の上部領域が開口部250内で露出されることに留意されたい。
図17では、充填層160(図16を参照)がトレンチ140から除去される。第1の例では、充填層160(図16を参照)を除去するために、ポリシリコン・ボッシュ・エッチング・プロセス(ハードマスク層155および二酸化シリコン層145の材料に対して選択的)が使用される。第2の例では、充填層160(図16を参照)を除去するために、TMAH水または水酸化アンモニウム水を使用するウェット・エッチングが使用される。第3の例では、充填層160(図16を参照)を除去するために、ポリシリコン・ボッシュ・エッチング・プロセス(ハードマスク層155および二酸化シリコン層145の材料に対して選択的)が使用され、続いて水酸化テトラメチルアンモニウム(TMAH)水または水酸化アンモニウム水におけるウェット・クリーンアップ・エッチングが行われる。
図18では、導電性コア255は、トレンチ140および開口部150内の空間を完全に充填する。コア255の上面はパッシベーション層240の上面と同一平面上にある。コア255はダマシーン・プロセスを使用して形成されている。一例では、コア255は金属を含む。一例では、コア255は耐火金属を含む。耐火金属は、熱、摩耗、および腐食に対して並外れて抵抗力のある金属の部類である。5種類の耐火金属は、タングステン(W)、モリブデン(Mo)、ニオブ(Nb)、タンタル(Ta)、およびレニウム(Re)である。一例では、コア255は、タングステン、タンタル、またはその組み合わせを含む。一例では、コア255は、銅または銅とタンタルの組み合わせを含む。一例では、コア255はドープ・ポリシリコンを含む。これで、フロントエンドオブライン(FEOL)操作と呼ばれるものによるかまたは単純にFEOLによる集積回路の製作が完了する。コア255はパッシベーション層240内の一体型接点領域257を含む。
図19では、バックエンドオブライン(BEOL)操作と呼ばれるものまたは単純にBEOLの間に1つまたは複数の配線レベルを追加することにより、集積回路の製作が完了する。図19では、2つの配線レベルが追加されている。第1の配線レベル255は、層間誘電体(ILD)層265内に形成されたデュアル・ダマシーン電線260を含む。
デュアル・ダマシーン・プロセスは、誘電体層の全厚を貫通してビア開口部が形成され、続いて任意の所与の断面図において誘電体層を途中まで貫通するトレンチを形成するプロセスである。すべてのビア開口部は、上にある複数の一体型電線トレンチならびに下にある1つの電線トレンチによって横切られているが、すべてのトレンチがビア開口部を横切る必要があるわけではない。トレンチおよびビア開口部を充填するために十分な厚さの電気導体を誘電体の上面上に付着させ、CMPプロセスを実行して、トレンチ内の導体の表面を誘電体層の表面と同一平面上にして、デュアル・ダマシーン電線および一体型デュアル・ダマシーン・ビアを有するデュアル・ダマシーン電線を形成する。一部のデュアル・ダマシーン・プロセスでは、まずトレンチが形成され、続いてビア開口部が形成される。
代わって、ビア用のシングル・ダマシーン・プロセスおよび電線用のシングル・ダマシーン・プロセスを使用して、電線275を形成することができる(しかし、ILD255は2つの誘電体層を含むであろう)。第2の配線レベル270は、ILD層280内に形成されたデュアル・ダマシーン電線275を含む。これで、集積回路のBEOL製作が完了する。
BEOLの後で追加の操作が実行される。図20では、基板100を薄型化し、コア255の底面290を露出し、シリコン貫通ビア285の製作を完了するために、背面研磨あるいはCMPまたはその両方が実行されている。コア255の底面290は基板100の底面295と同一平面上にある。
次に、コア255および電線275に対する半田バンプ接続を形成するなど、電線275およびシリコン貫通ビア285に対する様々な相互接続構造を作成することができる。このような相互接続構造の例は図21に示されている。
図21では、基板100の底面295上に誘電体底面パッシベーション層300が形成される。導電性底面パッド305は、シリコン貫通ビア285の上の底面パッシベーション層300内の開口部を貫通してコア255と接触する。底面パッド305上に底面半田バンプ310が形成される。導電性上面パッド325は、電線275の上の上面パッシベーション層315内の開口部を貫通して電線275と接触する。上面パッド325上に上面半田バンプ330が形成される。単一の貫通ビア285がパッド305に接続されているが、2つまたはそれ以上のシリコン貫通ビアがパッド305に接続され、その結果、単一の底面相互接続を共用することもできる。
したがって、本発明の諸実施形態によるウェハ貫通ビアの製作は、(1)FEOLの前にシリコン貫通ビア前駆構造を形成するステップと、(2)FEOL中にコア導体を追加することにより、シリコン貫通ビア前駆構造を変更するステップと、(3)BEOL後にシリコン貫通ビアを完成するステップとを含む。
したがって、本発明の諸実施形態は、CMOS技術と互換性があり、当業界で現在入手可能な集積回路チップの上面から集積回路の底面までの絶縁体の厚さが優れている、シリコン貫通ビアおよびシリコン貫通ビアを製作する方法を提供する。
本発明を理解するために、本発明の諸実施形態の説明が上記で示されている。本発明は本明細書に記載された特定の諸実施形態に限定されず、本発明の範囲を逸脱せずに当業者にとって明らかになるような様々な修正、再配列、および代用が可能であることが理解されるであろう。したがって、以下の特許請求の範囲は、本発明の真の精神および範囲に該当するこのような修正および変更をすべて包含するものである。

Claims (35)

  1. (a)シリコン基板内にトレンチを形成するステップであって、前記トレンチが前記基板の上面に対して開いているステップと、
    (b)前記トレンチの側壁上に二酸化シリコン層を形成するステップであって、前記二酸化シリコン層が前記トレンチを充填しないステップと、
    (c)前記トレンチ内の残りの空間をポリシリコンで充填するステップと、
    (d)(c)の後に、前記基板内にCMOSデバイスの少なくとも一部分を製作するステップと、
    (e)前記トレンチから前記ポリシリコンを除去するステップであって、前記誘電体層が前記トレンチの前記側壁上に残存するステップと、
    (f)前記トレンチを導電性コアで再充填するステップと、
    (g)(f)の後に、前記基板の前記上面の上に1つまたは複数の配線層を形成するステップであって、前記基板に対し隠されている前記1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が前記導電性コアの上面に接触するステップと、
    を含む、方法。
  2. (h)前記基板を底面から薄型化して薄型化基板を形成するステップであって、前記薄型化の後、前記コアの底面が前記薄型化基板の底面と同一平面上にあるステップ
    をさらに含む、請求項1記載の方法。
  3. 前記コアの前記底面に対する相互接続構造を形成するステップ
    をさらに含む、請求項2記載の方法。
  4. 前記相互接続構造を形成する前記ステップが、
    前記薄型化基板の前記底面上に誘電体層を形成するステップと、
    前記誘電体層内に開口部を形成するステップであって、前記コアが前記開口部の底面内で露出されるステップと、
    前記誘電体層上に金属パッドを形成するステップであって、前記パッドの外周が前記開口部の外周に重なり、前記パッドが前記コアの前記底面に接触するステップと、
    前記金属パッド上に半田バンプを形成するステップと、
    を含む、請求項3記載の方法。
  5. 前記二酸化シリコン層が、前記トレンチの前記側壁上に露出された前記基板の熱酸化によって形成される、請求項1記載の方法。
  6. 前記二酸化シリコン層が少なくとも0.5ミクロンの厚さである、請求項1記載の方法。
  7. 前記二酸化シリコン層が、前記トレンチの前記側壁上の前記二酸化シリコン層の最薄領域と最厚領域との間で10%未満の厚みの変動を有する、請求項6記載の方法。
  8. (a)が、
    前記基板の前記上面上に酸化バリアを形成するステップと、
    前記酸化バリア内に開口部を形成するステップであって、前記基板の前記上面の一領域が前記開口部内で露出されるステップと、
    前記開口部内で露出された前記基板にプラズマ・エッチングするステップと、
    を含む、請求項1記載の方法。
  9. (a)が、
    前記基板の上面上に酸化バリア層を形成するステップと、
    前記バリア層の上面上にパターン形成されたフォトレジスト層を形成するステップと、
    前記バリア層にエッチングして、前記バリア層が前記パターン形成されたフォトレジスト層によって保護されない前記基板の前記上面を露出するステップと、
    前記バリア層にエッチングした後、前記基板にエッチングして、前記トレンチを形成するステップと、
    前記トレンチにエッチングした後であって(b)の前に、前記フォトレジスト層を除去するステップと、
    を含む、請求項1記載の方法。
  10. (b)と(c)との間に、前記基板の前記上面および前記二酸化シリコン層の露出面上にパッド誘電体層を形成するステップ
    をさらに含む、請求項1記載の方法。
  11. (c)と(d)との間に、前記トレンチ内の前記ポリシリコンの上部領域を除去し、前記トレンチ内の残りのポリシリコン上に誘電体キャップを形成するステップ
    をさらに含む、請求項10記載の方法。
  12. 前記CMOSデバイスが電界効果トランジスタであり、(d)が、
    前記電界効果トランジスタを形成した後、前記基板の前記上面の上にパッシベーション層を形成するステップと、
    前記パッシベーション層を貫通して前記電界効果トランジスタのソース、ドレイン、およびゲート電極に対する金属接点を形成するステップと、
    前記トレンチの上の前記パッシベーション層内に開口部を形成するステップと、
    を含む、請求項1記載の方法。
  13. (f)を実行した後、前記コアの上部領域が前記パッシベーション層内の前記開口部を充填する、請求項12記載の方法。
  14. 前記トレンチが、前記ウェハの前記上面に対して垂直なまっすぐな側壁と、実質的に均一な幅を有する、請求項1記載の方法。
  15. 前記トレンチの前記上面における前記トレンチの第1の幅が前記トレンチの底面における前記トレンチの第2の幅より大きくなるように、前記トレンチが互いに向かってテーパ状になっているまっすぐな側壁を有する、請求項1記載の方法。
  16. 前記トレンチの前記上面における前記トレンチの第1の幅が前記トレンチの底面における前記トレンチの第2の幅より小さくなるように、前記トレンチが互いに離れるようにテーパ状になっているまっすぐな側壁を有する、請求項1記載の方法。
  17. (a)シリコン基板の上面上に酸化バリア層を形成し、前記バリア層内に開口部を形成するステップであって、前記基板の前記上面の一領域が前記開口部の底面内で露出されるステップと、
    (b)前記基板が前記バリア層によって保護されないトレンチを前記シリコン基板内に形成するステップであって、前記トレンチが前記基板の上面に対して開いているステップと、
    (c)前記トレンチの側壁上に二酸化シリコン層を形成するステップであって、前記二酸化シリコン層がトレンチを充填しないステップと、
    (d)前記酸化バリア層を除去するステップと、
    (e)前記基板の前記上面および前記二酸化シリコン層のすべての露出面上にハードマスク層を形成するステップと、
    (f)前記トレンチ内の残りの空間をポリシリコンで充填するステップであって、その後、前記ポリシリコンの上面が前記ハードマスク層の上面と同一平面上にあるステップと、
    (g)(f)の後に、前記基板内の電界効果トランジスタのソース、ドレイン、およびチャネル領域、前記チャネル領域上のゲート誘電体、ならびに前記ゲート誘電体上のゲート電極を形成するステップと、
    (h)前記ハードマスク層および前記電界効果トランジスタの上にパッシベーション層を形成するステップと、
    (i)前記パッシベーション層を貫通して前記電界効果トランジスタの前記ソース、前記ドレイン、および前記ゲート電極それぞれに対する金属接点を形成するステップであって、前記金属接点の上面が前記パッシベーション層の上面と同一平面上にあるステップと、
    (j)前記トレンチの上の前記パッシベーション層内に開口部を形成し、前記トレンチから前記ポリシリコンを除去するステップであって、前記誘電体層および前記ハードマスク層が前記トレンチの前記側壁上に残存するステップと、
    (k)前記トレンチを導電性コアで再充填するステップであって、前記誘電体層および前記ハードマスク層が前記トレンチの前記側壁上に残存し、前記コアの上面が前記パッシベーション層の前記上面と同一平面上にあるステップと、
    (l)(k)の後に、前記パッシベーション層の上に1つまたは複数の配線層を形成するステップであって、前記基板に対し隠されている前記1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が前記導電性コアの前記上面に接触するステップと、
    を含む、方法。
  18. (m)前記基板を底面から薄型化して薄型化基板を形成するステップであって、前記薄型化の後、前記コアの底面が前記薄型化基板の底面と同一平面上にあるステップ
    をさらに含む、請求項17記載の方法。
  19. 前記薄型化基板の前記底面上に誘電体層を形成するステップと、
    前記底面パッシベーション層内に開口部を形成するステップであって、前記コアが前記開口部の底面内で露出されるステップと、
    前記誘電体層上に金属パッドを形成するステップであって、前記パッドの外周が前記開口部の外周に重なり、前記パッドが前記コアの前記底面に接触するステップと、
    前記底面金属パッド上に半田バンプを形成するステップと、
    をさらに含む、請求項18記載の方法。
  20. 前記二酸化シリコン層が、前記トレンチの前記側壁上に露出された前記基板の熱酸化によって形成される、請求項17記載の方法。
  21. 前記二酸化シリコン層が少なくとも0.5ミクロンの厚さである、請求項17記載の方法。
  22. 前記二酸化シリコン層が、前記トレンチの前記側壁上の前記二酸化シリコン層の最薄領域と最厚領域との間で10%未満の厚みの変動を有する、請求項21記載の方法。
  23. (a)が、前記バリア層の上面上にパターン形成されたフォトレジスト層を形成するステップと、前記バリア層にエッチングして、前記バリア層が前記パターン形成されたフォトレジスト層によって保護されない前記開口部を前記バリア層内に形成するステップとを含み、
    (b)が、前記開口部内で露出された前記基板にプラズマ・エッチングすることにより、前記トレンチを形成するステップと、前記トレンチにエッチングした後であって(c)の前に、前記フォトレジスト層を除去するステップとを含む、請求項17記載の方法。
  24. (f)と(g)との間に、前記トレンチ内の前記ポリシリコンの上部領域を除去し、前記トレンチ内の残りのポリシリコン上に誘電体キャップを形成するステップをさらに含み、(j)が、前記誘電体キャップを除去するステップを含む、請求項17記載の方法。
  25. 前記トレンチが、前記ウェハの前記上面に対して垂直なまっすぐな側壁と、実質的に均一な幅を有する、請求項17記載の方法。
  26. 前記トレンチの前記上面における前記トレンチの第1の幅が前記トレンチの底面における前記トレンチの第2の幅より大きくなるように、前記トレンチが互いに向かってテーパ状になっているまっすぐな側壁を有する、請求項17記載の方法。
  27. 前記トレンチの前記上面における前記トレンチの第1の幅が前記トレンチの底面における前記トレンチの第2の幅より小さくなるように、前記トレンチが互いに離れるようにテーパ状になっているまっすぐな側壁を有する、請求項17記載の方法。
  28. シリコン基板内のトレンチと、
    前記トレンチの側壁上の二酸化シリコン層であって、前記二酸化シリコン層が前記トレンチを充填せず、前記二酸化シリコン層が前記トレンチの前記側壁上の前記二酸化シリコン層の最薄領域と最厚領域との間で10%未満の厚みの変動を有する、二酸化シリコン層と、
    前記トレンチ内の残りの空間を充填する導電性コアと、
    前記基板内のCMOSデバイスの少なくとも一部分と、
    前記基板の前記上面の上の1つまたは複数の配線層であって、前記基板に対し隠されている前記1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が前記導電性コアの上面に接触する、1つまたは複数の配線層と、
    を含む、構造体。
  29. 前記導電性コアが耐火金属を含む、請求項28記載の構造体。
  30. 前記基板の前記底面上の誘電体層と、
    前記底面誘電体層内の開口部であって、前記コアが前記開口部の底面内で露出される、開口部と、
    前記誘電体層上の金属パッドであって、前記パッドの外周が前記開口部の外周に重なり、前記パッドが前記コアの前記底面に接触する、金属パッドと、
    前記金属パッド上の半田バンプと、
    をさらに含む、請求項28記載の構造体。
  31. (i)前記トレンチが、前記ウェハの前記上面に対して垂直なまっすぐな側壁と、実質的に均一な幅を有するか、(ii)前記トレンチの前記上面における前記トレンチの第1の幅が前記トレンチの底面における前記トレンチの第2の幅より大きくなるように、前記トレンチが互いに向かってテーパ状になっているまっすぐな側壁を有するか、または(iii)前記トレンチの前記上面における前記トレンチの前記第1の幅が前記トレンチの前記底面における前記トレンチの前記第2の幅より小さくなるように、前記トレンチが互いに離れるようにテーパ状になっているまっすぐな側壁を有するかのいずれかである、請求項28記載の構造体。
  32. シリコン基板内のトレンチと、
    前記トレンチの側壁上の二酸化シリコン層であって、前記二酸化シリコン層が前記トレンチを充填しない、二酸化シリコン層と、
    前記基板の前記上面上および前記二酸化シリコン層上の誘電体層であって、前記誘電体層が前記トレンチを充填しない、誘電体層と、
    前記トレンチ内の残りの空間を充填する導電性コアと、
    前記基板内の電界効果トランジスタのソース、ドレイン、およびチャネル領域、前記チャネル領域上のゲート誘電体、ならびに前記ゲート誘電体上のゲート電極と、
    誘電体層および前記電界効果トランジスタの上のパッシベーション層であって、前記コアが前記パッシベーション層を貫通して延び、前記コアの上面が前記パッシベーション層の前記上面と同一平面上にある、パッシベーション層と、
    前記パッシベーション層を貫通して前記電界効果トランジスタの前記ソース、前記ドレイン、および前記ゲート電極それぞれに対する金属接点であって、前記金属接点の上面が前記パッシベーション層の上面と同一平面上にある、金属接点と、
    前記パッシベーション層の上の1つまたは複数の配線層であって、前記基板に対し隠されている前記1つまたは複数の配線レベルのうちの1つの配線レベルの1つの電線が前記導電性コアの前記上面に接触する、1つまたは複数の配線層と、
    を含む、構造体。
  33. 前記基板の底面上の誘電体層と、
    前記底面誘電体層内の開口部であって、前記コアが前記開口部の底面内で露出される、開口部と、
    前記誘電体層上の金属パッドであって、前記パッドの外周が前記開口部の外周に重なり、前記パッドが前記コアの前記底面に接触する、金属パッドと、
    前記金属パッド上の半田バンプと、
    をさらに含む、請求項32記載の構造体。
  34. 前記コアが耐火金属を含む、請求項32記載の構造体。
  35. (i)前記トレンチが、前記ウェハの前記上面に対して垂直なまっすぐな側壁と、実質的に均一な幅を有するか、(ii)前記トレンチの前記上面における前記トレンチの第1の幅が前記トレンチの底面における前記トレンチの第2の幅より大きくなるように、前記トレンチが互いに向かってテーパ状になっているまっすぐな側壁を有するか、または(iii)前記トレンチの前記上面における前記トレンチの前記第1の幅が前記トレンチの前記底面における前記トレンチの前記第2の幅より小さくなるように、前記トレンチが互いに離れるようにテーパ状になっているまっすぐな側壁を有するかのいずれかである、請求項32記載の構造体。
JP2011522155A 2008-08-08 2009-08-04 シリコン貫通ビアを製作する方法 Expired - Fee Related JP5709265B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/188,228 2008-08-08
US12/188,228 US8138036B2 (en) 2008-08-08 2008-08-08 Through silicon via and method of fabricating same
PCT/US2009/052643 WO2010017162A1 (en) 2008-08-08 2009-08-04 Through silicon via and method of fabricating same

Publications (3)

Publication Number Publication Date
JP2011530812A true JP2011530812A (ja) 2011-12-22
JP2011530812A5 JP2011530812A5 (ja) 2014-05-15
JP5709265B2 JP5709265B2 (ja) 2015-04-30

Family

ID=41652107

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011522155A Expired - Fee Related JP5709265B2 (ja) 2008-08-08 2009-08-04 シリコン貫通ビアを製作する方法

Country Status (6)

Country Link
US (3) US8138036B2 (ja)
EP (1) EP2319075B1 (ja)
JP (1) JP5709265B2 (ja)
KR (1) KR101546995B1 (ja)
TW (1) TWI515826B (ja)
WO (1) WO2010017162A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012195514A (ja) * 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
JP2014107304A (ja) * 2012-11-22 2014-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
US9705009B2 (en) 2013-03-22 2017-07-11 Kabushiki Kaisha Toshiba Semiconductor device
WO2018029965A1 (ja) * 2016-08-10 2018-02-15 ソニー株式会社 半導体集積回路
CN108666285A (zh) * 2017-03-29 2018-10-16 东芝存储器株式会社 半导体装置及其制造方法
WO2023228704A1 (ja) * 2022-05-27 2023-11-30 ソニーセミコンダクタソリューションズ株式会社 半導体デバイス、電子機器、および製造方法

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374338B1 (ko) * 2007-11-14 2014-03-14 삼성전자주식회사 관통 전극을 갖는 반도체 장치 및 그 제조방법
US8138036B2 (en) 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
US8384224B2 (en) 2008-08-08 2013-02-26 International Business Machines Corporation Through wafer vias and method of making same
US8299566B2 (en) * 2008-08-08 2012-10-30 International Business Machines Corporation Through wafer vias and method of making same
DE102008054765A1 (de) * 2008-12-16 2010-06-24 Robert Bosch Gmbh Bauteil mit einer Durchkontaktierung und ein Verfahren zur Herstellung eines solchen Bauteils
JP5308145B2 (ja) 2008-12-19 2013-10-09 ルネサスエレクトロニクス株式会社 半導体装置
US9490212B2 (en) 2009-04-23 2016-11-08 Huilong Zhu High quality electrical contacts between integrated circuit chips
US9646882B2 (en) 2009-04-23 2017-05-09 Huilong Zhu High quality electrical contacts between integrated circuit chips
US8362622B2 (en) * 2009-04-24 2013-01-29 Synopsys, Inc. Method and apparatus for placing transistors in proximity to through-silicon vias
US9799562B2 (en) * 2009-08-21 2017-10-24 Micron Technology, Inc. Vias and conductive routing layers in semiconductor substrates
US8136084B2 (en) * 2009-09-09 2012-03-13 International Business Machines Corporation Arranging through silicon vias in IC layout
TWI411075B (zh) 2010-03-22 2013-10-01 Advanced Semiconductor Eng 半導體封裝件及其製造方法
FR2957717B1 (fr) * 2010-03-22 2012-05-04 St Microelectronics Sa Procede de formation d'une structure de type metal-isolant-metal tridimensionnelle
FR2958076B1 (fr) * 2010-03-24 2012-08-17 St Microelectronics Sa Procede de formation de vias electriques
EP2378548A1 (en) 2010-04-19 2011-10-19 Nanda Technologies GmbH Methods of processing and inspecting semiconductor substrates
US8338939B2 (en) 2010-07-12 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. TSV formation processes using TSV-last approach
US8519473B2 (en) 2010-07-14 2013-08-27 Infineon Technologies Ag Vertical transistor component
US8847400B2 (en) 2010-09-15 2014-09-30 Ps4 Luxco S.A.R.L. Semiconductor device, method for manufacturing the same, and data processing device
US8659152B2 (en) 2010-09-15 2014-02-25 Osamu Fujita Semiconductor device
US20120080802A1 (en) 2010-09-30 2012-04-05 International Business Machines Corporation Through silicon via in n+ epitaxy wafers with reduced parasitic capacitance
KR20120048991A (ko) 2010-11-08 2012-05-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8941222B2 (en) 2010-11-11 2015-01-27 Advanced Semiconductor Engineering Inc. Wafer level semiconductor package and manufacturing methods thereof
US9396997B2 (en) 2010-12-10 2016-07-19 Infineon Technologies Ag Method for producing a semiconductor component with insulated semiconductor mesas
US9142665B2 (en) 2010-12-10 2015-09-22 Infineon Technologies Austria Ag Semiconductor component with a semiconductor via
US9406658B2 (en) 2010-12-17 2016-08-02 Advanced Semiconductor Engineering, Inc. Embedded component device and manufacturing methods thereof
US9406562B2 (en) 2011-01-13 2016-08-02 GlobalFoundries, Inc. Integrated circuit and design structure having reduced through silicon via-induced stress
US8823090B2 (en) 2011-02-17 2014-09-02 International Business Machines Corporation Field-effect transistor and method of creating same
US8952499B2 (en) * 2011-02-21 2015-02-10 Panasonic Intellectual Property Management Co., Ltd. Integrated circuit
SE536530C2 (sv) * 2011-04-21 2014-02-04 Silex Microsystems Ab Startsubstrat för halvledarteknologi med substratgenomgåendekopplingar och en metod för tillverkning därav
US8853857B2 (en) 2011-05-05 2014-10-07 International Business Machines Corporation 3-D integration using multi stage vias
FR2976120A1 (fr) 2011-06-01 2012-12-07 St Microelectronics Sa Procede de fabrication d'un circuit integre comprenant au moins un guide d'ondes coplanaire
US8791009B2 (en) 2011-06-07 2014-07-29 International Business Machines Corporation Method of forming a through-silicon via utilizing a metal contact pad in a back-end-of-line wiring level to fill the through-silicon via
JP2013004572A (ja) * 2011-06-13 2013-01-07 Mitsubishi Electric Corp 半導体装置の製造方法
US8487425B2 (en) 2011-06-23 2013-07-16 International Business Machines Corporation Optimized annular copper TSV
US8492903B2 (en) 2011-06-29 2013-07-23 International Business Machines Corporation Through silicon via direct FET signal gating
US20130015504A1 (en) * 2011-07-11 2013-01-17 Chien-Li Kuo Tsv structure and method for forming the same
US8394718B1 (en) 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
KR20130053338A (ko) * 2011-11-15 2013-05-23 삼성전자주식회사 Tsv 구조를 구비한 집적회로 소자
US8642423B2 (en) * 2011-11-30 2014-02-04 International Business Machines Corporation Polysilicon/metal contact resistance in deep trench
KR101934045B1 (ko) 2012-03-22 2019-01-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8637993B2 (en) * 2012-04-23 2014-01-28 GlobalFoundries, Inc. 3D integrated circuit system with connecting via structure and method for forming the same
KR101931115B1 (ko) * 2012-07-05 2018-12-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8933564B2 (en) * 2012-12-21 2015-01-13 Intel Corporation Landing structure for through-silicon via
US9245790B2 (en) * 2013-01-23 2016-01-26 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with multiple embedded interconnect connection to same through-semiconductor via
US9761481B2 (en) * 2013-01-23 2017-09-12 GlobalFoundries, Inc. Integrated circuits and methods of forming the same with metal layer connection to through-semiconductor via
US9123789B2 (en) * 2013-01-23 2015-09-01 United Microelectronics Corp. Chip with through silicon via electrode and method of forming the same
US9997443B2 (en) 2013-02-25 2018-06-12 Infineon Technologies Ag Through vias and methods of formation thereof
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US9431320B2 (en) 2013-03-15 2016-08-30 Analog Devices, Inc. Methods and structures to facilitate through-silicon vias
KR20140140390A (ko) * 2013-05-29 2014-12-09 삼성전자주식회사 관통전극을 갖는 반도체 소자의 제조방법
JP2015041691A (ja) * 2013-08-21 2015-03-02 株式会社東芝 半導体装置および半導体装置の製造方法
US9337126B2 (en) * 2013-11-27 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and fabricating method thereof
US9570576B2 (en) * 2013-12-10 2017-02-14 Infineon Technologies Ag Method for forming a semiconductor device having insulating parts or layers formed via anodic oxidation
US9218958B2 (en) 2013-12-10 2015-12-22 Infineon Technologies Ag Method for forming a semiconductor device
US9496337B2 (en) * 2013-12-19 2016-11-15 Infineon Technologies Austria Ag Method for producing a semiconductor device having a beveled edge termination
CN104916577B (zh) * 2014-03-14 2018-08-24 北京北方华创微电子装备有限公司 斜孔刻蚀方法
US9230966B2 (en) * 2014-04-09 2016-01-05 Nanya Technology Corp. Capacitor and method of manufacturing the same
KR20170023643A (ko) 2015-08-24 2017-03-06 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9780052B2 (en) * 2015-09-14 2017-10-03 Micron Technology, Inc. Collars for under-bump metal structures and associated systems and methods
KR102500813B1 (ko) * 2015-09-24 2023-02-17 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10147682B2 (en) * 2015-11-30 2018-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for stacked logic performance improvement
US9741817B2 (en) * 2016-01-21 2017-08-22 Tower Semiconductor Ltd. Method for manufacturing a trench metal insulator metal capacitor
US9768062B1 (en) * 2016-06-16 2017-09-19 Samsung Electronics Co., Ltd. Method for forming low parasitic capacitance source and drain contacts
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
KR101928421B1 (ko) 2017-08-18 2018-12-12 강일중 수직 적층용 칩 제작 및 멀티 칩 패키지 제작 방법 및 멀티 칩 패키지
KR20190044196A (ko) 2017-10-20 2019-04-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
KR102589608B1 (ko) 2018-10-22 2023-10-16 삼성전자주식회사 이미지 센서 및 이의 제조 방법
TWI714093B (zh) * 2019-05-21 2020-12-21 友達光電股份有限公司 陣列基板
US20220068820A1 (en) * 2020-08-28 2022-03-03 Micron Technology, Inc. Front end of line interconnect structures and associated systems and methods
US11817305B2 (en) 2020-08-28 2023-11-14 Micron Technology, Inc. Front end of line interconnect structures and associated systems and methods
US11862569B2 (en) 2020-08-28 2024-01-02 Micron Technology, Inc. Front end of line interconnect structures and associated systems and methods
US20220301878A1 (en) * 2021-03-18 2022-09-22 International Business Machines Corporation Substrate thinning for a backside power distribution network

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002124517A (ja) * 2000-10-13 2002-04-26 Sharp Corp 半導体装置およびその製造方法
JP2005243689A (ja) * 2004-02-24 2005-09-08 Canon Inc 半導体チップの製造方法および半導体装置
WO2005101476A1 (ja) * 2004-04-16 2005-10-27 Japan Science And Technology Agency 半導体素子及び半導体素子の製造方法
WO2006019156A1 (ja) * 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
JP2006060067A (ja) * 2004-08-20 2006-03-02 Rohm Co Ltd 半導体チップの製造方法、半導体チップ、半導体装置の製造法および半導体装置
JP2006173637A (ja) * 2004-12-17 2006-06-29 Interuniv Micro Electronica Centrum Vzw ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成
JP2007005811A (ja) * 2005-06-24 2007-01-11 Siemens Ag 電子部品とその製造方法
WO2007084879A2 (en) * 2006-01-13 2007-07-26 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
JP2008147224A (ja) * 2006-12-06 2008-06-26 Sony Corp 半導体装置の製造方法および半導体装置
JP2008172223A (ja) * 2007-01-05 2008-07-24 Internatl Business Mach Corp <Ibm> 低応力及び低欠陥密度の導電性貫通ビアを備えたシリコン・キャリアの製造方法及び該キャリアを有する半導体デバイス

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4702795A (en) 1985-05-03 1987-10-27 Texas Instruments Incorporated Trench etch process
US4666556A (en) 1986-05-12 1987-05-19 International Business Machines Corporation Trench sidewall isolation by polysilicon oxidation
US5608264A (en) * 1995-06-05 1997-03-04 Harris Corporation Surface mountable integrated circuit with conductive vias
US5933748A (en) 1996-01-22 1999-08-03 United Microelectronics Corp. Shallow trench isolation process
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
EP1001459B1 (en) * 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
DE10014920C1 (de) * 2000-03-17 2001-07-26 Infineon Technologies Ag Verfahren zur Herstellung eines Grabenkondensators
US6329234B1 (en) * 2000-07-24 2001-12-11 Taiwan Semiconductor Manufactuirng Company Copper process compatible CMOS metal-insulator-metal capacitor structure and its process flow
US6437386B1 (en) * 2000-08-16 2002-08-20 Fairchild Semiconductor Corporation Method for creating thick oxide on the bottom surface of a trench structure in silicon
US7271491B1 (en) 2000-08-31 2007-09-18 Micron Technology, Inc. Carrier for wafer-scale package and wafer-scale package including the carrier
US6406934B1 (en) 2000-09-05 2002-06-18 Amkor Technology, Inc. Wafer level production of chip size semiconductor packages
US6836020B2 (en) 2003-01-22 2004-12-28 The Board Of Trustees Of The Leland Stanford Junior University Electrical through wafer interconnects
US6841883B1 (en) 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
CN101217136B (zh) * 2003-05-29 2011-03-02 日本电气株式会社 布线结构及其制造方法
US6897125B2 (en) 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
US7005388B1 (en) 2003-12-04 2006-02-28 National Semiconductor Corporation Method of forming through-the-wafer metal interconnect structures
US7276787B2 (en) 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US6943106B1 (en) 2004-02-20 2005-09-13 Micron Technology, Inc. Methods of fabricating interconnects for semiconductor components including plating solder-wetting material and solder filling
US7141855B2 (en) 2004-04-27 2006-11-28 Via Technologies, Inc. Dual-thickness active device layer SOI chip structure
US7005371B2 (en) 2004-04-29 2006-02-28 International Business Machines Corporation Method of forming suspended transmission line structures in back end of line processing
US20050248002A1 (en) 2004-05-07 2005-11-10 Michael Newman Fill for large volume vias
US7078814B2 (en) * 2004-05-25 2006-07-18 International Business Machines Corporation Method of forming a semiconductor device having air gaps and the structure so formed
US7332408B2 (en) * 2004-06-28 2008-02-19 Micron Technology, Inc. Isolation trenches for memory devices
JP4568039B2 (ja) 2004-06-30 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体モジュール
JP2006019455A (ja) 2004-06-30 2006-01-19 Nec Electronics Corp 半導体装置およびその製造方法
US7223684B2 (en) * 2004-07-14 2007-05-29 International Business Machines Corporation Dual damascene wiring and method
TWI250596B (en) 2004-07-23 2006-03-01 Ind Tech Res Inst Wafer-level chip scale packaging method
US7098070B2 (en) 2004-11-16 2006-08-29 International Business Machines Corporation Device and method for fabricating double-sided SOI wafer scale package with through via connections
JP4795677B2 (ja) 2004-12-02 2011-10-19 ルネサスエレクトロニクス株式会社 半導体装置およびそれを用いた半導体モジュール、ならびに半導体装置の製造方法
JP4961668B2 (ja) 2005-01-11 2012-06-27 富士電機株式会社 半導体装置の製造方法
US7545045B2 (en) 2005-03-24 2009-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy via for reducing proximity effect and method of using the same
TW200644165A (en) 2005-05-04 2006-12-16 Icemos Technology Corp Silicon wafer having through-wafer vias
US7215032B2 (en) 2005-06-14 2007-05-08 Cubic Wafer, Inc. Triaxial through-chip connection
US7838997B2 (en) 2005-06-14 2010-11-23 John Trezza Remote chip attachment
US20060278331A1 (en) 2005-06-14 2006-12-14 Roger Dugas Membrane-based chip tooling
US7851348B2 (en) 2005-06-14 2010-12-14 Abhay Misra Routingless chip architecture
US7786592B2 (en) 2005-06-14 2010-08-31 John Trezza Chip capacitive coupling
US7781886B2 (en) 2005-06-14 2010-08-24 John Trezza Electronic chip contact structure
TWI416663B (zh) 2005-08-26 2013-11-21 Hitachi Ltd Semiconductor device manufacturing method and semiconductor device
US7488680B2 (en) 2005-08-30 2009-02-10 International Business Machines Corporation Conductive through via process for electronic device carriers
US7772115B2 (en) 2005-09-01 2010-08-10 Micron Technology, Inc. Methods for forming through-wafer interconnects, intermediate structures so formed, and devices and systems having at least one solder dam structure
US7820997B2 (en) * 2006-05-30 2010-10-26 Macronix International Co., Ltd. Resistor random access memory cell with reduced active area and reduced contact areas
TW200818534A (en) 2006-08-10 2008-04-16 Icemos Technology Corp Method of manufacturing a photodiode array with through-wafer vias
US7759731B2 (en) * 2006-08-28 2010-07-20 Advanced Analogic Technologies, Inc. Lateral trench MOSFET with direct trench polysilicon contact and method of forming the same
KR100826979B1 (ko) 2006-09-30 2008-05-02 주식회사 하이닉스반도체 스택 패키지 및 그 제조방법
US7879711B2 (en) * 2006-11-28 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7795681B2 (en) * 2007-03-28 2010-09-14 Advanced Analogic Technologies, Inc. Isolated lateral MOSFET in epi-less substrate
US8110464B2 (en) * 2008-03-14 2012-02-07 International Business Machines Corporation SOI protection for buried plate implant and DT bottle ETCH
US7965540B2 (en) * 2008-03-26 2011-06-21 International Business Machines Corporation Structure and method for improving storage latch susceptibility to single event upsets
US7939876B2 (en) * 2008-04-09 2011-05-10 International Business Machines Corporation Metallized conductive strap spacer for SOI deep trench capacitor
TWI368314B (en) * 2008-04-25 2012-07-11 Nanya Technology Corp Recess channel transistor
US7791124B2 (en) * 2008-05-21 2010-09-07 International Business Machines Corporation SOI deep trench capacitor employing a non-conformal inner spacer
US8227310B2 (en) * 2008-08-06 2012-07-24 International Business Machines Corporation Integrated circuits comprising an active transistor electrically connected to a trench capacitor by an overlying contact and methods of making
US8138036B2 (en) 2008-08-08 2012-03-20 International Business Machines Corporation Through silicon via and method of fabricating same
US8384224B2 (en) 2008-08-08 2013-02-26 International Business Machines Corporation Through wafer vias and method of making same
US7678696B2 (en) 2008-08-08 2010-03-16 International Business Machines Corporation Method of making through wafer vias
US8035198B2 (en) 2008-08-08 2011-10-11 International Business Machines Corporation Through wafer via and method of making same
US8299566B2 (en) 2008-08-08 2012-10-30 International Business Machines Corporation Through wafer vias and method of making same
JP2011009595A (ja) * 2009-06-29 2011-01-13 Renesas Electronics Corp 半導体装置および半導体装置の製造方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002124517A (ja) * 2000-10-13 2002-04-26 Sharp Corp 半導体装置およびその製造方法
JP2005243689A (ja) * 2004-02-24 2005-09-08 Canon Inc 半導体チップの製造方法および半導体装置
WO2005101476A1 (ja) * 2004-04-16 2005-10-27 Japan Science And Technology Agency 半導体素子及び半導体素子の製造方法
WO2006019156A1 (ja) * 2004-08-20 2006-02-23 Zycube Co., Ltd. 三次元積層構造を持つ半導体装置の製造方法
JP2006060067A (ja) * 2004-08-20 2006-03-02 Rohm Co Ltd 半導体チップの製造方法、半導体チップ、半導体装置の製造法および半導体装置
JP2006173637A (ja) * 2004-12-17 2006-06-29 Interuniv Micro Electronica Centrum Vzw ウェハ相互接続用三次元ウェハのための深いビアエアギャップの形成
JP2007005811A (ja) * 2005-06-24 2007-01-11 Siemens Ag 電子部品とその製造方法
WO2007084879A2 (en) * 2006-01-13 2007-07-26 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
JP2009524220A (ja) * 2006-01-13 2009-06-25 インターナショナル・ビジネス・マシーンズ・コーポレーション 低抵抗及び低インダクタンスの裏面貫通ビア及びその製造方法
JP2008147224A (ja) * 2006-12-06 2008-06-26 Sony Corp 半導体装置の製造方法および半導体装置
JP2008172223A (ja) * 2007-01-05 2008-07-24 Internatl Business Mach Corp <Ibm> 低応力及び低欠陥密度の導電性貫通ビアを備えたシリコン・キャリアの製造方法及び該キャリアを有する半導体デバイス

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012195514A (ja) * 2011-03-17 2012-10-11 Seiko Epson Corp 素子付き基板、赤外線センサー、および貫通電極形成方法
JP2014107304A (ja) * 2012-11-22 2014-06-09 Renesas Electronics Corp 半導体装置およびその製造方法
US9705009B2 (en) 2013-03-22 2017-07-11 Kabushiki Kaisha Toshiba Semiconductor device
WO2018029965A1 (ja) * 2016-08-10 2018-02-15 ソニー株式会社 半導体集積回路
US10777462B2 (en) 2016-08-10 2020-09-15 Sony Corporation Semiconductor integrated circuit
CN108666285A (zh) * 2017-03-29 2018-10-16 东芝存储器株式会社 半导体装置及其制造方法
JP2018170364A (ja) * 2017-03-29 2018-11-01 東芝メモリ株式会社 半導体装置の製造方法および半導体装置
CN108666285B (zh) * 2017-03-29 2021-10-01 东芝存储器株式会社 半导体装置及其制造方法
WO2023228704A1 (ja) * 2022-05-27 2023-11-30 ソニーセミコンダクタソリューションズ株式会社 半導体デバイス、電子機器、および製造方法

Also Published As

Publication number Publication date
EP2319075A1 (en) 2011-05-11
US8735251B2 (en) 2014-05-27
TWI515826B (zh) 2016-01-01
JP5709265B2 (ja) 2015-04-30
EP2319075B1 (en) 2015-03-11
TW201013841A (en) 2010-04-01
US20120132967A1 (en) 2012-05-31
EP2319075A4 (en) 2014-01-08
US20100032764A1 (en) 2010-02-11
US8637937B2 (en) 2014-01-28
KR101546995B1 (ko) 2015-08-24
KR20110055585A (ko) 2011-05-25
WO2010017162A1 (en) 2010-02-11
US20140094007A1 (en) 2014-04-03
US8138036B2 (en) 2012-03-20

Similar Documents

Publication Publication Date Title
JP5709265B2 (ja) シリコン貫通ビアを製作する方法
US7973413B2 (en) Through-substrate via for semiconductor device
JP2011530812A5 (ja)
US7348676B2 (en) Semiconductor device having a metal wiring structure
CN101410967B (zh) 半导体结构及其制造方法
US7678696B2 (en) Method of making through wafer vias
US20210375760A1 (en) Metal Line Structure and Method
TWI550778B (zh) 半導體結構
JP5635301B2 (ja) 半導体装置及びその製造方法
CN102856247B (zh) 一种背面硅通孔制作方法
JP2012234887A (ja) 半導体装置の製造方法
US11011419B2 (en) Method for forming interconnect structure
KR101416317B1 (ko) 반도체 소자의 배선층 형성 방법
US7332427B2 (en) Method of forming an interconnection line in a semiconductor device
KR100800823B1 (ko) Mim 커패시터를 갖는 반도체 소자의 배선 제조 방법
JP2008277434A (ja) 半導体装置及びその製造方法
KR100506050B1 (ko) 반도체소자의 콘택 형성방법
TW202412279A (zh) 半導體元件及其製造方法
CN114914201A (zh) 集成电路结构与其制造方法
WO2000025355A1 (fr) Procede de fabrication de dispositifs a semi-conducteurs
KR20070033493A (ko) 패턴 형성 방법
KR20070098083A (ko) 게이트 구조물 및 이의 형성 방법
KR20070024802A (ko) 반도체 소자의 콘택 형성 방법
KR20100028957A (ko) 반도체 소자의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120329

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131010

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131022

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20131218

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140206

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140212

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140221

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20140320

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141226

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150109

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150227

R150 Certificate of patent or registration of utility model

Ref document number: 5709265

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees