JP2006041088A5 - - Google Patents

Download PDF

Info

Publication number
JP2006041088A5
JP2006041088A5 JP2004217118A JP2004217118A JP2006041088A5 JP 2006041088 A5 JP2006041088 A5 JP 2006041088A5 JP 2004217118 A JP2004217118 A JP 2004217118A JP 2004217118 A JP2004217118 A JP 2004217118A JP 2006041088 A5 JP2006041088 A5 JP 2006041088A5
Authority
JP
Japan
Prior art keywords
gas
processing
dispersion plate
gases
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004217118A
Other languages
English (en)
Other versions
JP2006041088A (ja
JP4550507B2 (ja
Filing date
Publication date
Priority claimed from JP2004217118A external-priority patent/JP4550507B2/ja
Priority to JP2004217118A priority Critical patent/JP4550507B2/ja
Application filed filed Critical
Priority to US10/911,610 priority patent/US20060016559A1/en
Publication of JP2006041088A publication Critical patent/JP2006041088A/ja
Priority to US11/730,962 priority patent/US7662232B2/en
Publication of JP2006041088A5 publication Critical patent/JP2006041088A5/ja
Priority to US12/398,226 priority patent/US8397668B2/en
Publication of JP4550507B2 publication Critical patent/JP4550507B2/ja
Application granted granted Critical
Priority to US13/829,676 priority patent/US8733282B2/en
Priority to US14/262,466 priority patent/US9038567B2/en
Anticipated expiration legal-status Critical
Active legal-status Critical Current

Links

Claims (10)

  1. 処理室と、該処理室に電磁波を放射するためのアンテナと、処理室内にガスを供給するためのシャワープレートと、シャワープレートに供給するガスを分散させるガス分散板と、処理室内を減圧する真空排気手段と、被処理体を載置する電極と、処理室内に磁場を生成するための磁場コイルと、前記アンテナに高周波電力を供給する電磁波放射電源とを有するプラズマ処理装置であって、
    前記ガス分散板を内側の領域と外側の領域に分割して、シャワープレートの内側の領域から処理室内に供給する第1の処理ガスとシャワープレートの外側の領域から処理室内に供給する第2の処理ガスの流量または組成を互いに独立に制御できるようにし、
    複数のガス供給源からの酸素(O )ガスまたは窒素(N )ガス以外の複数のガスは、ガス種ごとに設けられたガス流量調節器の下流側で合流されて第1のガスとして該ガスの合流点より下流でガス分配器によって所定の流量比で2つに分岐させ、
    前記2つに分岐させた第1のガスのそれぞれに、酸素(O )ガスまたは窒素(N )ガスを第2のガスとしてガス流量調節器を介して所定の流量比で添加し、
    分岐された第1のガスの一方に第2のガスを添加した第1の処理ガスをガス分散板の内側の領域に、分岐された第1のガスの他方に第2のガスを添加した第2の処理ガスをガス分散板の外側の領域にそれぞれ供給し、
    磁場コイルへの電力の供給を制御することによってプラズマの分布を制御して加工深さの均一性を制御し、
    第1の処理ガスにおける第2のガスの流量と第2の処理ガスにおける第2のガスの流量の比を制御することにより、第1の処理ガスと第2の処理ガスの組成比を制御してCDの均一性を制御して、
    被処理体面内における加工深さの均一性の制御とは独立に、被処理体面内におけるCD寸法の均一性を制御する
    ことを特徴とするプラズマ処理装置。
  2. 処理室と、該処理室に電磁波を放射するためのアンテナと、処理室内にガスを供給するためのシャワープレートと、シャワープレートに供給するガスを分散させるガス分散板と、処理室内を減圧する真空排気手段と、被処理体を載置する電極と、前記アンテナに高周波電力を供給する電磁波放射電源とを有するプラズマ処理装置であって、
    前記ガス分散板を内側の領域と外側の領域に分割してシャワープレートの内側の領域から処理室内に供給する第1の処理ガスと、シャワープレートの外側の領域から処理室内に供給する第2の処理ガスを互いに流量または組成を独立に制御できるようにし、
    前記アンテナを内側の領域と外側の領域に分割して、アンテナの内側の領域とアンテナの外側の領域それぞれに前記高周波電力を所定の電力比で印加できるようにし、
    複数のガス供給源からの酸素(O )ガスまたは窒素(N )ガス以外の複数のガスは、ガス種ごとに設けられたガス流量調節器の下流側で合流されて第1のガスとして該ガスの合流点より下流でガス分配器によって2つに分岐させ、
    前記2つに分岐させた第1のガスそれぞれに、酸素(O )ガスまたは窒素(N )ガスを第2のガスとしてガス流量調節器を介して所定の流量比で添加し、
    分岐された第1のガスの一方に第2のガスを添加した第1の処理ガスをガス分散板の内側の領域に、分岐された第1のガスの他方に第2のガスを添加した第2の処理ガスをガス分散板の外側領域にそれぞれ供給し、
    アンテナの内側の領域とアンテナの外側の領域に供給する電磁波の電力の比を制御して処理室に放射する電磁波の電力の分布を制御することによりプラズマの分布を制御して加工深さの均一性を制御し、
    第1の処理ガスにおける第2のガスの流量と第2の処理ガスにおける第2のガスの流量の比を制御することにより、第1の処理ガスと第2の処理ガスの組成比を制御してCDの均一性を制御して、
    被処理体面内における加工深さの均一性とは独立に、被処理体面内におけるCD寸法の均一性を制御する
    ことを特徴とするプラズマ処理装置。
  3. 処理室と、処理室内にガスを供給するためのシャワープレートと、シャワープレートに供給するガスを分散させるガス分散板と、処理室内を減圧する真空排気手段と、被処理体を載置する電極と、前記被処理体を載置する電極に、互いに周波数の異なる高周波電力を印加する2つの高周波電源とを有するプラズマ処理装置であって、
    前記ガス分散板を内側の領域と外側の領域に分割してシャワープレートの内側の領域から処理室内に供給する第1の処理ガスと、シャワープレートの外側の領域から処理室内に供給する第2の処理ガスを互いに流量または組成を独立に制御できるようにし、
    複数のガス供給源からの酸素(O )ガスまたは窒素(N )ガス以外の複数のガスは、ガス種ごとに設けられたガス流量調節器の下流側で合流されて第1のガスとし、該ガスの合流点より下流でガス分配器によって2つに分岐させ、
    前記2つに分岐させた第1のガスそれぞれに、酸素(O )ガスまたは窒素(N )ガスを第2のガスとしてガス流量調節器を介して所定の流量比で添加し、
    分岐された第1のガスの一方に第2のガスを添加した第1の処理ガスをガス分散板の内側の領域に、分岐された第1のガスの他方に第2のガスを添加した第2の処理ガスをガス分散板の外側の領域にそれぞれ供給し、
    前記被処理体を載置する電極には、前記2つの高周波電源からそれぞれ整合器を介して2つの互いに周波数の異なる高周波電力の出力電力を制御して印加し、
    前記被処理体を載置する電極に供給する2種類の高周波電力の出力電力を制御することによってプラズマの分布を制御して加工深さの均一性を制御し、
    第1の処理ガスにおける第2のガスの流量と第2の処理ガスにおける第2のガスの流量の比を制御することにより、第1の処理ガスと第2の処理ガスの組成比を制御してCDの均一性を制御して、
    被処理体面内における加工深さの均一性とは独立に、被処理体面内におけるCD寸法の均一性を制御する
    ことを特徴とするプラズマ処理装置。
  4. 請求項1ないし請求項3のいずれか1項に記載のプラズマ処理装置であって、
    前記ガス分散板を複数の領域に仕切るためのO−リングを設け、
    前記O−リングにより前記ガス分散板が浮き上がらないように、ガス分散板をアンテナにネジでとめた
    ことを特徴とするプラズマ処理装置。
  5. 請求項1に記載のプラズマ処理装置であって、
    前記ガス分散板には、第1のガス噴出口と、第2のガス噴出口とを設け、
    前記アンテナには、第1の処理ガスを通すための第1のガス流路と、第2の処理ガスを通すための第2のガス流路を設置し、前記ガス分散板にガスを供給するための前記第1のガスの流路の出口、あるいは前記第2のガスの流路の出口は、前記アンテナの略中心を中心点として略円周上に複数個設置されている
    ことを特徴とするプラズマ処理装置。
  6. 請求項2に記載のプラズマ処理装置であって、
    前記ガス分散板には、第1のガス噴出口と、第2のガス噴出口とを設け、
    前記アンテナには、第1の処理ガスを通すための第1のガス流路と、第2の処理ガスを通すための第2のガス流路を設置し、前記ガス分散板にガスを供給するための前記ガス分散板における前記第1の処理ガスの流路の出口、あるいは前記第2の処理ガスの流路の出口は、前記アンテナの略中心を中心点として略円周上に複数個設置されている
    ことを特徴とするプラズマ処理装置。
  7. 請求項3に記載のプラズマ処理装置であって、
    前記ガス分散板には、第1のガス噴出口と、第2のガス噴出口とを設け、
    ガス分散板の上に設けた天板には、第1の処理ガスを通すための第1のガス流路と、第2の処理ガスを通すための第2のガス流路を設置し、前記ガス分散板にガスを供給するための前記ガス分散板における前記第1の処理ガスの流路の出口、あるいは前記第2の処理ガスの流路の出口は、前記天板の略中心を中心点として略円周上に複数個設置されている
    ことを特徴とするプラズマ処理装置。
  8. 請求項1ないし請求項3のいずれか1項に記載のプラズマ処理装置であって、
    前記シャワープレートに開けられたガス噴出口は、前記シャワープレートの略中心から同心円状に配置されている
    ことを特徴とするプラズマ処理装置。
  9. 請求項1ないし請求項3のいずれか1項に記載のプラズマ処理装置であって、
    前記複数のガス供給源からの酸素または窒素以外の複数の第1のガスは、SiOC膜の加工では、CHF またはCF ガスを用い、
    第2のガスのN の組成比あるいは該N の流量比が異なる少なくとも2種類の処理ガスを互いに異なるガス導入口から処理室内に導入することで、被処理体面内における加工深さの均一性を変化させずに、被処理体面内におけるCD寸法の均一性を制御する
    ことを特徴とするプラズマ処理装置。
  10. 請求項1ないし請求項3のいずれか1項に記載のプラズマ処理装置であって、
    前記複数のガス供給源からの酸素または窒素以外の複数の第1のガスは、SiO やSiOF膜の加工では、少なくとも、CF ガスまたはC ガスまたはC ガスまたはC ガスもしくはCHF ガスのいずれかと、Arとを用い、第2のガスのO の組成比あるいは該O の流量比が異なる少なくとも2種類の処理ガスを互いに異なるガス導入口から処理室内に導入することで、被処理体面内における加工深さの均一性を変化させずに、被処理体面内におけるCD寸法の均一性を制御する
    ことを特徴とするプラズマ処理装置。
JP2004217118A 2004-07-26 2004-07-26 プラズマ処理装置 Active JP4550507B2 (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2004217118A JP4550507B2 (ja) 2004-07-26 2004-07-26 プラズマ処理装置
US10/911,610 US20060016559A1 (en) 2004-07-26 2004-08-05 Plasma processing apparatus
US11/730,962 US7662232B2 (en) 2004-07-26 2007-04-05 Plasma processing apparatus
US12/398,226 US8397668B2 (en) 2004-07-26 2009-03-05 Plasma processing apparatus
US13/829,676 US8733282B2 (en) 2004-07-26 2013-03-14 Plasma processing apparatus
US14/262,466 US9038567B2 (en) 2004-07-26 2014-04-25 Plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004217118A JP4550507B2 (ja) 2004-07-26 2004-07-26 プラズマ処理装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008250994A Division JP4963694B2 (ja) 2008-09-29 2008-09-29 プラズマ処理装置

Publications (3)

Publication Number Publication Date
JP2006041088A JP2006041088A (ja) 2006-02-09
JP2006041088A5 true JP2006041088A5 (ja) 2007-06-07
JP4550507B2 JP4550507B2 (ja) 2010-09-22

Family

ID=35655891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004217118A Active JP4550507B2 (ja) 2004-07-26 2004-07-26 プラズマ処理装置

Country Status (2)

Country Link
US (5) US20060016559A1 (ja)
JP (1) JP4550507B2 (ja)

Families Citing this family (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7723238B2 (en) * 2004-06-16 2010-05-25 Tokyo Electron Limited Method for preventing striation at a sidewall of an opening of a resist during an etching process
JP4550507B2 (ja) 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100634451B1 (ko) * 2005-01-10 2006-10-16 삼성전자주식회사 반도체 소자 제조 장치
JP4701776B2 (ja) * 2005-03-25 2011-06-15 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP4599212B2 (ja) * 2005-04-15 2010-12-15 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070059938A1 (en) * 2005-09-15 2007-03-15 Hanako Kida Method and system for etching silicon oxide and silicon nitride with high selectivity relative to silicon
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US20070151668A1 (en) 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US7393788B2 (en) * 2006-02-10 2008-07-01 Cook Julie A Method and system for selectively etching a dielectric material relative to silicon
JP2007211326A (ja) * 2006-02-13 2007-08-23 Nec Electronics Corp 成膜装置および成膜方法
JP2007242976A (ja) * 2006-03-10 2007-09-20 Hitachi High-Technologies Corp 半導体製造装置および分流器診断方法
US8008596B2 (en) 2006-03-16 2011-08-30 Tokyo Electron Limited Plasma processing apparatus and electrode used therein
JP4707588B2 (ja) * 2006-03-16 2011-06-22 東京エレクトロン株式会社 プラズマ処理装置及びそれに用いられる電極
US8187415B2 (en) 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4904202B2 (ja) * 2006-05-22 2012-03-28 ジーイーエヌ カンパニー リミッテッド プラズマ反応器
JP4806598B2 (ja) * 2006-07-18 2011-11-02 株式会社日立ハイテクノロジーズ 真空処理装置
JP4801522B2 (ja) * 2006-07-21 2011-10-26 株式会社日立ハイテクノロジーズ 半導体製造装置及びプラズマ処理方法
JP5211450B2 (ja) * 2006-08-15 2013-06-12 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US7309646B1 (en) * 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
JP4826483B2 (ja) * 2007-01-19 2011-11-30 東京エレクトロン株式会社 プラズマ処理装置
JP4928991B2 (ja) 2007-03-12 2012-05-09 東京エレクトロン株式会社 基板処理装置
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP2008251866A (ja) * 2007-03-30 2008-10-16 Hitachi High-Technologies Corp プラズマ処理装置
US8528498B2 (en) * 2007-06-29 2013-09-10 Lam Research Corporation Integrated steerability array arrangement for minimizing non-uniformity
JP5065787B2 (ja) * 2007-07-27 2012-11-07 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置、および記憶媒体
JP5028193B2 (ja) * 2007-09-05 2012-09-19 株式会社日立ハイテクノロジーズ 半導体製造装置における被処理体の搬送方法
JP5192214B2 (ja) 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
JP5329099B2 (ja) 2008-01-22 2013-10-30 株式会社日立ハイテクノロジーズ プラズマ処理装置及びその運転方法
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
JP5232512B2 (ja) * 2008-03-26 2013-07-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US20090255798A1 (en) * 2008-04-12 2009-10-15 Gaku Furuta Method to prevent parasitic plasma generation in gas feedthru of large size pecvd chamber
US20090258162A1 (en) * 2008-04-12 2009-10-15 Applied Materials, Inc. Plasma processing apparatus and method
JP5659146B2 (ja) * 2008-04-12 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理装置及び方法
JP2010016343A (ja) * 2008-06-30 2010-01-21 Advanced Display Process Engineering Co Ltd ガス供給装置及びこれを用いた基板処理装置{Apparatusforsupplyinggasandapparatusforprocessingsubstrateusingthesame}
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
JP4963694B2 (ja) * 2008-09-29 2012-06-27 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5683469B2 (ja) * 2008-10-09 2015-03-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大型プラズマ処理チャンバのrf復路
US20100139562A1 (en) 2008-12-10 2010-06-10 Jusung Engineering Co., Ltd. Substrate treatment apparatus
JP5268626B2 (ja) * 2008-12-26 2013-08-21 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP2010174779A (ja) 2009-01-30 2010-08-12 Hitachi High-Technologies Corp 真空処理装置
US8931431B2 (en) * 2009-03-25 2015-01-13 The Regents Of The University Of Michigan Nozzle geometry for organic vapor jet printing
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
WO2011021539A1 (ja) * 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
SG169960A1 (en) * 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
JP3160877U (ja) 2009-10-13 2010-07-15 ラム リサーチ コーポレーションLam Research Corporation シャワーヘッド電極アセンブリの端部クランプ留めおよび機械固定される内側電極
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US8268184B2 (en) 2010-06-29 2012-09-18 Tokyo Electron Limited Etch process for reducing silicon recess
US9184028B2 (en) * 2010-08-04 2015-11-10 Lam Research Corporation Dual plasma volume processing apparatus for neutral/ion flux control
KR101307111B1 (ko) * 2010-08-24 2013-09-11 닛신 이온기기 가부시기가이샤 플라즈마 발생 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US8501630B2 (en) 2010-09-28 2013-08-06 Tokyo Electron Limited Selective etch process for silicon nitride
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
US8802545B2 (en) * 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
CN102231360B (zh) * 2011-05-27 2013-05-15 中微半导体设备(上海)有限公司 等离子体刻蚀腔体内刻蚀气体调节方法
US9305810B2 (en) * 2011-06-30 2016-04-05 Applied Materials, Inc. Method and apparatus for fast gas exchange, fast gas switching, and programmable gas delivery
KR101885102B1 (ko) * 2011-09-01 2018-09-11 세메스 주식회사 안테나 유닛 및 이를 포함하는 기판 처리 장치
JP5860668B2 (ja) * 2011-10-28 2016-02-16 東京エレクトロン株式会社 半導体装置の製造方法
US20130152857A1 (en) * 2011-12-15 2013-06-20 Intermolecular, Inc. Substrate Processing Fluid Delivery System and Method
CN103177923B (zh) * 2011-12-20 2016-05-11 中微半导体设备(上海)有限公司 一种应用于等离子处理装置的气体分布系统及验证方法
US20130180954A1 (en) * 2012-01-18 2013-07-18 Applied Materials, Inc. Multi-zone direct gas flow control of a substrate processing chamber
US9090972B2 (en) * 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
JP6107327B2 (ja) * 2013-03-29 2017-04-05 東京エレクトロン株式会社 成膜装置及びガス供給装置並びに成膜方法
JP6336719B2 (ja) * 2013-07-16 2018-06-06 株式会社ディスコ プラズマエッチング装置
US9275869B2 (en) * 2013-08-02 2016-03-01 Lam Research Corporation Fast-gas switching for etching
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
JP6406811B2 (ja) * 2013-11-20 2018-10-17 国立大学法人名古屋大学 Iii 族窒化物半導体装置の製造装置および製造方法ならびに半導体ウエハの製造方法
KR101560623B1 (ko) * 2014-01-03 2015-10-15 주식회사 유진테크 기판처리장치 및 기판처리방법
JP6379550B2 (ja) * 2014-03-18 2018-08-29 東京エレクトロン株式会社 成膜装置
JP6289996B2 (ja) * 2014-05-14 2018-03-07 東京エレクトロン株式会社 被エッチング層をエッチングする方法
JP6242288B2 (ja) * 2014-05-15 2017-12-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10100407B2 (en) * 2014-12-19 2018-10-16 Lam Research Corporation Hardware and process for film uniformity improvement
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US20170278679A1 (en) * 2016-03-24 2017-09-28 Lam Research Corporation Method and apparatus for controlling process within wafer uniformity
US10504754B2 (en) * 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
KR102162949B1 (ko) * 2016-07-14 2020-10-07 도쿄엘렉트론가부시키가이샤 다중 구역 전극 어레이에서의 rf 전력 분배 방법
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
JP6763274B2 (ja) * 2016-10-14 2020-09-30 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法及び記憶媒体
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
JP7073710B2 (ja) * 2017-01-20 2022-05-24 東京エレクトロン株式会社 プラズマ処理装置
KR102096700B1 (ko) * 2017-03-29 2020-04-02 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 기판 처리 방법
JP6836959B2 (ja) * 2017-05-16 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置、処理システム、及び、多孔質膜をエッチングする方法
JP6937644B2 (ja) * 2017-09-26 2021-09-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6836976B2 (ja) * 2017-09-26 2021-03-03 東京エレクトロン株式会社 プラズマ処理装置
JP7122102B2 (ja) 2017-11-08 2022-08-19 東京エレクトロン株式会社 ガス供給システム及びガス供給方法
KR102641752B1 (ko) * 2018-11-21 2024-03-04 삼성전자주식회사 가스 주입 모듈, 기판 처리 장치, 및 그를 이용한 반도체 소자의 제조방법
JP7190948B2 (ja) * 2019-03-22 2022-12-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
KR20210027601A (ko) 2019-08-29 2021-03-11 삼성전자주식회사 플라즈마 표면처리 장치 및 이를 구비하는 기판 처리 시스템과 이를 이용한 플라즈마 표면처리 방법
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
JP2022021712A (ja) * 2020-07-22 2022-02-03 株式会社ディスコ ウェーハの加工方法
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4557950A (en) * 1984-05-18 1985-12-10 Thermco Systems, Inc. Process for deposition of borophosphosilicate glass
CN1015008B (zh) * 1985-10-23 1991-12-04 佳能株式会社 形成沉积膜的方法
US4812325A (en) * 1985-10-23 1989-03-14 Canon Kabushiki Kaisha Method for forming a deposited film
US4798166A (en) * 1985-12-20 1989-01-17 Canon Kabushiki Kaisha Apparatus for continuously preparing a light receiving element for use in photoelectromotive force member or image-reading photosensor
GB8622820D0 (en) * 1986-09-23 1986-10-29 Nordiko Ltd Electrode assembly & apparatus
US4836136A (en) * 1987-03-05 1989-06-06 Minolta Camera Kabushiki Kaisha Developer supplying member
US5145711A (en) * 1987-08-10 1992-09-08 Semiconductor Energy Laboratory Co., Ltd. Cyclotron resonance chemical vapor deposition method of forming a halogen-containing diamond on a substrate
NL8702096A (nl) * 1987-09-04 1989-04-03 Stichting Katholieke Univ Werkwijze en inrichting voor het mengen van gassen en het met behulp van een gasmengsel epitactisch vervaardigen van halfgeleiderproducten.
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate
JPH06104898B2 (ja) * 1988-01-13 1994-12-21 忠弘 大見 減圧表面処理装置
US5200388A (en) * 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
JPH02185967A (ja) 1989-01-13 1990-07-20 Hitachi Ltd バイアススパッタリング方法およびその装置
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
JPH03224224A (ja) * 1990-01-30 1991-10-03 Fujitsu Ltd ドライエッチング方法
US5179498A (en) * 1990-05-17 1993-01-12 Tokyo Electron Limited Electrostatic chuck device
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
JPH04348031A (ja) * 1990-12-28 1992-12-03 Mitsubishi Electric Corp 化学気相成長装置
US5324386A (en) * 1991-03-19 1994-06-28 Fujitsu Limited Method of growing group II-IV mixed compound semiconductor and an apparatus used therefor
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH0562936A (ja) * 1991-09-03 1993-03-12 Mitsubishi Electric Corp プラズマ処理装置およびプラズマクリーニング方法
JP2763222B2 (ja) * 1991-12-13 1998-06-11 三菱電機株式会社 化学気相成長方法ならびにそのための化学気相成長処理システムおよび化学気相成長装置
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP3242166B2 (ja) * 1992-11-19 2001-12-25 株式会社日立製作所 エッチング装置
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
JPH0794431A (ja) * 1993-04-23 1995-04-07 Canon Inc アモルファス半導体用基板、該基板を有するアモルファス半導体基板、及び該アモルファス半導体基板の製造方法
JPH0778769A (ja) * 1993-09-07 1995-03-20 Fuji Xerox Co Ltd 半導体製造装置
US5529657A (en) * 1993-10-04 1996-06-25 Tokyo Electron Limited Plasma processing apparatus
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JP3172537B2 (ja) * 1994-03-29 2001-06-04 カール−ツァイス−スティフツング 湾曲した基材のコーティング用pcvd法及び装置
TW299559B (ja) * 1994-04-20 1997-03-01 Tokyo Electron Co Ltd
US6391147B2 (en) * 1994-04-28 2002-05-21 Tokyo Electron Limited Plasma treatment method and apparatus
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
DE4443608C1 (de) * 1994-12-07 1996-03-21 Siemens Ag Plasmareaktor und Verfahren zu dessen Betrieb
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5968379A (en) * 1995-07-14 1999-10-19 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability and related methods
JPH0945624A (ja) * 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
US6054013A (en) * 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JP3442604B2 (ja) * 1996-02-15 2003-09-02 株式会社フジキン 混合ガスの供給方法及び混合ガス供給装置並びにこれらを備えた半導体製造装置
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
GB9620151D0 (en) * 1996-09-27 1996-11-13 Surface Tech Sys Ltd Plasma processing apparatus
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US6294026B1 (en) * 1996-11-26 2001-09-25 Siemens Aktiengesellschaft Distribution plate for a reaction chamber with multiple gas inlets and separate mass flow control loops
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
JPH1116888A (ja) 1997-06-24 1999-01-22 Hitachi Ltd エッチング装置及びその運転方法
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
KR100269315B1 (ko) * 1997-11-24 2000-11-01 윤종용 램프가열방식의매엽식장비를이용한반도체장치의제조방법
JP4066214B2 (ja) * 1998-07-24 2008-03-26 財団法人国際科学振興財団 プラズマプロセス装置
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP2000156370A (ja) * 1998-09-16 2000-06-06 Tokyo Electron Ltd プラズマ処理方法
JP4230029B2 (ja) * 1998-12-02 2009-02-25 東京エレクトロン株式会社 プラズマ処理装置およびエッチング方法
JP2000208483A (ja) * 1999-01-08 2000-07-28 Mitsubishi Electric Corp ウェハ処理装置及びウェハ処理方法
JP2000286267A (ja) * 1999-03-31 2000-10-13 Tokyo Electron Ltd 熱処理方法
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
JP2001035839A (ja) * 1999-05-18 2001-02-09 Hitachi Kokusai Electric Inc プラズマ生成装置および半導体製造方法
JP2000331993A (ja) * 1999-05-19 2000-11-30 Mitsubishi Electric Corp プラズマ処理装置
JP4388627B2 (ja) 1999-07-05 2009-12-24 東京エレクトロン株式会社 処理装置
JP4578651B2 (ja) * 1999-09-13 2010-11-10 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置、プラズマエッチング方法
US6553332B2 (en) * 1999-12-22 2003-04-22 Texas Instruments Incorporated Method for evaluating process chambers used for semiconductor manufacturing
US6645302B2 (en) * 2000-04-26 2003-11-11 Showa Denko Kabushiki Kaisha Vapor phase deposition system
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
US6857387B1 (en) * 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US7166524B2 (en) * 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
JP2002064084A (ja) * 2000-08-17 2002-02-28 Sumitomo Metal Ind Ltd プラズマ処理用ガス導入装置およびプラズマ処理方法
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
JP2002110567A (ja) * 2000-10-03 2002-04-12 Mitsubishi Electric Corp 化学気相成長装置および該装置による半導体ウエハの成膜方法
US6471830B1 (en) * 2000-10-03 2002-10-29 Veeco/Cvc, Inc. Inductively-coupled-plasma ionized physical-vapor deposition apparatus, method and system
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2002184764A (ja) * 2000-12-18 2002-06-28 Hitachi Ltd プラズマ処理装置
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US20030010091A1 (en) * 2001-07-10 2003-01-16 Mitchell Bradley Dale System and method for detecting occlusions in a semiconductor manufacturing device
US6706138B2 (en) * 2001-08-16 2004-03-16 Applied Materials Inc. Adjustable dual frequency voltage dividing plasma reactor
US6590344B2 (en) * 2001-11-20 2003-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Selectively controllable gas feed zones for a plasma reactor
KR100460140B1 (ko) * 2001-12-12 2004-12-03 삼성전자주식회사 인젝션 밸브의 막힘을 검사할 수 있도록 한 반도체 제조용반응가스 공급장치 및 그 막힘 검사방법
KR100452525B1 (ko) * 2001-12-26 2004-10-12 주성엔지니어링(주) Ald 공정에 적합한 가스 인젝터
JP4102072B2 (ja) * 2002-01-08 2008-06-18 株式会社東芝 半導体装置
JP2003206042A (ja) 2002-01-16 2003-07-22 Canon Electronics Inc シート給送装置及びこれを備えた画像読取装置並びに画像形成装置
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
JP3856730B2 (ja) * 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
KR100464857B1 (ko) * 2002-08-26 2005-01-05 삼성전자주식회사 웨이퍼 에지 식각장치
JP4071069B2 (ja) * 2002-08-28 2008-04-02 東京エレクトロン株式会社 絶縁膜のエッチング方法
TW587139B (en) * 2002-10-18 2004-05-11 Winbond Electronics Corp Gas distribution system and method for the plasma gas in the chamber
JP4482308B2 (ja) * 2002-11-26 2010-06-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7296532B2 (en) * 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
JP3881307B2 (ja) * 2002-12-19 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4454964B2 (ja) * 2003-06-09 2010-04-21 東京エレクトロン株式会社 分圧制御システム及び流量制御システム
JP4195837B2 (ja) * 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
JP3905870B2 (ja) * 2003-08-01 2007-04-18 東京エレクトロン株式会社 プラズマ処理装置
JP4177192B2 (ja) * 2003-08-05 2008-11-05 株式会社日立ハイテクノロジーズ プラズマエッチング装置およびプラズマエッチング方法
US6829056B1 (en) * 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7144521B2 (en) * 2003-08-22 2006-12-05 Lam Research Corporation High aspect ratio etch using modulation of RF powers of various frequencies
US6986359B2 (en) * 2004-03-09 2006-01-17 Mks Instruments, Inc. System and method for controlling pressure in remote zones
US7291360B2 (en) * 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7708859B2 (en) * 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060021580A1 (en) * 2004-06-02 2006-02-02 Tokyo Electron Limited Plasma processing apparatus and impedance adjustment method
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4358727B2 (ja) * 2004-12-09 2009-11-04 東京エレクトロン株式会社 ガス供給装置,基板処理装置及び供給ガス設定方法
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006210727A (ja) * 2005-01-28 2006-08-10 Hitachi High-Technologies Corp プラズマエッチング装置およびプラズマエッチング方法
KR100706243B1 (ko) * 2005-02-22 2007-04-11 삼성전자주식회사 질화 텅스텐 증착 장치 및 증착 방법
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
JP4689324B2 (ja) * 2005-04-04 2011-05-25 東京エレクトロン株式会社 成膜装置、成膜方法および記録媒体
US7713379B2 (en) * 2005-06-20 2010-05-11 Lam Research Corporation Plasma confinement rings including RF absorbing material for reducing polymer deposition
JP4673173B2 (ja) * 2005-09-15 2011-04-20 株式会社日立ハイテクノロジーズ プラズマエッチング方法
CN101321893B (zh) * 2005-12-06 2011-09-28 株式会社爱发科 气体压头及薄膜制造装置
JP4895167B2 (ja) * 2006-01-31 2012-03-14 東京エレクトロン株式会社 ガス供給装置,基板処理装置,ガス供給方法
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20070249173A1 (en) * 2006-04-21 2007-10-25 Applied Materials, Inc. Plasma etch process using etch uniformity control by using compositionally independent gas feed
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7264688B1 (en) * 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7541292B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones
US20070254483A1 (en) * 2006-04-28 2007-11-01 Applied Materials, Inc. Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
JP4904202B2 (ja) * 2006-05-22 2012-03-28 ジーイーエヌ カンパニー リミッテッド プラズマ反応器
KR101501426B1 (ko) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 차압 측정들에 의한 가스 유동 제어
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US8080479B2 (en) * 2007-01-30 2011-12-20 Applied Materials, Inc. Plasma process uniformity across a wafer by controlling a variable frequency coupled to a harmonic resonator
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
TW200849344A (en) * 2007-03-23 2008-12-16 Matsushita Electric Ind Co Ltd Apparatus and method for plasma doping
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead

Similar Documents

Publication Publication Date Title
JP2006041088A5 (ja)
JP4550507B2 (ja) プラズマ処理装置
KR102594442B1 (ko) 플라즈마 처리 장치
CN101540277B (zh) 等离子体处理装置
JP4971930B2 (ja) プラズマ処理装置
JP6078354B2 (ja) プラズマ処理装置
JP3066007B2 (ja) プラズマ処理装置およびプラズマ処理方法
TW201228480A (en) Plasma processing apparatus
US20110088848A1 (en) Microwave plasma-treating apparatus
JP6796450B2 (ja) プラズマ処理装置
TW201633362A (zh) 電漿處理裝置
KR102015698B1 (ko) 플라즈마 성막 장치 및 기판 배치대
KR20190139321A (ko) 다수의 가스 주입 구역을 갖는 플라즈마 스트립 도구
JPH08255785A (ja) プラズマ処理装置
JP2021185630A (ja) 基板処理システム
JP2017201611A (ja) プラズマ処理装置
CN108269727A (zh) 电容耦合等离子体处理装置与等离子体处理方法
JP2004200429A (ja) プラズマ処理装置
JP2004273533A (ja) プラズマ処理装置及びプラズマ処理方法
JP4963694B2 (ja) プラズマ処理装置
JP2000306889A (ja) ドライエッチング装置
WO2017188029A1 (ja) プラズマ処理装置
JP2000031121A (ja) プラズマ放出装置及びプラズマ処理装置
WO2020116252A1 (ja) プラズマ処理装置、及び、プラズマ処理方法
TWI797646B (zh) 等離子體處理裝置及其絕緣窗元件