JP2002033320A - ドープジルコニアまたはジルコニア様の誘電体膜トランジスタ構造およびその堆積方法 - Google Patents

ドープジルコニアまたはジルコニア様の誘電体膜トランジスタ構造およびその堆積方法

Info

Publication number
JP2002033320A
JP2002033320A JP2001142471A JP2001142471A JP2002033320A JP 2002033320 A JP2002033320 A JP 2002033320A JP 2001142471 A JP2001142471 A JP 2001142471A JP 2001142471 A JP2001142471 A JP 2001142471A JP 2002033320 A JP2002033320 A JP 2002033320A
Authority
JP
Japan
Prior art keywords
metal
group
doping
film
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001142471A
Other languages
English (en)
Other versions
JP3761419B2 (ja
Inventor
Ma Yan-Jun
マー ヤンジュン
Ono Yoshi
オノ ヨシ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Publication of JP2002033320A publication Critical patent/JP2002033320A/ja
Application granted granted Critical
Publication of JP3761419B2 publication Critical patent/JP3761419B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31641Deposition of Zirconium oxides, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Physical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Inorganic Insulating Materials (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 さらなる元素を既存のhigh−k誘電体材
料に加えることによって、電子親和力を含むhigh−
k誘電体材料の電気的特性を制御した、high−k誘
電体材料を提供すること。 【解決手段】 二酸化シリコンに対して、高誘電率を有
する薄膜であって、該薄膜が、a)ドーピング金属と、
b)ジルコニウム(Zr)およびハフニウム(Hf)か
らなる群から選択される金属と、c)酸素とを含むこと
により、高誘電体膜が形成される、薄膜。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に、集積回路
(IC)製造プロセスに関し、さらに詳細には、高誘電
率ゲート絶縁膜、およびそのような膜の堆積方法に関す
る。
【0002】
【従来の技術】現在のSi VLSI技術は、MOSデ
バイスのゲート誘電体としてSiO2、または窒素を含
有するSiO2を用いる。デバイスの寸法が縮小し続け
るので、ゲートとチャネル領域間で同一のキャパシタン
スを維持するためには、SiO 2層の厚さも減少させな
ければならない。将来的には、2ナノメートル(nm)
未満の厚さが予想される。しかしながら、そのような薄
いSiO2の層を流れる高いトンネル電流の発生によ
り、代替材料を考慮する必要がある。高誘電率を有する
材料では、ゲート誘電体層を逆に厚くすることができる
ので、トンネル電流の問題を改善できる。これらの、い
わゆるhigh−k誘電体膜は、本明細書中において、
二酸化シリコンに比べて高誘電率を有するものとして定
義される。典型的には、二酸化シリコンは、約4の比誘
電率を有するが、high−k誘電体膜は、約10を越
える比誘電率を有する。現在のhigh−kの候補材料
には、酸化チタン(TiO2)、酸化ジルコニウム(Z
rO2)、酸化タンタル(Ta25)、およびバリウム
ストロンチウムチタン酸化物(Ba,Sr)TiO3
含まれる。
【0003】
【発明が解決しようとする課題】上述のhigh−k誘
電体に関する1つの一般的な問題は、high−k誘電
体が、通常の成長条件下で結晶構造を発達させることで
ある。結果として、膜の表面が非常に粗くなる。表面の
ラフネスにより、誘電体膜に隣接するチャネル領域に不
均一な電界を生じる。このような膜は、MOSFETデ
バイスのゲート誘電体には適さない。
【0004】高い直流のトンネル電流のために、1.5
nm未満のSiO2膜は、CMOSデバイスのゲート誘
電体としては使用できない。TiO2、およびTa25
をSiO2の代用とするために、現在懸命に研究が行わ
れており、これらの材料は最大の注目を集めている。し
かしながら、高温の堆積後のアニーリング、および界面
SiO2層の形成が、1.5nm未満のSiO2換算膜厚
(EOT)を達成することを非常に困難にする。
【0005】high−k誘電体膜を、MOSトランジ
スタのゲート電極とその下にあるチャネル領域間の絶縁
バリアとして用いることが可能であれば有利である。
【0006】改善されたhigh−k誘電体材料を、単
にドーピングするか、そうでなければ別のやり方で、さ
らなる元素を既存のhigh−k誘電体材料に加えるこ
とによって形成することが可能であれば有利である。
【0007】電子親和力を含む、high−k誘電体材
料の電気的特性が、単にドーピングするか、そうでなけ
れば別のやり方で、さらなる元素を既存のhigh−k
誘電体材料に加えることによって改変することが可能で
あれば有利である。
【0008】
【課題を解決するための手段】本発明による、二酸化シ
リコンに対して、高誘電率を有する薄膜は、a)ドーピ
ング金属と、b)ジルコニウム(Zr)およびハフニウ
ム(Hf)からなる群から選択される金属と、c)酸素
とを含むことにより、上記目的が達成される。
【0009】前記ドーピング金属が、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、およ
びイットリウム(Y)からなる群から選択される三価金
属であってもよい。
【0010】前記ドーピング金属が、カルシウム(C
a)およびストロンチウム(Sr)からなる群から選択
される二価金属であってもよい。
【0011】前記薄膜が約20〜200Åの範囲の膜厚
を有してもよい。
【0012】前記薄膜が約10〜25の範囲の比誘電率
を有してもよい。
【0013】前記膜内のドーピング金属のパーセンテー
ジが約50%を超過しなくてもよい。
【0014】前記膜内のドーピング金属のパーセンテー
ジが約25%であってもよい。
【0015】本発明による、MOSFETトランジスタ
は、a)ゲート電極と、b)該ゲート電極の下側に上面
を有するチャネル領域と、c)該ゲート電極と該チャネ
ル領域の上面との間に挿入されるゲート誘電体膜であっ
て、二酸化シリコンに比べて高誘電率を有し、ジルコニ
ウム(Zr)およびハフニウム(Hf)からなる群から
選択される金属を含み、酸素を含み、さらにドーピング
金属を含むゲート誘電体膜とを含み、それにより上記目
的が達成される。
【0016】前記ドーピング金属が、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、およ
びイットリウム(Y)からなる群から選択される三価金
属を含んでもよい。
【0017】前記ドーピング金属が、カルシウム(C
a)およびストロンチウム(Sr)からなる群から選択
される二価金属を含んでもよい。
【0018】前記膜内のドーピング金属のパーセンテー
ジが約0〜50%の範囲であってもよい。
【0019】前記膜内のドーピング金属のパーセンテー
ジが約25%であってもよい。
【0020】前記ゲート誘電体膜が約20〜200Åの
範囲の膜厚を有してもよい。
【0021】前記ゲート誘電体膜が約10〜25の範囲
の比誘電率を有してもよい。
【0022】前記トランジスタが、前記チャネル領域と
前記ゲート誘電体膜との間に挿入された、約2〜5Åの
範囲の膜厚を有する界面バリアをさらに含み、該界面バ
リアが、窒化シリコンおよび酸窒化シリコンからなる群
から選択された材料を含むことにより、前記チャネル領
域の上面が、より平滑になり、前記MOSFETの電子
移動度を増してもよい。
【0023】本発明による、表面を有する集積回路(I
C)の製造において、ドープ金属酸化膜を該IC表面上
に形成する方法は、a)酸素を含む雰囲気を確立する工
程と、b)ZrおよびHfからなる群から選択される金
属を含み、かつドーピング金属を含む少なくとも1つの
ターゲット金属を該ICシリコン表面上にスパッタリン
グする工程と、c)該工程a)およびb)に応じて、該
ドープ金属酸化膜を形成する工程と、d)約400〜9
00℃の範囲の温度でアニーリングすることにより、高
誘電率および良好なバリア特性を有する薄膜が形成され
る工程と、を包含し、それにより上記目的が達成され
る。
【0024】前記IC表面がほぼ室温〜400℃の温度
範囲で維持されてもよい。
【0025】前記工程a)がアルゴン(Ar)を含有す
る雰囲気を含み、O2のArに対する比率が約5〜25
%の範囲であり、圧力が約1〜10ミリトル(mTor
r)の範囲であってもよい。
【0026】前記工程d)が、Ar、N2、H2ガス、O
2、H2O、N2O、NO、ガスなし、および酸素プラズ
マからなる群から選択される要素を含む雰囲気を確立す
る工程を包含してもよい。
【0027】前記ドーピング金属が、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、およ
びイットリウム(Y)からなる群から選択される三価金
属であってもよい。
【0028】前記ドーピング金属が、カルシウム(C
a)およびストロンチウム(Sr)からなる群から選択
される二価金属であってもよい。
【0029】前記工程b)が、ZrおよびHfからなる
群から選択される金属の第1のターゲット、ならびに三
価金属を含む第2のターゲットを含む、別々のターゲッ
トを同時スパッタリングする工程を包含してもよい。
【0030】前記工程b)が、ZrおよびHfからなる
群から選択される金属の第1のターゲット、ならびに二
価金属を含む第2のターゲットを含む、別々のターゲッ
トを同時スパッタリングする工程を包含してもよい。
【0031】本発明による、表面を有する集積回路(I
C)の製造において、ドープ金属酸化膜を形成する方法
は、a)ZrおよびHfからなる群から選択される金属
ならびにドーピング金属を含む、少なくとも1つの前駆
体を調製する工程と、b)該少なくとも1つの前駆体を
蒸発させる工程と、c)酸素を含む雰囲気を確立する工
程と、d)該IC表面上で該前駆体を分解し、化学的気
相成長法(CVD)で、ZrおよびHfからなる群から
選択される金属、ドーピング金属および酸素を含む合金
膜を堆積する工程と、e)約400〜900℃の範囲の
温度でアニーリングすることにより、高誘電率および良
好なバリア特性を有する薄膜が形成される工程とを包含
し、これにより上記目的を達成する。
【0032】前記工程d)の前に、約300〜500℃
の範囲のIC表面温度を確立する工程をさらに包含して
もよい。
【0033】前記工程c)が、アルゴン(Ar)を含有
する雰囲気を含み、O2のArに対する比率が約5〜2
5%の範囲であり、圧力が約1〜10トル(Torr)
の範囲であってもよい。
【0034】前記工程e)が、Ar、N2、H2ガス、O
2、H2O、N2O、NO、ガスなし、および酸素プラズ
マからなる群から選択される要素を含む雰囲気を確立す
る工程を包含してもよい。
【0035】前記ドーピング金属が、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、およ
びイットリウム(Y)からなる群から選択される三価金
属であってもよい。
【0036】前記ドーピング金属が、カルシウム(C
a)およびストロンチウム(Sr)からなる群から選択
される二価金属であってもよい。
【0037】本発明による、表面を有する集積回路(I
C)の製造において、ドープ金属酸化膜を形成する方法
は、a)ZrおよびHfからなる群から選択される金属
を含む第1の前駆体を調製する工程と、b)該第1の前
駆体を蒸発させ、該IC表面を該前駆体に曝すことによ
り、該金属の層が該表面に化学的に吸着し、ALCVD
法で、該金属の層を堆積する工程と、c)酸素前駆体を
調製する工程と、d)該酸素前駆体を蒸発させ、該IC
表面を該酸素前駆体に曝すことにより、酸素の層が該表
面に化学的に吸着し、ALCVD法で、該酸素の層を堆
積する工程と、e)ドーピング金属を含むドーピング金
属前駆体を調製する工程と、f)該ドーピング金属前駆
体を蒸発させ、該IC表面を該ドーピング金属前駆体に
曝すことにより、該ドーピング金属の層が該表面に化学
的に吸着し、ALCVD法で、該ドーピング金属の層を
堆積する工程と、g)約300〜900℃の範囲の温度
でアニーリングして、該堆積された層のコンディショニ
ングを行うことにより、高誘電率および良好なバリア特
性を有する薄膜が形成される工程とを包含し、これによ
り上記目的を達成する。
【0038】処理中に、約100〜700℃の範囲のI
Cシリコン表面温度を確立する工程をさらに包含しても
よい。
【0039】前記ドーピング金属が、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、およ
びイットリウム(Y)からなる群から選択される三価金
属であってもよい。
【0040】前記ドーピング金属が、カルシウム(C
a)およびストロンチウム(Sr)からなる群から選択
される二価金属であってもよい。
【0041】前記第1の前駆体がZrCl4であっても
よい。
【0042】前記酸素前駆体がH2Oであってもよい。
【0043】前記ドーピング金属前駆体が、AlC
3、Al(CH33、およびAl(acac)3からな
る群から選択される前駆体であってもよい。
【0044】本発明による、シリコン表面を有する集積
回路(IC)の製造において、ドープ金属酸化膜を形成
する方法は、a)ZrおよびHfからなる群から選択さ
れる金属ならびにドーピング金属を含む、少なくとも1
つのるつぼを調製する工程と、b)真空雰囲気を確立す
る工程と、c)該少なくとも1つのるつぼを、約100
0〜2000℃の範囲のるつぼ温度に加熱することによ
り、該工程a)で調製された金属を蒸発させる工程と、
d)該工程a)〜c)に応じて、該ZrおよびHfから
なる群から選択される金属ならびに該ドーピング金属を
含む合金膜を堆積する工程と、e)酸素を含む雰囲気
で、約400〜900℃の範囲の温度でアニーリング
し、該ZrおよびHfからなる群から選択される金属、
該ドーピング金属ならびに酸素を含む合金膜を形成する
ことにより、高誘電率および良好なバリア特性を有する
薄膜が形成される工程とを包含し、これにより上記目的
を達成する。
【0045】前記工程a)が、前記ZrおよびHfから
なる群から選択される金属のための第1のるつぼ、なら
びに前記ドーピング金属のための第2のるつぼを含み、
前記工程c)が、該第1のるつぼを、約1000〜20
00℃の範囲の温度に加熱し、該第2のるつぼを、約1
000〜2000℃の範囲の温度に加熱する工程を包含
してもよい。
【0046】前記ドーピング金属が、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、およ
びイットリウム(Y)からなる群から選択される三価金
属であってもよい。
【0047】前記ドーピング金属が、カルシウム(C
a)およびストロンチウム(Sr)からなる群から選択
される二価金属であってもよい。
【0048】前記工程e)が、Ar、N2、H2ガス、O
2、H2O、N2O、NO、ガスなし、および酸素プラズ
マからなる群から選択される要素を含む雰囲気を確立す
る工程を包含してもよい。
【0049】従って、高誘電率(10〜25)を有する
薄膜が提供される。膜は、ドーピング金属、ジルコニウ
ム(Zr)およびハフニウム(Hf)からなる群から選
択される金属、ならびに酸素を含む。ドーピング金属
は、好ましくは、アルミニウム(Al)、スカンジウム
(Sc)、ランタン(La)、またはイットリウム
(Y)等の三価金属、もしくはカルシウム(Ca)また
はストロンチウム(Sr)等の二価金属である。
【0050】ドーピング金属を選択することによって、
堆積された誘電体材料の電子親和力を変更することが可
能である。電子親和力を変更することによって、電子の
バリアハイト、および正孔のバリアハイトを変更するこ
とが可能である。従って、本発明により、誘電体膜の電
子親和力を改変することが可能である一方で、二酸化シ
リコンと比べて、高誘電率を有する膜を生成する。ま
た、ドーピング金属の存在により、結晶構造の形成が低
減またはなくなるので、ドーピング金属の存在により、
アモルファス誘電体材料が生成される傾向にある。
【0051】本発明は、一部において、Y23、CaO
2、Al23、La23、LaおよびSrによって安定
化されるジルコニア(ZrO2)を提供する。別の実施
形態において、SrZrO3が誘電体材料として提供さ
れる。
【0052】典型的には、膜内のドーピング金属のパー
センテージが約50%を超過しない。用途によっては、
ドーピング金属のパーセンテージが約10%未満であ
り、この場合、生成された膜はアモルファスではあり得
ない。
【0053】MOSFETトランジスタも提供される。
このトランジスタは、ゲート電極、上記ゲート電極の下
側に上面を有するチャネル領域、およびゲート電極とチ
ャネル領域の上面との間に挿入されたゲート誘電体膜を
含む。誘電体膜の含有成分は、上述のとおりである。典
型的には、ゲート誘電体膜は、約20〜200Åの範囲
の膜厚を有する。
【0054】本発明のいくつかの局面では、チャネル領
域とゲート誘電体膜との間に挿入された、約2〜5Åの
範囲の膜厚を備えた界面バリアを有するトランジスタを
さらに含む。界面材料が、窒化シリコン、および酸窒化
シリコンからなる群から選択されることにより、上記チ
ャネル領域の上面が、より平滑になり、MOSFETの
電子移動度の低下を防ぐ。
【0055】表面を有する集積回路(IC)の製造にお
いて、ドープ金属酸化膜をIC表面上に形成するスパッ
タリング方法も提供される。この方法は、 a)酸素を含む雰囲気を確立する工程と、 b)上記ICシリコン表面上にZrおよびHfからなる
群から選択される金属、ならびにCa、Sr、Al、S
c、LaまたはY等のドーピング金属を含む、少なくと
も1つのターゲット金属をスパッタリングする工程と、 c)上記工程a)およびb)に応じて、上記ドープ金属
酸化膜を形成する工程と、 d)約400〜900℃の範囲の温度でアニーリングす
ることにより、高誘電率および良好な絶縁特性を有する
薄膜が形成される工程と、を含む。
【0056】本発明のいくつかの局面において、上記工
程a)が、ZrおよびHfからなる群から選択される金
属の第1のターゲット、ならびに上記ドーピング金属の
第2のターゲットを含む、別々のターゲットを、酸化雰
囲気下で同時スパッタリングする工程を含む。
【0057】あるいは、上記ドープ金属酸化膜を堆積す
る化学的気相成長法(CVD)が提供される。この方法
は、 a)ZrおよびHfからなる群から選択される金属なら
びにドーピング金属を含む、少なくとも1つの前駆体を
調製する工程と、 b)上記前駆体を蒸発させる工程と、 c)酸素を含む雰囲気を確立する工程と、 d)上記IC表面上で上記前駆体を分解し、化学的気相
成長法(CVD)で、ZrおよびHfからなる群から選
択される金属、ドーピング金属および酸素を含む合金膜
を堆積する工程と、 e)約400〜900℃の範囲の温度でアニーリングす
ることにより、高誘電率および良好なバリア特性を有す
る薄膜が形成される工程と、を含む。
【0058】別の代替的な実施形態において、原子層堆
積法(ALD)としても公知の原子層化学的気相成長法
(ALCVD)が、ドープ金属酸化膜を堆積する方法と
して用いられる。このALCVD法は、 a)ZrおよびHfからなる群から選択される金属を含
む第1の前駆体を調製する工程と、 b)上記第1の前駆体を蒸発させ、上記IC表面を上記
前駆体に曝すことにより、上記金属の層、好ましくは、
単分子層が上記表面に化学的に吸着し、ALCVD法
で、上記金属の層を堆積する工程と、 c)酸素前駆体を調製する工程と、 d)上記酸素前駆体を蒸発させ、上記IC表面を上記酸
素前駆体に曝すことにより、上記酸素の層、好ましくは
単分子層が上記表面に化学的に吸着し、ALCVD法
で、上記酸素の層を堆積する工程と、 e)ドーピング金属を含むドーピング金属前駆体を調製
する工程と、 f)上記ドーピング金属前駆体を蒸発させ、上記IC表
面を上記ドーピング金属前駆体に曝すことにより、上記
ドーピング金属の層が上記表面に化学的に吸着し、AL
CVD法で、上記ドーピング金属の層を堆積する工程
と、 g)約300〜900℃の範囲の温度でアニーリングし
て、上記堆積された層のコンディショニングを行うこと
により、高誘電率および良好なバリア特性を有する薄膜
が形成される工程と、を含む。
【0059】必要に応じて上記工程を繰り返すことによ
って、誘電体内の各材料の複数の層が堆積され得、他の
成分元素の1以上の層がその後に続いて堆積され得る。
例えば、いくつかのジルコニウムの層が堆積され得、そ
の後に、酸素、次いでドーピング金属が続いて堆積され
得る。この処理は、次いで、酸化ジルコニウム誘電体材
料内に所望量のドーピング金属を有する誘電体材料の全
体の膜厚が堆積されるまで繰り返される。
【0060】さらに別の代替の実施形態において、上記
ドープ金属酸化膜を堆積する蒸着法が提供される。この
方法は、 a)約1×10-6〜1×10-8Torrの範囲で、高真
空(ガスフリー)雰囲気を確立する工程と、 b)ZrおよびHfからなる群から選択される金属、な
らびにドーピング金属を含む少なくとも1つのるつぼを
調製する工程と、 c)上記少なくとも1つのるつぼを、約1000〜20
00℃の範囲の温度で加熱することにより、上記工程
b)で調製された金属を蒸発させる工程と、 d)上記工程a)〜c)に応じて、上記ZrおよびHf
からなる群から選択される金属、ならびにドーピング金
属を含む合金膜を堆積する工程と、 e)酸素を含む雰囲気で、約400〜900℃の範囲の
温度でアニーリングし、酸素を含む合金膜を形成するこ
とにより、高誘電率および良好なバリア特性を有する薄
膜が形成される工程と、を含む。
【0061】
【発明の実施の形態】本願は、1999年7月19日に
出願の米国特許出願番号09/356,470、特許番
号第6,060,755号の分割出願である、2000
年2月29に出願の米国特許出願番号09/515,7
43の一部継続出願を優先権の基礎とする。
【0062】本発明は、ドープ酸化ジルコニウムについ
て研究する。優れた性質を有するZr−Oゲート誘電体
を備えた、1ミクロン未満のPMOSFETが製造され
てきた。要約すると、二価または三価のドーピング金属
でZrO2膜をドーピングすることにより、膜の電気的
性質、特に電子親和力を制御することができる。
【0063】本発明は、二酸化シリコンに対して、高誘
電率を有する、二価または三価のドーピング金属、ジル
コニウム(Zr)およびハフニウム(Hf)からなる群
から選択される金属、ならびに酸素を含む薄膜である。
ドーピング金属は、好ましくは、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、また
はイットリウム(Y)等の三価金属、もしくはカルシウ
ム(Ca)またはストロンチウム(Sr)等の二価金属
である。
【0064】いくつかの有用な用途では、薄膜は、典型
的には、約20〜200Åの範囲の膜厚を有し、比誘電
率は約10〜25の範囲である。
【0065】膜内のドーピング金属のパーセンテージ
は、典型的には、約50%を超過しない。
【0066】図1は、本発明のドープ金属酸化膜に対す
るスパッタリング堆積法を示すフローチャートである。
工程10は、表面を有する集積回路(IC)を提供す
る。工程12は、酸素を含む雰囲気を確立する。典型的
には、工程12は、アルゴン(Ar)も包含する雰囲気
を含み、O2のArに対する比率は約5〜25%の範囲
である。圧力は、約1〜10ミリトル(mTorr)の
範囲である。工程14は、IC表面上にZrおよびHf
からなる群から選択される金属を含む、少なくとも1つ
のターゲット金属をスパッタリングする。工程14はま
た、IC表面上にドーピング金属をスパッタリングす
る。ドーピング金属は、好ましくは、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、また
はイットリウム(Y)等の三価金属、もしくはカルシウ
ム(Ca)またはストロンチウム(Sr)等の二価金属
である。本発明のいくつかの局面において、工程14
は、ZrおよびHfからなる群から選択される金属の第
1のターゲット、ならびにドーピング金属を含む第2の
ターゲットを含む、別々のターゲットを同時スパッタリ
ングする工程を含む。
【0067】工程16は、工程12および14に応じ
て、ドープ金属酸化膜を形成する。工程18は、約40
0〜900℃の範囲の温度でアニーリングする。アニー
リング時間は、アニーリング温度に応じて、約10秒〜
30分の範囲で変動する。工程18は、Ar、N2、H2
ガス、O2、H2O、N2O、NO、ガスなし(ガスフリ
ー環境)、および酸素プラズマからなる群から選択され
る要素を含む雰囲気を確立する工程を含む。工程20
は、生成物であり、ここで、高誘電率および良好な絶縁
特性を有する薄膜が形成される。
【0068】本発明のいくつかの局面において、工程1
0は、シリコンIC表面を提供し、工程16の前にさら
なる工程がある。工程14a(図示せず)が、ほぼ室温
〜400℃の範囲のICシリコン表面温度を確立する。
【0069】Zr−Al−OおよびHf−Al−O膜
は、上述のとおり、同時スパッタリングによって成長さ
れる。スパッタリング出力比が、酸化ジルコニウム内の
Al濃度の量を変更するために調節される。
【0070】本発明の誘電体膜は、ゲート誘電体、格納
キャパシタ、およびその他の用途(例えば、1トランジ
スタ(1T)強誘電体メモリ)に適用できる。本発明の
方法に従って生成された誘電体膜は、high−k誘電
体材料が用いられる用途に広く適用され得る。
【0071】図2および3は、本発明のドープ金属酸化
膜を用いてMOSFETトランジスタを完成する工程を
示す。図2は、上面54を備えたチャネル領域52を有
するトランジスタ50を示す。チャネル領域52の上に
は、ゲート誘電体膜56がある。
【0072】本発明のいくつかの局面において、トラン
ジスタ50は、チャネル領域52とゲート誘電体膜56
との間に挿入された、約2〜5Åの範囲の膜厚64を有
する界面バリア62をさらに含む。界面バリア62は、
窒化シリコンおよび酸窒化シリコンからなる群から選択
された材料からなるので、チャネル領域の上面54が、
より平滑になり、MOSFET50の電子移動度を増
す。
【0073】図3は、ゲート電極58とチャネル領域の
上面54との間に挿入された、ゲート誘電体膜56を示
す。ゲート誘電体膜56は、二酸化シリコンに比べて高
誘電率を有し、ジルコニウム(Zr)およびハフニウム
(Hf)からなる群から選択される金属、ならびに酸素
を含む。ゲート誘電体膜56は、ドーピング金属を含
む。ドーピング金属は、好ましくは、アルミニウム(A
l)、スカンジウム(Sc)、ランタン(La)、また
はイットリウム(Y)等の三価金属、もしくはカルシウ
ム(Ca)またはストロンチウム(Sr)等の二価金属
である。膜56内のドーピング金属のパーセンテージ
は、約0〜50%の範囲である。好ましくは、膜56内
のAlのパーセンテージは、約25%である。ゲート誘
電体膜56は、約20〜200Åの範囲の膜厚60(図
3)を有する。ゲート誘電体膜56は、約10〜25の
範囲の比誘電率を有する。
【0074】バルクCMOSデバイスの用途でのゲート
誘電体の場合、ウエハが、任意の最高水準の従来技術を
用いて分離等の処理をされ、引き続いてPウェルおよび
Nウェルが形成されて、チャネル領域が露出する。酸化
バリアの超薄層が、なおも必要とされ得る。この場合、
可能なバリアは、窒化シリコンおよび酸窒化シリコンを
含む。次に、high−k誘電体が堆積される。いくつ
かの膜の成長方法が存在する: A. 不活性または酸化雰囲気でのZrおよびドーピン
グ金属の同時スパッタリング、 B. 不活性または酸化雰囲気でのZr−Al等の化合
物ターゲットの同時スパッタリング、 C. Zr−Al−OおよびHf−Al−Oの化学的気
相成長法、または D. 蒸着 堆積に続いて、膜が、不活性(例えば、Ar、N2、H2
ガス)および/または酸化(O2、H2O、N2O、NO
およびガスなし(ガスフリー))雰囲気で、高温(40
0〜900℃)でアニーリングされ、high−k膜お
よびhigh−k/Si界面のコンディショニングを行
う。しかしながら、膜が蒸着によって堆積される場合、
合金膜内に酸素を含ませるために、アニーリング処理
は、典型的に、酸素を含む。
【0075】アニーリングに続いて、ゲートが堆積さ
れ、パターニングされてゲートスタックになる。ゲート
材料は、金属またはポリシリコンであり得る。次いで、
任意の最高水準のデバイス製造プロセスを用いて、デバ
イスが、従来技術による方法、もしくは窒化物、ポリシ
リコン、またはポリSiGeダミーゲートを用いるゲー
ト置き換え法によって完成される。
【0076】図4は、本発明のドープ金属酸化膜を形成
するCVD法の工程を示すフローチャートである。工程
100は、表面を有する集積回路(IC)を提供する。
工程102は、ZrおよびHfからなる群から選択され
る金属ならびにドーピング金属を含む、少なくとも1つ
の前駆体を調製する。工程102は、ドーピング金属を
含む。ドーピング金属は、好ましくは、アルミニウム
(Al)、スカンジウム(Sc)、ランタン(La)、
またはイットリウム(Y)等の三価金属、もしくはカル
シウム(Ca)またはストロンチウム(Sr)等の二価
金属である。本発明のいくつかの局面において、工程1
02は、ZrおよびHfからなる群から選択される金属
を含む第1の前駆体、ならびにドーピング金属を含む第
2の前駆体を包含する。工程104は、少なくとも1つ
の前駆体を蒸着する。工程106は、酸素を含む雰囲気
を確立する。典型的に、工程106は、アルゴン(A
r)を含有する雰囲気を含み、O2のArに対する比率
は約5〜25%の範囲で、圧力は約1〜10Tの範囲で
ある。工程108は、IC表面上で前駆体を分解し、化
学的気相成長法(CVD)で、ZrおよびHfからなる
群から選択される金属、ドーピング金属ならびに酸素を
含む合金膜を堆積する。
【0077】工程110は、約400〜800℃の範囲
の温度でアニーリングする。工程110は、Ar、
2、H2ガス、O2、H2O、N2O、NO、ガスなし、
および酸素プラズマからなる群から選択される要素を含
む雰囲気を確立する工程を含む。工程112は、生成物
であり、ここで、高誘電率および良好なバリア特性を有
する薄膜が形成される。
【0078】本発明のいくつかの局面において、工程1
00は、シリコンIC表面を提供し、工程108の前に
さらなる工程がある。工程106aが、約300〜50
0℃の範囲のICシリコン表面温度を確立する。
【0079】本発明の別の実施形態において、原子層化
学的気相成長法(ALCVD)が、ドープ金属酸化物誘
電体層を形成するために用いられる。ALCVD法は、
化学吸着として公知の化学現象を用いる。化学吸着にお
いて、気相内の材料が、表面に吸着して、飽和し、単分
子層を形成する。大半の従来の堆積技術は、表面カバレ
ッジが単純に統計的である多層堆積領域を生成する、物
理吸着処理を用いる。化学吸着を利用することによっ
て、膜厚および組成が極めて均一である膜を、成長させ
ることが可能である。例えば、伝えられるところでは、
酸化ジルコニウム膜が、このようにして、塩化ジルコニ
ウム(ZrCl4)を用いて第1の単分子層を形成し、
ZrCl4の系をパージし、次いで、表面を水蒸気(H2
O)に曝すことによって、シリコン上に成長させられて
きた。酸化ジルコニウム層を生成するための他の前駆体
は、ジルコニウムプロポキシド(Zr(iOPr)4
およびジルコニウムテトラメチルヘプタンジオネート
(Zr(tmhd)4)を含む。化学吸着は、所与の気
体−固体の組み合わせに関する非常に限られた範囲の温
度および圧力で起こる。典型的に、温度は、1〜100
0mTorrの圧力で、100〜700℃の間である。
例えば、酸化ジルコニウムは、伝えられるところによれ
ば、ZrCl4およびH2Oを用いて、300℃の温度で
シリコン基板上に堆積されてきた。この処理で単分子層
が生成されると、さらなる単分子層を加えることによっ
て、より厚い酸化ジルコニウ層が生成される。ドーピン
グ前駆体が、ドーピング金属の層を堆積するために用い
られ得る。ALCVD法は、通常、パルスCVD法とも
呼ばれる。これは、その方法体系が、典型的に、堆積さ
れる材料の量を制御するために、前駆体材料のパルスに
依存するからである。典型的に、このパルスは、IC表
面を被覆するために十分な材料を含む。本方法の別の実
施形態において、ドーピング前駆体のパルスが導入され
るが、これは、IC表面全体に単分子層を形成するため
に必要とされるパルスよりも少ない。選択された前駆体
と共に化学吸着を利用するためには、全般的な処理が、
過度の実験を必要とせずに、最適化される必要がある。
この堆積方式の重要な局面は、次の成分の導入の前の1
つの成分からの十分なパージング、ならびに温度および
圧力を制御する能力である。原子層堆積により、10Å
未満の膜厚の層、好ましくは、2〜5Åの間の膜厚の層
を生成することが可能になる。半導体基板へのそのよう
な超薄原子層の堆積を調製するための有効な道具は、実
験的な堆積においては、原子層堆積が実行可能であるこ
とが示されているが、現在存在しない。
【0080】図5は、ドープ金属酸化膜を形成するAL
CVD法の工程を示すフローチャートである。
【0081】工程150は、表面を有する集積回路(I
C)を提供する。好適な実施形態において、表面上にも
ともと存在する表面酸化物が取り除かれて、シリコン表
面が露出される。
【0082】工程152は、ZrおよびHfからなる群
から選択される金属を含む少なくとも1つの前駆体を調
製し、その少なくとも1つの前駆体を蒸発させ、IC表
面をその少なくとも1つの前駆体に曝す。前駆体は、A
LCVDチャンバ内のIC表面上に材料の単分子層を堆
積することに適しているべきである。例えば、好適な実
施形態において、塩化ジルコニウム(ZrCl4)、ジ
ルコニウムプロポキシド(Zr(iOPr)4)および
ジルコニウムテトラメチルヘプタンジオネート(Zr
(tmhd)4)が、Zrを堆積するための前駆体であ
るので、ZrがIC表面に吸着して単分子層を生成す
る。
【0083】工程154は、酸素前駆体を調製し、IC
表面をその酸素前駆体に曝す。好ましくは、酸素前駆体
は蒸気である。例えば、好適な実施形態において、H2
Oが酸素前駆体として用いられる。
【0084】工程156は、ドーピング金属を含むドー
ピング前駆体を調製する。ドーピング金属は、好ましく
は、アルミニウム(Al)、スカンジウム(Sc)、ラ
ンタン(La)、またはイットリウム(Y)等の三価金
属、もしくはカルシウム(Ca)またはストロンチウム
(Sr)等の二価金属である。好適な実施形態におい
て、ドーピング前駆体は、アルミニウムドーピングの場
合は、AlCl3、Al(CH33、およびAl(ac
ac)3からなる群から選択される。IC表面がドーピ
ング前駆体に曝されるので、ドーピング金属の層または
層の一部がIC表面に吸着する。
【0085】工程158は、所望の誘電体材料を生成す
るために、必要に応じて、連続する工程、ならびに工程
152、154、および156の繰り返しの結果を指
す。左側への矢印によって示されるように、工程15
2、154、および156は、所望の誘電体材料を生成
するために、個別に、または順序を変えて繰り返される
必要があり得る。繰り返しが必要とされるのは、大部分
において、ALCVD法に関連する単分子層の堆積によ
る。ALCVD法の分野で周知のとおり、各前駆体は、
たとえ同じ前駆体が次の層に用いられるとしても、好ま
しくは、連続する層ごとに取り除かれる。前駆体は、I
C表面上に単分子層の材料を生成するために、好ましく
は、十分な材料でパルス状にされるべきである。
【0086】工程160において、堆積に引き続いて、
誘電体材料がアニーリングされ、誘電体材料、およびそ
の下の材料との界面を最終的にコンディショニングす
る。
【0087】工程162は、最終的な高誘電率膜を指
す。
【0088】工程152および156を別個の工程とし
て示したが、本発明の別の実施形態において、2つの前
駆体が同時に導入され得る。
【0089】図6は、ドープ金属酸化膜を形成する蒸着
方法の工程を示すフローチャートである。工程200
は、シリコン表面を有する集積回路(IC)を提供す
る。工程202は、ZrおよびHfからなる群から選択
される金属ならびにドーピング金属を含む、少なくとも
1つのるつぼを調製する。ドーピング金属は、好ましく
は、アルミニウム(Al)、スカンジウム(Sc)、ラ
ンタン(La)、またはイットリウム(Y)等の三価金
属、もしくはカルシウム(Ca)またはストロンチウム
(Sr)等の二価金属である。工程204は、真空(ガ
スフリー)雰囲気を確立する。工程206は、少なくと
も1つのるつぼを、約1000〜2000℃の範囲のる
つぼ温度に加熱し、工程202で調製された金属を蒸着
させる。工程208は、工程202〜206に応じて、
ZrおよびHfからなる群から選択される金属、ならび
にドーピング金属を含む合金膜を堆積する。工程210
は、酸素を含む雰囲気において、約400〜800℃の
範囲の温度でアニーリングし、ZrおよびHfからなる
群から選択される金属、ドーピング金属、ならびに酸素
を含む合金膜を形成する。工程210は、Ar、N2
2ガス、O2、H2O、N 2O、NO、ガスなし、および
酸素プラズマからなる群から選択される要素を含む雰囲
気を確立する工程を含む。工程212は、生成物であ
り、ここで、高誘電率および良好なバリア特性を有する
薄膜が形成される。
【0090】本発明のいくつかの局面において、工程2
02は、ZrおよびHfからなる群から選択される金属
のための第1のるつぼ、ならびにドーピング金属のため
の第2のるつぼを含む。次いで、工程206が、第1の
るつぼを、約1000〜2000℃の範囲の温度に加熱
する工程、および第2のるつぼを、約1000〜200
0℃の範囲の温度に加熱する工程を含む。Zr/Hfる
つぼは、ドーピング金属のるつぼと同じ温度である必要
はない。
【0091】本発明のいくつかの局面において、工程2
10は部分工程(図示せず)を含む。工程210aが、
酸素を含む雰囲気において、約400〜900℃の範囲
の温度でアニーリングする。工程210bが、Ar、N
2、H2ガス、O2、H2O、N 2O、NO、ガスなし、お
よび酸素プラズマからなる群から選択される要素を含む
雰囲気において、約400〜900℃の範囲の温度でア
ニーリングする。
【0092】high−k誘電体膜が、いくつかのhi
gh−k誘電体膜の製造方法と共に開示された。誘電体
膜の電子親和力、電子バリアハイト、および正孔バリア
ハイトは、ドーピング金属を含むことによって、改変す
ることが可能である。ドーピング金属は、好ましくは、
アルミニウム(Al)、スカンジウム(Sc)、ランタ
ン(La)、またはイットリウム(Y)等の三価金属、
もしくはカルシウム(Ca)またはストロンチウム(S
r)等の二価金属である。
【0093】好適な実施形態において、high−k誘
電体膜は、比較的に高いアニーリング温度で、アモルフ
ァスのままである。膜は結晶構造を形成しないので、隣
接する膜との界面は凹凸が少なくなる。ゲート誘電体と
して用いられる場合、膜を、ゲート電界をチャネル領域
と結合するために必要なキャパシタンスを提供するため
に十分な膜厚にすることが可能である一方で、チャネル
領域の表面を、高電子移動度を維持するために平滑にす
ることが可能である。この膜は、CVD法、ALCVD
法、スパッタリング法、または蒸発法によって形成され
る。本発明の他の変形例および実施形態が、当業者によ
り見出される。
【0094】特定の実施形態に関して上述したが、本発
明の範囲は、開示された実施形態のみに限定されない。
本発明に対する適度な変更および新たな改変が、今後行
われ得るが、その場合もなお、本発明の範囲内であり得
る。本発明は、請求の範囲により規定される。
【0095】
【発明の効果】本発明によれば、二価または三価金属を
ドーピングすることにより、電子親和力、ならびにその
結果として、電子バリアハイトおよび正孔バリアハイト
を変更する、high−k誘電体膜が提供される。hi
gh−k誘電体膜は、カルシウム(Ca)またはストロ
ンチウム(Sr)等の二価金属、もしくはアルミニウム
(Al)、スカンジウム(Sc)、ランタン(La)、
またはイットリウム(Y)等の三価金属でドーピングさ
れる、ジルコニウム(Zr)またはハフニウム(Hf)
のいずれかの金属酸化物である。二価または三価のドー
ピング金属のいずれかを選択することによって、誘電体
材料の電子親和力を制御することが可能である一方で、
二酸化シリコンと比べて、高誘電率の材料も提供する。
好ましくは、誘電体材料は、粒界によって引き起こされ
るリーク電流を低減するために、アモルファスでもあ
る。上述のドープhigh−k誘電体膜のために、スパ
ッタリング、CVD、原子層CVD、および蒸着法も提
供される。
【図面の簡単な説明】
【図1】図1は、本発明のドープ金属酸化膜に対するス
パッタリング堆積法を示すフローチャートである。
【図2】図2は、本発明を用いてトランジスタを完成す
る工程を示す図である。
【図3】図3は、本発明を用いてトランジスタを完成す
る工程を示す図である。
【図4】図4は、本発明のドープ金属酸化膜を形成する
CVD法の工程を示すフローチャートである図である。
【図5】図5は、本発明のドープ金属酸化膜を形成する
ALCVD法の工程を示すフローチャートである図であ
る。
【図6】図6は、ドープ金属酸化膜を形成する蒸着法の
工程を示すフローチャートである。
【符号の説明】
50 トランジスタ 52 チャネル領域 56 ゲート誘電体膜 62 界面バリア
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) C23C 16/56 C23C 16/56 5F101 H01L 21/8238 H01L 29/78 301G 5F140 21/8247 27/08 321D 27/092 29/78 371 27/105 27/10 444A 29/78 29/788 29/792 Fターム(参考) 4K029 AA06 AA24 BA50 BD01 CA06 DC16 EA03 EA08 GA01 4K030 AA01 AA03 AA11 BA10 BA22 BA42 CA04 CA12 DA09 JA09 JA10 LA15 5F048 AC03 BB04 BB11 BB12 BB13 5F058 BA11 BC03 BC04 BF02 BF12 BF17 BF24 BF32 BH02 BH03 5F083 FR05 JA02 JA05 JA19 PR12 PR21 PR22 PR23 5F101 BA62 BH02 BH03 BH16 5F140 AA19 AB03 AC01 BA01 BD01 BD07 BD09 BD11 BD13 BD17 BE07 BE09 BE10 BE17 BF04 BF05 BG01

Claims (41)

    【特許請求の範囲】
  1. 【請求項1】 二酸化シリコンに対して、高誘電率を有
    する薄膜であって、該薄膜が、 a)ドーピング金属と、 b)ジルコニウム(Zr)およびハフニウム(Hf)か
    らなる群から選択される金属と、 c)酸素と、 を含むことにより、高誘電体膜が形成される、薄膜。
  2. 【請求項2】 前記ドーピング金属が、アルミニウム
    (Al)、スカンジウム(Sc)、ランタン(La)、
    およびイットリウム(Y)からなる群から選択される三
    価金属である、請求項1に記載の薄膜。
  3. 【請求項3】 前記ドーピング金属が、カルシウム(C
    a)およびストロンチウム(Sr)からなる群から選択
    される二価金属である、請求項1に記載の薄膜。
  4. 【請求項4】 前記薄膜が約20〜200Åの範囲の膜
    厚を有する、請求項1に記載の薄膜。
  5. 【請求項5】 前記薄膜が約10〜25の範囲の比誘電
    率を有する、請求項1に記載の薄膜。
  6. 【請求項6】 前記膜内のドーピング金属のパーセンテ
    ージが約50%を超過しない、請求項1に記載の薄膜。
  7. 【請求項7】 前記膜内のドーピング金属のパーセンテ
    ージが約25%である、請求項6に記載の薄膜。
  8. 【請求項8】 MOSFETトランジスタであって、 a)ゲート電極と、 b)該ゲート電極の下側に上面を有するチャネル領域
    と、 c)該ゲート電極と該チャネル領域の上面との間に挿入
    されるゲート誘電体膜であって、二酸化シリコンに比べ
    て高誘電率を有し、ジルコニウム(Zr)およびハフニ
    ウム(Hf)からなる群から選択される金属を含み、酸
    素を含み、さらにドーピング金属を含むゲート誘電体膜
    とを含む、MOSFETトランジスタ。
  9. 【請求項9】 前記ドーピング金属が、アルミニウム
    (Al)、スカンジウム(Sc)、ランタン(La)、
    およびイットリウム(Y)からなる群から選択される三
    価金属を含む、請求項8に記載のトランジスタ。
  10. 【請求項10】 前記ドーピング金属が、カルシウム
    (Ca)およびストロンチウム(Sr)からなる群から
    選択される二価金属を含む、請求項8に記載のトランジ
    スタ。
  11. 【請求項11】 前記膜内のドーピング金属のパーセン
    テージが約0〜50%の範囲である、請求項8に記載の
    トランジスタ。
  12. 【請求項12】 前記膜内のドーピング金属のパーセン
    テージが約25%である、請求項11記載のトランジス
    タ。
  13. 【請求項13】 前記ゲート誘電体膜が約20〜200
    Åの範囲の膜厚を有する、請求項8に記載のトランジス
    タ。
  14. 【請求項14】 前記ゲート誘電体膜が約10〜25の
    範囲の比誘電率を有する、請求項8に記載のトランジス
    タ。
  15. 【請求項15】 トランジスタであって、前記チャネル
    領域と前記ゲート誘電体膜との間に挿入された、約2〜
    5Åの範囲の膜厚を有する界面バリアをさらに含み、該
    界面バリアが、窒化シリコンおよび酸窒化シリコンから
    なる群から選択された材料を含むことにより、前記チャ
    ネル領域の上面が、より平滑になり、前記MOSFET
    の電子移動度を増す、請求項8に記載のトランジスタ。
  16. 【請求項16】 表面を有する集積回路(IC)の製造
    において、ドープ金属酸化膜を該IC表面上に形成する
    方法が、 a)酸素を含む雰囲気を確立する工程と、 b)ZrおよびHfからなる群から選択される金属を含
    み、かつドーピング金属を含む少なくとも1つのターゲ
    ット金属を該ICシリコン表面上にスパッタリングする
    工程と、 c)該工程a)およびb)に応じて、該ドープ金属酸化
    膜を形成する工程と、 d)約400〜900℃の範囲の温度でアニーリングす
    ることにより、高誘電率および良好なバリア特性を有す
    る薄膜が形成される工程と、を包含する、方法。
  17. 【請求項17】 前記IC表面がほぼ室温〜400℃の
    温度範囲で維持される、請求項16に記載の方法。
  18. 【請求項18】 前記工程a)がアルゴン(Ar)を含
    有する雰囲気を含み、O2のArに対する比率が約5〜
    25%の範囲であり、圧力が約1〜10ミリトル(mT
    orr)の範囲である、請求項16に記載の方法。
  19. 【請求項19】 前記工程d)が、Ar、N2、H2
    ス、O2、H2O、N2O、NO、ガスなし、および酸素
    プラズマからなる群から選択される要素を含む雰囲気を
    確立する工程を包含する、請求項16に記載の方法。
  20. 【請求項20】 前記ドーピング金属が、アルミニウム
    (Al)、スカンジウム(Sc)、ランタン(La)、
    およびイットリウム(Y)からなる群から選択される三
    価金属である、請求項16に記載の方法。
  21. 【請求項21】 前記ドーピング金属が、カルシウム
    (Ca)およびストロンチウム(Sr)からなる群から
    選択される二価金属である、請求項16に記載の方法。
  22. 【請求項22】 前記工程b)が、ZrおよびHfから
    なる群から選択される金属の第1のターゲット、ならび
    に三価金属を含む第2のターゲットを含む、別々のター
    ゲットを同時スパッタリングする工程を包含する、請求
    項16に記載の方法。
  23. 【請求項23】 前記工程b)が、ZrおよびHfから
    なる群から選択される金属の第1のターゲット、ならび
    に二価金属を含む第2のターゲットを含む、別々のター
    ゲットを同時スパッタリングする工程を包含する、請求
    項16に記載の方法。
  24. 【請求項24】 表面を有する集積回路(IC)の製造
    において、ドープ金属酸化膜を形成する方法が、 a)ZrおよびHfからなる群から選択される金属なら
    びにドーピング金属を含む、少なくとも1つの前駆体を
    調製する工程と、 b)該少なくとも1つの前駆体を蒸発させる工程と、 c)酸素を含む雰囲気を確立する工程と、 d)該IC表面上で該前駆体を分解し、化学的気相成長
    法(CVD)で、ZrおよびHfからなる群から選択さ
    れる金属、ドーピング金属および酸素を含む合金膜を堆
    積する工程と、 e)約400〜900℃の範囲の温度でアニーリングす
    ることにより、高誘電率および良好なバリア特性を有す
    る薄膜が形成される工程と、を包含する、方法。
  25. 【請求項25】 前記工程d)の前に、約300〜50
    0℃の範囲のIC表面温度を確立する工程をさらに包含
    する、請求項24に記載の方法。
  26. 【請求項26】 前記工程c)が、アルゴン(Ar)を
    含有する雰囲気を含み、O2のArに対する比率が約5
    〜25%の範囲であり、圧力が約1〜10トル(Tor
    r)の範囲である、請求項24に記載の方法。
  27. 【請求項27】 前記工程e)が、Ar、N2、H2
    ス、O2、H2O、N2O、NO、ガスなし、および酸素
    プラズマからなる群から選択される要素を含む雰囲気を
    確立する工程を包含する、請求項24に記載の方法。
  28. 【請求項28】 前記ドーピング金属が、アルミニウム
    (Al)、スカンジウム(Sc)、ランタン(La)、
    およびイットリウム(Y)からなる群から選択される三
    価金属である、請求項24に記載の方法。
  29. 【請求項29】 前記ドーピング金属が、カルシウム
    (Ca)およびストロンチウム(Sr)からなる群から
    選択される二価金属である、請求項24に記載の方法。
  30. 【請求項30】 表面を有する集積回路(IC)の製造
    において、ドープ金属酸化膜を形成する方法が、 a)ZrおよびHfからなる群から選択される金属を含
    む第1の前駆体を調製する工程と、 b)該第1の前駆体を蒸発させ、該IC表面を該前駆体
    に曝すことにより、該金属の層が該表面に化学的に吸着
    し、ALCVD法で、該金属の層を堆積する工程と、 c)酸素前駆体を調製する工程と、 d)該酸素前駆体を蒸発させ、該IC表面を該酸素前駆
    体に曝すことにより、酸素の層が該表面に化学的に吸着
    し、ALCVD法で、該酸素の層を堆積する工程と、 e)ドーピング金属を含むドーピング金属前駆体を調製
    する工程と、 f)該ドーピング金属前駆体を蒸発させ、該IC表面を
    該ドーピング金属前駆体に曝すことにより、該ドーピン
    グ金属の層が該表面に化学的に吸着し、ALCVD法
    で、該ドーピング金属の層を堆積する工程と、 g)約300〜900℃の範囲の温度でアニーリングし
    て、該堆積された層のコンディショニングを行うことに
    より、高誘電率および良好なバリア特性を有する薄膜が
    形成される、工程と、を包含する、方法。
  31. 【請求項31】 処理中に、約100〜700℃の範囲
    のICシリコン表面温度を確立する工程をさらに包含す
    る、請求項30に記載の方法。
  32. 【請求項32】 前記ドーピング金属が、アルミニウム
    (Al)、スカンジウム(Sc)、ランタン(La)、
    およびイットリウム(Y)からなる群から選択される三
    価金属である、請求項30に記載の方法。
  33. 【請求項33】 前記ドーピング金属が、カルシウム
    (Ca)およびストロンチウム(Sr)からなる群から
    選択される二価金属である、請求項30に記載の方法。
  34. 【請求項34】 前記第1の前駆体がZrCl4であ
    る、請求項30に記載の方法。
  35. 【請求項35】 前記酸素前駆体がH2Oである、請求
    項30に記載の方法。
  36. 【請求項36】 前記ドーピング金属前駆体が、AlC
    3、Al(CH3 3、およびAl(acac)3からな
    る群から選択される前駆体である、請求項30に記載の
    方法。
  37. 【請求項37】 シリコン表面を有する集積回路(I
    C)の製造において、ドープ金属酸化膜を形成する方法
    が、 a)ZrおよびHfからなる群から選択される金属なら
    びにドーピング金属を含む、少なくとも1つのるつぼを
    調製する工程と、 b)真空雰囲気を確立する工程と、 c)該少なくとも1つのるつぼを、約1000〜200
    0℃の範囲のるつぼ温度に加熱することにより、該工程
    a)で調製された金属を蒸発させる工程と、 d)該工程a)〜c)に応じて、該ZrおよびHfから
    なる群から選択される金属ならびに該ドーピング金属を
    含む合金膜を堆積する工程と、 e)酸素を含む雰囲気で、約400〜900℃の範囲の
    温度でアニーリングし、該ZrおよびHfからなる群か
    ら選択される金属、該ドーピング金属ならびに酸素を含
    む合金膜を形成することにより、高誘電率および良好な
    バリア特性を有する薄膜が形成される工程と、を包含す
    る方法。
  38. 【請求項38】 前記工程a)が、前記ZrおよびHf
    からなる群から選択される金属のための第1のるつぼ、
    ならびに前記ドーピング金属のための第2のるつぼを含
    み、前記工程c)が、該第1のるつぼを、約1000〜
    2000℃の範囲の温度に加熱し、該第2のるつぼを、
    約1000〜2000℃の範囲の温度に加熱する工程を
    包含する、請求項37に記載の方法。
  39. 【請求項39】 前記ドーピング金属が、アルミニウム
    (Al)、スカンジウム(Sc)、ランタン(La)、
    およびイットリウム(Y)からなる群から選択される三
    価金属である、請求項38に記載の方法。
  40. 【請求項40】 前記ドーピング金属が、カルシウム
    (Ca)およびストロンチウム(Sr)からなる群から
    選択される二価金属である、請求項38に記載の方法。
  41. 【請求項41】 前記工程e)が、Ar、N2、H2
    ス、O2、H2O、N2O、NO、ガスなし、および酸素
    プラズマからなる群から選択される要素を含む雰囲気を
    確立する工程を包含する、請求項37に記載の方法。
JP2001142471A 2000-07-06 2001-05-11 Mosfetトランジスタおよびその製造方法 Expired - Fee Related JP3761419B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/611,356 US6297539B1 (en) 1999-07-19 2000-07-06 Doped zirconia, or zirconia-like, dielectric film transistor structure and deposition method for same
US09/611.356 2000-07-06

Publications (2)

Publication Number Publication Date
JP2002033320A true JP2002033320A (ja) 2002-01-31
JP3761419B2 JP3761419B2 (ja) 2006-03-29

Family

ID=24448700

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001142471A Expired - Fee Related JP3761419B2 (ja) 2000-07-06 2001-05-11 Mosfetトランジスタおよびその製造方法

Country Status (5)

Country Link
US (1) US6297539B1 (ja)
EP (1) EP1179837A3 (ja)
JP (1) JP3761419B2 (ja)
KR (1) KR20020005432A (ja)
TW (1) TW519760B (ja)

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367982A (ja) * 2001-05-07 2002-12-20 Samsung Electronics Co Ltd 多成分系薄膜及びその形成方法
JP2004161602A (ja) * 2002-06-10 2004-06-10 Interuniv Micro Electronica Centrum Vzw Hf2および第2の化合物を含む組成物、その使用、そのデバイス、および基板上に誘電性層を形成する方法
JP2004289061A (ja) * 2003-03-25 2004-10-14 Renesas Technology Corp 半導体装置およびその製造方法
JP2005101529A (ja) * 2003-08-29 2005-04-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法および半導体装置
US6946351B2 (en) 2003-02-06 2005-09-20 Fujitsu Limited Method for fabricating a semiconductor device
JP2006066503A (ja) * 2004-08-25 2006-03-09 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2006140514A (ja) * 2005-12-19 2006-06-01 Fujitsu Ltd 半導体装置及びその製造方法
JP2007506266A (ja) * 2003-09-16 2007-03-15 東京エレクトロン株式会社 high−kゲート誘電体プロセスインテグレーションのための界面酸化プロセス。
KR100718839B1 (ko) * 2005-08-31 2007-05-16 삼성전자주식회사 박막 제조 방법 및 이를 이용한 커패시터의 제조 방법
JP2007150242A (ja) * 2005-11-28 2007-06-14 Hynix Semiconductor Inc 半導体素子のキャパシタ製造方法
WO2008108128A1 (ja) * 2007-03-08 2008-09-12 Nec Corporation 誘電体、誘電体を用いたキャパシタ、誘電体を用いた半導体装置、及び誘電体の製造方法
JP2008258487A (ja) * 2007-04-06 2008-10-23 Renesas Technology Corp 半導体装置の製造装置
WO2009057589A1 (ja) * 2007-10-30 2009-05-07 Nec Corporation キャパシタとそれを有する半導体装置およびキャパシタの製造方法
JP2009191370A (ja) * 2009-05-28 2009-08-27 Kobe Steel Ltd 酸化物皮膜、酸化物皮膜被覆材および酸化物皮膜の形成方法
WO2009119803A1 (ja) * 2008-03-28 2009-10-01 日本電気株式会社 キャパシタとそれを有する半導体装置並びにそれらの製造方法
US7754618B2 (en) 2005-02-10 2010-07-13 Micron Technology, Inc. Method of forming an apparatus having a dielectric containing cerium oxide and aluminum oxide
WO2011042955A1 (ja) * 2009-10-06 2011-04-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7989877B2 (en) 2008-11-25 2011-08-02 Samsung Electronics Co., Ltd. Semiconductor devices including a dielectric layer
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
JP2012069871A (ja) * 2010-09-27 2012-04-05 Elpida Memory Inc 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
US8465852B2 (en) 2007-08-02 2013-06-18 Kobe Steel, Ltd. Oxide film, oxide film coated material and method for forming an oxide film
KR101493424B1 (ko) * 2014-01-09 2015-02-16 연세대학교 산학협력단 디스프로슘이 도핑된 하프늄 단결정 산화물 제조 방법
US11908918B2 (en) 2018-09-18 2024-02-20 Samsung Electronics Co., Ltd. Electronic device and method of manufacturing the same

Families Citing this family (381)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020145129A1 (en) * 1998-08-14 2002-10-10 Yun Sun-Jin High luminance-phosphor and method for fabricating the same
US7554829B2 (en) 1999-07-30 2009-06-30 Micron Technology, Inc. Transmission lines for CMOS integrated circuits
US6528153B1 (en) 1999-09-30 2003-03-04 Novellus Systems, Inc. Low dielectric constant porous materials having improved mechanical strength
US6780704B1 (en) * 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
US6407435B1 (en) * 2000-02-11 2002-06-18 Sharp Laboratories Of America, Inc. Multilayer dielectric stack and method
FI117979B (fi) * 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6849305B2 (en) 2000-04-28 2005-02-01 Ekc Technology, Inc. Photolytic conversion process to form patterned amorphous film
US20060001064A1 (en) * 2000-04-28 2006-01-05 Hill Ross H Methods for the lithographic deposition of ferroelectric materials
US20040191423A1 (en) * 2000-04-28 2004-09-30 Ruan Hai Xiong Methods for the deposition of silver and silver oxide films and patterned films
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US7037862B2 (en) * 2001-06-13 2006-05-02 Micron Technology, Inc. Dielectric layer forming method and devices formed therewith
US6420279B1 (en) * 2001-06-28 2002-07-16 Sharp Laboratories Of America, Inc. Methods of using atomic layer deposition to deposit a high dielectric constant material on a substrate
US6541331B2 (en) * 2001-08-09 2003-04-01 International Business Machines Corporation Method of manufacturing high dielectric constant material
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6844203B2 (en) 2001-08-30 2005-01-18 Micron Technology, Inc. Gate oxides, and methods of forming
US6472337B1 (en) * 2001-10-30 2002-10-29 Sharp Laboratories Of America, Inc. Precursors for zirconium and hafnium oxide thin film deposition
DE10153288A1 (de) * 2001-10-31 2003-05-15 Infineon Technologies Ag Herstellungsverfahren für ein Halbleiterbauelement
DE10156932A1 (de) * 2001-11-20 2003-05-28 Infineon Technologies Ag Verfahren zur Abscheidung dünner Praseodymoxid-Schichten mittels ALD/CVD-Verfahren
US6667246B2 (en) * 2001-12-04 2003-12-23 Matsushita Electric Industrial Co., Ltd. Wet-etching method and method for manufacturing semiconductor device
US6953730B2 (en) 2001-12-20 2005-10-11 Micron Technology, Inc. Low-temperature grown high quality ultra-thin CoTiO3 gate dielectrics
US6900122B2 (en) 2001-12-20 2005-05-31 Micron Technology, Inc. Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics
US6563183B1 (en) * 2001-12-31 2003-05-13 Advanced Micro Devices, Inc. Gate array with multiple dielectric properties and method for forming same
US6767795B2 (en) * 2002-01-17 2004-07-27 Micron Technology, Inc. Highly reliable amorphous high-k gate dielectric ZrOXNY
US6620670B2 (en) * 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6893984B2 (en) * 2002-02-20 2005-05-17 Micron Technology Inc. Evaporated LaA1O3 films for gate dielectrics
US6617209B1 (en) * 2002-02-22 2003-09-09 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US7323422B2 (en) * 2002-03-05 2008-01-29 Asm International N.V. Dielectric layers and methods of forming the same
US6812100B2 (en) * 2002-03-13 2004-11-02 Micron Technology, Inc. Evaporation of Y-Si-O films for medium-k dielectrics
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7087480B1 (en) * 2002-04-18 2006-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Process to make high-k transistor dielectrics
US6627556B1 (en) * 2002-04-24 2003-09-30 Lsi Logic Corporation Method of chemically altering a silicon surface and associated electrical devices
US6861094B2 (en) * 2002-04-25 2005-03-01 Micron Technology, Inc. Methods for forming thin layers of materials on micro-device workpieces
US7045430B2 (en) 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US7160577B2 (en) 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7135421B2 (en) 2002-06-05 2006-11-14 Micron Technology, Inc. Atomic layer-deposited hafnium aluminum oxide
US7205218B2 (en) 2002-06-05 2007-04-17 Micron Technology, Inc. Method including forming gate dielectrics having multiple lanthanide oxide layers
US6858547B2 (en) 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6804136B2 (en) 2002-06-21 2004-10-12 Micron Technology, Inc. Write once read only memory employing charge trapping in insulators
US6970370B2 (en) * 2002-06-21 2005-11-29 Micron Technology, Inc. Ferroelectric write once read only memory for archival storage
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
US7326988B2 (en) * 2002-07-02 2008-02-05 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US7221017B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide-conductor nanolaminates
US7221586B2 (en) 2002-07-08 2007-05-22 Micron Technology, Inc. Memory utilizing oxide nanolaminates
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US6664156B1 (en) 2002-07-31 2003-12-16 Chartered Semiconductor Manufacturing, Ltd Method for forming L-shaped spacers with precise width control
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US6790791B2 (en) 2002-08-15 2004-09-14 Micron Technology, Inc. Lanthanide doped TiOx dielectric films
US6787421B2 (en) 2002-08-15 2004-09-07 Freescale Semiconductor, Inc. Method for forming a dual gate oxide device using a metal oxide and resulting device
US6955725B2 (en) 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6884739B2 (en) * 2002-08-15 2005-04-26 Micron Technology Inc. Lanthanide doped TiOx dielectric films by plasma oxidation
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US7199023B2 (en) 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7084078B2 (en) 2002-08-29 2006-08-01 Micron Technology, Inc. Atomic layer deposited lanthanide doped TiOx dielectric films
DE10239869A1 (de) * 2002-08-29 2004-03-18 Infineon Technologies Ag Verbesserung der dielektrischen Eigenschaften von Schichten aus High-k-Materialien durch Plasmabehandlung
US6770536B2 (en) * 2002-10-03 2004-08-03 Agere Systems Inc. Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US6686212B1 (en) * 2002-10-31 2004-02-03 Sharp Laboratories Of America, Inc. Method to deposit a stacked high-κ gate dielectric for CMOS applications
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US6958302B2 (en) 2002-12-04 2005-10-25 Micron Technology, Inc. Atomic layer deposited Zr-Sn-Ti-O films using TiI4
US7101813B2 (en) 2002-12-04 2006-09-05 Micron Technology Inc. Atomic layer deposited Zr-Sn-Ti-O films
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US7015088B2 (en) * 2002-12-31 2006-03-21 Texas Instruments Incorporated High-K gate dielectric defect gettering using dopants
US6762114B1 (en) * 2002-12-31 2004-07-13 Texas Instruments Incorporated Methods for transistor gate fabrication and for reducing high-k gate dielectric roughness
JP2004247528A (ja) * 2003-02-14 2004-09-02 Sony Corp 半導体装置の製造方法
US7192892B2 (en) 2003-03-04 2007-03-20 Micron Technology, Inc. Atomic layer deposited dielectric layers
US6716707B1 (en) * 2003-03-11 2004-04-06 Intel Corporation Method for making a semiconductor device having a high-k gate dielectric
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
US6902993B2 (en) * 2003-03-28 2005-06-07 Cypress Semiconductor Corporation Gate electrode for MOS transistors
US7135369B2 (en) 2003-03-31 2006-11-14 Micron Technology, Inc. Atomic layer deposited ZrAlxOy dielectric layers including Zr4AlO9
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7183186B2 (en) 2003-04-22 2007-02-27 Micro Technology, Inc. Atomic layer deposited ZrTiO4 films
US6764927B1 (en) * 2003-04-24 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Chemical vapor deposition (CVD) method employing wetting pre-treatment
KR100773537B1 (ko) 2003-06-03 2007-11-07 삼성전자주식회사 한 개의 스위칭 소자와 한 개의 저항체를 포함하는비휘발성 메모리 장치 및 그 제조 방법
US7049192B2 (en) * 2003-06-24 2006-05-23 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectrics
US7192824B2 (en) 2003-06-24 2007-03-20 Micron Technology, Inc. Lanthanide oxide / hafnium oxide dielectric layers
JP3790242B2 (ja) * 2003-09-26 2006-06-28 株式会社東芝 半導体装置及びその製造方法
US7647886B2 (en) 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7012027B2 (en) * 2004-01-27 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US7906393B2 (en) 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050233477A1 (en) * 2004-03-05 2005-10-20 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and program for implementing the method
US20050210455A1 (en) * 2004-03-18 2005-09-22 International Business Machines Corporation Method for generating an executable workflow code from an unstructured cyclic process model
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US7494939B2 (en) 2004-08-31 2009-02-24 Micron Technology, Inc. Methods for forming a lanthanum-metal oxide dielectric layer
US7588988B2 (en) 2004-08-31 2009-09-15 Micron Technology, Inc. Method of forming apparatus having oxide films formed using atomic layer deposition
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7091568B2 (en) 2004-12-22 2006-08-15 Freescale Semiconductor, Inc. Electronic device including dielectric layer, and a process for forming the electronic device
US7560395B2 (en) 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
KR100682926B1 (ko) * 2005-01-31 2007-02-15 삼성전자주식회사 저항체를 이용한 비휘발성 메모리 소자 및 그 제조방법
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US20060177601A1 (en) * 2005-02-10 2006-08-10 Hyung-Sang Park Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof
US7399666B2 (en) 2005-02-15 2008-07-15 Micron Technology, Inc. Atomic layer deposition of Zr3N4/ZrO2 films as gate dielectrics
US7498247B2 (en) 2005-02-23 2009-03-03 Micron Technology, Inc. Atomic layer deposition of Hf3N4/HfO2 films as gate dielectrics
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7390756B2 (en) 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US7572695B2 (en) 2005-05-27 2009-08-11 Micron Technology, Inc. Hafnium titanium oxide films
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
KR101379015B1 (ko) 2006-02-15 2014-03-28 한국에이에스엠지니텍 주식회사 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
JP2007266464A (ja) * 2006-03-29 2007-10-11 Hitachi Ltd 半導体集積回路装置の製造方法
JP4649357B2 (ja) * 2006-03-30 2011-03-09 株式会社東芝 絶縁膜および半導体装置
KR100716654B1 (ko) * 2006-04-04 2007-05-09 주식회사 하이닉스반도체 정방정계 구조의 지르코늄산화막 형성 방법 및 그를 구비한캐패시터의 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
WO2008039845A2 (en) 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US20080087890A1 (en) * 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US8252640B1 (en) 2006-11-02 2012-08-28 Kapre Ravindra M Polycrystalline silicon activation RTA
JP2008193005A (ja) * 2007-02-07 2008-08-21 Eudyna Devices Inc 半導体装置の製造方法
US7833913B2 (en) * 2007-03-20 2010-11-16 Tokyo Electron Limited Method of forming crystallographically stabilized doped hafnium zirconium based films
US7755128B2 (en) * 2007-03-20 2010-07-13 Tokyo Electron Limited Semiconductor device containing crystallographically stabilized doped hafnium zirconium based materials
EP2040300B1 (en) 2007-09-20 2016-07-06 Imec MOSFET devices and method to fabricate them
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
TWI467045B (zh) * 2008-05-23 2015-01-01 Sigma Aldrich Co 高介電常數電介質薄膜與使用鈰基前驅物製造高介電常數電介質薄膜之方法
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8518758B2 (en) * 2010-03-18 2013-08-27 Globalfoundries Inc. ETSOI with reduced extension resistance
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10186570B2 (en) 2013-02-08 2019-01-22 Entegris, Inc. ALD processes for low leakage current and low equivalent oxide thickness BiTaO films
US20150041731A1 (en) * 2013-08-09 2015-02-12 Chung-Shan Institute of Science and Technology Armaments Bureau, Ministry of National Defense Method For Preparing Scandium-Doped Hafnium Oxide Film
KR102099881B1 (ko) 2013-09-03 2020-05-15 삼성전자 주식회사 반도체 소자 및 그 제조 방법
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US20170117282A1 (en) * 2015-10-26 2017-04-27 Intermolecular, Inc. DRAM Capacitors and Methods for Forming the Same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10950384B2 (en) * 2017-08-30 2021-03-16 Micron Technology, Inc. Method used in forming an electronic device comprising conductive material and ferroelectric material
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10566188B2 (en) * 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US20200044152A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Physical vapor deposition of doped transition metal oxide and post-deposition treatment thereof for non-volatile memory applications
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
CN111129160B (zh) * 2019-12-17 2023-10-13 西交利物浦大学 基于氧化锆和氧化镧的透明薄膜晶体管器件及其制备方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2529384B1 (fr) * 1982-06-25 1986-04-11 Thomson Csf Procede de reduction de compose en couche sur un substrat et son application a la fabrication de structure semi-conductrice a effet de champ
JP3224293B2 (ja) * 1992-12-01 2001-10-29 松下電器産業株式会社 誘電体薄膜の製造方法
JP3251462B2 (ja) * 1995-03-31 2002-01-28 ティーディーケイ株式会社 Mis半導体デバイスおよびその製造方法
JP3152859B2 (ja) * 1994-09-16 2001-04-03 株式会社東芝 半導体装置の製造方法
JPH10189921A (ja) * 1996-10-10 1998-07-21 Lucent Technol Inc 積層型フローティングゲートメモリデバイス
KR19990014155A (ko) * 1997-07-24 1999-02-25 윌리엄 비. 켐플러 고 유전율 실리케이트 게이트 유전체
US6015739A (en) * 1997-10-29 2000-01-18 Advanced Micro Devices Method of making gate dielectric for sub-half micron MOS transistors including a graded dielectric constant
US6165834A (en) * 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same

Cited By (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002367982A (ja) * 2001-05-07 2002-12-20 Samsung Electronics Co Ltd 多成分系薄膜及びその形成方法
US7709377B2 (en) 2001-05-07 2010-05-04 Samsung Electronics Co., Ltd. Thin film including multi components and method of forming the same
JP4614639B2 (ja) * 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
JP2004161602A (ja) * 2002-06-10 2004-06-10 Interuniv Micro Electronica Centrum Vzw Hf2および第2の化合物を含む組成物、その使用、そのデバイス、および基板上に誘電性層を形成する方法
US6946351B2 (en) 2003-02-06 2005-09-20 Fujitsu Limited Method for fabricating a semiconductor device
US7741684B2 (en) 2003-02-06 2010-06-22 Fujitsu Limited Semiconductor device and method for fabricating the same
JP4524995B2 (ja) * 2003-03-25 2010-08-18 ルネサスエレクトロニクス株式会社 半導体装置
JP2004289061A (ja) * 2003-03-25 2004-10-14 Renesas Technology Corp 半導体装置およびその製造方法
JP2005101529A (ja) * 2003-08-29 2005-04-14 Semiconductor Leading Edge Technologies Inc 半導体装置の製造方法および半導体装置
JP2007506266A (ja) * 2003-09-16 2007-03-15 東京エレクトロン株式会社 high−kゲート誘電体プロセスインテグレーションのための界面酸化プロセス。
JP2006066503A (ja) * 2004-08-25 2006-03-09 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7754618B2 (en) 2005-02-10 2010-07-13 Micron Technology, Inc. Method of forming an apparatus having a dielectric containing cerium oxide and aluminum oxide
US8399365B2 (en) 2005-03-29 2013-03-19 Micron Technology, Inc. Methods of forming titanium silicon oxide
US8076249B2 (en) 2005-03-29 2011-12-13 Micron Technology, Inc. Structures containing titanium silicon oxide
KR100718839B1 (ko) * 2005-08-31 2007-05-16 삼성전자주식회사 박막 제조 방법 및 이를 이용한 커패시터의 제조 방법
JP2007150242A (ja) * 2005-11-28 2007-06-14 Hynix Semiconductor Inc 半導体素子のキャパシタ製造方法
JP2006140514A (ja) * 2005-12-19 2006-06-01 Fujitsu Ltd 半導体装置及びその製造方法
JPWO2008108128A1 (ja) * 2007-03-08 2010-06-10 日本電気株式会社 誘電体、誘電体を用いたキャパシタ、誘電体を用いた半導体装置、及び誘電体の製造方法
US8203176B2 (en) 2007-03-08 2012-06-19 Renesas Electronics Corporation Dielectric, capacitor using dielectric, semiconductor device using dielectric, and manufacturing method of dielectric
WO2008108128A1 (ja) * 2007-03-08 2008-09-12 Nec Corporation 誘電体、誘電体を用いたキャパシタ、誘電体を用いた半導体装置、及び誘電体の製造方法
JP2008258487A (ja) * 2007-04-06 2008-10-23 Renesas Technology Corp 半導体装置の製造装置
US8465852B2 (en) 2007-08-02 2013-06-18 Kobe Steel, Ltd. Oxide film, oxide film coated material and method for forming an oxide film
JP5373619B2 (ja) * 2007-10-30 2013-12-18 ルネサスエレクトロニクス株式会社 キャパシタとそれを有する半導体装置およびキャパシタの製造方法
WO2009057589A1 (ja) * 2007-10-30 2009-05-07 Nec Corporation キャパシタとそれを有する半導体装置およびキャパシタの製造方法
US8368175B2 (en) 2008-03-28 2013-02-05 Nec Corporation Capacitor, semiconductor device having the same, and method of producing them
WO2009119803A1 (ja) * 2008-03-28 2009-10-01 日本電気株式会社 キャパシタとそれを有する半導体装置並びにそれらの製造方法
JP5517918B2 (ja) * 2008-03-28 2014-06-11 ルネサスエレクトロニクス株式会社 キャパシタとそれを有する半導体装置並びにそれらの製造方法
US7989877B2 (en) 2008-11-25 2011-08-02 Samsung Electronics Co., Ltd. Semiconductor devices including a dielectric layer
JP2009191370A (ja) * 2009-05-28 2009-08-27 Kobe Steel Ltd 酸化物皮膜、酸化物皮膜被覆材および酸化物皮膜の形成方法
JPWO2011042955A1 (ja) * 2009-10-06 2013-02-28 ルネサスエレクトロニクス株式会社 半導体装置
WO2011042955A1 (ja) * 2009-10-06 2011-04-14 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2012069871A (ja) * 2010-09-27 2012-04-05 Elpida Memory Inc 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
KR101493424B1 (ko) * 2014-01-09 2015-02-16 연세대학교 산학협력단 디스프로슘이 도핑된 하프늄 단결정 산화물 제조 방법
US11908918B2 (en) 2018-09-18 2024-02-20 Samsung Electronics Co., Ltd. Electronic device and method of manufacturing the same
JP7471787B2 (ja) 2018-09-18 2024-04-22 三星電子株式会社 電子素子及びその製造方法

Also Published As

Publication number Publication date
EP1179837A2 (en) 2002-02-13
TW519760B (en) 2003-02-01
JP3761419B2 (ja) 2006-03-29
US6297539B1 (en) 2001-10-02
KR20020005432A (ko) 2002-01-17
EP1179837A3 (en) 2004-02-04

Similar Documents

Publication Publication Date Title
JP3761419B2 (ja) Mosfetトランジスタおよびその製造方法
US6627503B2 (en) Method of forming a multilayer dielectric stack
JP3703373B2 (ja) Mosfetおよびゲート誘電体の製造方法
CN1145196C (zh) 用于制造其漏电电流密度降低的一个半导体结构的方法
JP3909753B2 (ja) 強誘電体トランジスタ構造およびその製造方法
US5973911A (en) Ferroelectric thin-film capacitor
US7835134B2 (en) Capacitor and method for fabricating the same
US6897513B2 (en) Perovskite-type material forming methods, capacitor dielectric forming methods, and capacitor constructions
US6436801B1 (en) Hafnium nitride gate dielectric
US7235448B2 (en) Dielectric layer forming method and devices formed therewith
US6897106B2 (en) Capacitor of semiconductor memory device that has composite Al2O3/HfO2 dielectric layer and method of manufacturing the same
US6290822B1 (en) Sputtering method for forming dielectric films
US6841439B1 (en) High permittivity silicate gate dielectric
JPH0677402A (ja) 半導体デバイス用誘電体構造及びその製造方法
JPH11135774A (ja) 高誘電率シリケート・ゲート誘電体
JP2000049349A (ja) 集積回路に電界効果デバイスを製造する方法
US7115461B2 (en) High permittivity silicate gate dielectric
JP3022328B2 (ja) 薄膜形成方法
JP2002184978A (ja) 半導体装置及びその製造方法
KR100533972B1 (ko) 란탄옥사이드 유전막을 구비하는 캐패시터 및 그 제조 방법
KR20020035982A (ko) 반도체 소자의 게이트 형성방법
KR20030052636A (ko) 반도체 소자의 캐패시터 제조방법
KR20020049196A (ko) 게이트 절연막용 금속 실리케이트막 형성방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050805

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051004

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20051021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051212

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20051216

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060110

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100120

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110120

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120120

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130120

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130120

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees