JP2004161602A - Hf2および第2の化合物を含む組成物、その使用、そのデバイス、および基板上に誘電性層を形成する方法 - Google Patents

Hf2および第2の化合物を含む組成物、その使用、そのデバイス、および基板上に誘電性層を形成する方法 Download PDF

Info

Publication number
JP2004161602A
JP2004161602A JP2003165399A JP2003165399A JP2004161602A JP 2004161602 A JP2004161602 A JP 2004161602A JP 2003165399 A JP2003165399 A JP 2003165399A JP 2003165399 A JP2003165399 A JP 2003165399A JP 2004161602 A JP2004161602 A JP 2004161602A
Authority
JP
Japan
Prior art keywords
composition
layer
compound
dielectric
hfo
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003165399A
Other languages
English (en)
Other versions
JP4614639B2 (ja
JP2004161602A5 (ja
Inventor
Eduard Cartier
エデュアール・カルティエ
Jerry Chen
ジェリー・チェン
Chao Zhao
ジャオ・チャオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Interuniversitair Microelektronica Centrum vzw IMEC
Original Assignee
Interuniversitair Microelektronica Centrum vzw IMEC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Interuniversitair Microelektronica Centrum vzw IMEC filed Critical Interuniversitair Microelektronica Centrum vzw IMEC
Publication of JP2004161602A publication Critical patent/JP2004161602A/ja
Publication of JP2004161602A5 publication Critical patent/JP2004161602A5/ja
Application granted granted Critical
Publication of JP4614639B2 publication Critical patent/JP4614639B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01GCOMPOUNDS CONTAINING METALS NOT COVERED BY SUBCLASSES C01D OR C01F
    • C01G27/00Compounds of hafnium
    • C01G27/02Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/02Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances
    • H01B3/10Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of inorganic substances metallic oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02356Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment to change the morphology of the insulating layer, e.g. transformation of an amorphous layer into a crystalline layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2002/00Crystal-structural characteristics
    • C01P2002/70Crystal-structural characteristics defined by measured X-ray, neutron or electron diffraction data
    • C01P2002/72Crystal-structural characteristics defined by measured X-ray, neutron or electron diffraction data by d-values or two theta-values, e.g. as X-ray diagram
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • Y10T428/24917Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.] including metal layer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/26Web or sheet containing structurally defined element or component, the element or component having a specified physical dimension
    • Y10T428/263Coating layer not in excess of 5 mils thick or equivalent
    • Y10T428/264Up to 3 mils
    • Y10T428/2651 mil or less

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Formation Of Insulating Films (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Inorganic Insulating Materials (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Heterocyclic Carbon Compounds Containing A Hetero Ring Having Oxygen Or Sulfur (AREA)

Abstract

【課題】新しい結晶構造を持ち、高い誘電率を有する組成を提供する。
【解決手段】Hfおよび第2の化合物を含む新規な組成。前記組成は、立方晶結晶相である。新規な組成は、最高1200℃までの温度で安定である。新規な組成は、純粋なHfOの誘電値より高い誘電値を有する。新規な組成は、メモリコンデンサーアプリケーションでの誘電体のアプリケーションと、トランジスタアプリケーションでのゲート誘電体としてのアプリケーションに使用可能である。
【選択図】 図1a

Description

【技術分野】
【0001】
本発明はHfの誘電率を増進する組成物、その使用、そのデバイスおよび基板上に誘電性層を形成する方法に関する。
【背景技術】
【0002】
類いまれな物理的および電気的性質により、さらに酸化雰囲気中で直接シリコン上に熱成長が可能であることにより、3.9の誘電率を有する選択誘電体であったSiOの可能な代替物質として、高い誘電率(k値)を有する誘電体が現在注目されている。SiO2の代替物質として考慮されている代替的な金属酸化物ベースの誘電体は、例えばY、La、Zr、Hf、およびその他の多くのような、主として酸素、窒素、および、シリコンとは異なる元素を含んでいる。
【考案の開示】
【発明が解決しようとする課題】
【0003】
従って、それらは成膜させる必要があり、誘電性層もしくは誘電性層スタックの最終的な特性は、ゲートスタックを形成するよう選択された単数あるいは複数の素材、成膜方法、混合酸化物が成膜される場合の成膜順序、成膜前のSi表面の調整、成膜後に適用される熱処理などの多くの要因に依存している。
【0004】
誘電性層がSiOに匹敵し、もしくは、より優れた電気的および物理的特性を示すよう、高k誘電体をSi上に成膜および処理可能な場合は、それを用いることにより、半導体産業に対するかなりの利益を期待することが出来る。例えば、将来のCMOS高速論理回路用トランジスタやコンデンサーを製造したり、もしくはメモリアプリケーション用コンデンサーを製造したりするための、ゲート誘電体として、それを用いることも出来る。こうした高k誘電体は、将来のCMOSおよびメモリ技術におけるSiベースの電子装置のスケーリングをかなり容易にすることだろう。主要な利点の1つは、より高いk値を有することで、ゲート容量のロスなく、物理的により肉厚な層が使用可能となるため、ゲート漏洩が減少されることから、導き出される。
【0005】
より高いk値を有する誘電体を製造可能であれば、それにより、より高い物理的厚さが使用可能となるので、有利なものとなる。
【0006】
US2001/0041250は、IC薄膜トランジスタ用ゲート誘電体を含む薄膜を開示している。この薄膜は、他の素材から好適なインタフェース特性および好適な内部特性を得るよう、段階的に混合された誘電体素材を含んでいる。例えば、Alは、高誘電率と好適なインタフェース特性を有し、ZrOは、内部素材として有利な、(より高い誘電率に起因する)より高い誘電率を有している。この薄膜は、原子層成膜処理により成膜される。
【0007】
US6060755は、Alなどの三価元素によりドーピングされた、ZrもしくはHfのいずれかの金属酸化物を含む、高k誘電性薄膜を開示している。この薄膜は、相対的な高いアニール温度で、アモルファスのままに残っている。この薄膜は、スパッタリング、CVD、もしくは蒸着により成膜される。US6060755で述べられる発明は、結晶構造の形成を回避する。
【課題を解決するための手段】
【0008】
本発明の第1の態様では、HfOおよび第2の化合物を含む組成が開示される。前記組成は、立方晶結晶相にあるものとして特徴付けられる。好ましい実施例では、立方晶結晶相は、2800℃未満、好ましくは1200℃未満の温度で安定している。
【0009】
本発明の第1の態様の実施例では、本発明の第1の態様で記述される組成が開示され、ここで、誘電率は、前記組成のものが純粋なHfOの誘電率より高くなっている。
【0010】
他の実施例では、前記組成の誘電率は20より高い。好ましい実施例では、誘電率は28より高い。
【0011】
本発明の第1の態様の実施例では、本発明の第1の態様で記述される組成が開示され、ここで、前記第2の化合物は、金属酸化物もしくは金属窒化酸化物である。前記金属は、三価金属であってもよい。特定の実施例では、前記第2の化合物はAlである。
【0012】
本発明の第1の態様の実施例では、本発明の第1の態様で記述される組成が開示され、ここで、前記第2の化合物の量は、1[モル%]から90[モル%]の間である。特定の実施例では、前記第2の化合物の量は、1[モル%]から90[モル%]の間である。好ましい実施例では、第2の化合物の量は、4[モル%]未満である。
【0013】
本発明のさらなる態様では、本発明の第1の態様で記述される組成の使用が、メモリコンデンサーアプリケーションでの誘電体のアプリケーション、およびトランジスタアプリケーションでのゲート誘電体としてのアプリケーションのために開示されている。
【0014】
本発明のさらなる態様では、装置が開示されている。前記装置は以下を含む:
第1の電極、前記ゲート電極の下にあるトップ表面を有する基板、前記第1の電極と前記トップ表面との間に挿入された誘電性層、前記誘電性の層は、HfOおよび第2の化合物を含む組成を含み、ここで、前記組成は立方晶結晶相である。
【0015】
本発明の実施例では、前記基板はチャンネル領域を含み、前記チャンネル領域は前記誘電性層の下に位置する。本発明の特定の実施例では、前記基板は、さらに界面層を含んでおり、前記界面層は、チャンネル領域と誘電性層の間に挿入されている。前記界面層は、SiOもしくはSiOHであってもよい。
【0016】
他の実施例では、前記基板はさらに第2の電極を備えており、前記電極は、前記誘電性層の下に位置している。前記装置は、メモリコンデンサーであってもよい。
【0017】
他の実施例では、誘電率は、前記組成のものが純粋なHfOの誘電率より高くなっている。
【0018】
他の実施例では、前記組成は、本発明の第1の態様で開示された組成の特性を有することが出来る。
【0019】
他の実施例では、前記誘電性フィルムは、5・から100・の肉厚を有している。さらなる実施例では、前記誘電性層は、0.5・から15・の肉厚の等価な酸化物を有している。
【0020】
本発明の他の態様では、基板上に誘電性層を形成する方法が開示されている。前記方法は、以下のステップを含んでいる:
基板を反応チャンバ内に置くこと、誘電性層を成膜させること、前記誘電性層は、HfOおよび第2の化合物を含む組成を含んでいる、および前記組成が立方晶結晶相に変化するよう、前記成膜誘電性層を、前記組成の結晶温度より高い温度に晒すこと。
【0021】
特定の実施例では、前記成膜ステップは、原子層成膜により行われる。
【0022】
他の実施例では、前記温度は400℃より高い。特定の実施例では、前記温度は400℃から1050℃の間である。
【0023】
本発明の特定の実施例では、前記基板は、さらに界面層を含んでいる。前記界面層により、原子層成膜による誘電性層の成膜が可能となる。
【0024】
(本発明の目的)
本発明の目的は、新しい結晶構造を持ち、高い誘電率を有する新規な組成を開示することである。本発明のさらなる目的は、この組成を生成する方法を開示することである。本発明の他の目的は、この組成で作られた層を含むデバイスを開示することである。
【発明を実施するための最良の形態】
【0025】
本発明の第1の態様では、HfOと第2の化合物とを含む組成が開示されている。前記組成は、立方晶結晶相である。この組成は、既知の共に純粋な化合物の熱力学的安定相よりもかなり高い誘電率が達成されるよう、2つの化合物を混合することにより得られる。
【0026】
混合とは、2つ化合物の均一混合物が形成されること(立方晶系相HfOおよび第2の化合物)、もしくは新規化合物が形成されることを意味する。新規化合物は、化学的に結合された2つの化合物の各々の個々の元素を含んでいる。従って、新規な組成は、HfOと第2の化合物との混合物を含み、相分離形式、もしくは新規化学混合物内のいずれかにおいて、新規組成が立方晶結晶相であることを特徴としている。
【0027】
ハフニウム酸化物は、代替誘電体として半導体産業で目下調査されている。室温から1898℃まで、結晶性HfOの熱力学的安定形態は、歪んだ蛍石(CaF)構造、すなわち、文献に報告されているように、18から20の間の誘電率(k値)を有する単斜晶HfOを取り入れている。単斜晶HfOの概略図は図1a、図1bに示されている(11は酸素原子を表し、12はHf原子を表す)。純粋なHfOは、この熱力学的安定形態に結晶するだろう。
【0028】
HfOの新結晶相は、新しい組成が形成されるよう、HfOと第2の化合物とを混合させることにより得ることが出来る。新規な組成は、原子スケールで混合させることにより得ることが出来る。従来技術では、HfOの立方晶結晶相は1800から2700℃で安定であり、HfOの単斜晶結晶相は1800℃より低い温度で安定である。新規な組成は、Siの融点より低い温度で安定である。新規な組成は900℃、1000℃、1050℃、1100℃または1200℃より低い温度で安定である。好ましい実施例では、組成は1200℃未満の温度で安定である。
【0029】
新規な組成は、HfOおよび第2の化合物を含む。前記第2の化合物は、金属酸化物もしくは金属窒化酸化物であってもよい。前記第2の化合物は、所望の温度範囲で立方体HfO相の形成を安定させるよう選択可能であり、それにより、純粋な(単斜晶)HfOと比べて、より高い誘電率が達成可能である。第2の化合物は、Al、Si、Y、Ce、Mg、Ca、遷移金属、その酸化物、およびその窒化酸化物から成るグループから選択可能である。遷移金属は、Ti、Ta、Laなどであってもよい。特定の実施例では、前記第2の化合物は金属酸化物である。前記金属は、アルミニウム(Al)、ランタン(La)、もしくはスカンジウム(Sc)などの三価金属であってもよい。
【0030】
特定の実施例では、前記第2の化合物は、Al、SiOまたはTiOである。好ましい実施例では、第2の化合物はA1である。
【0031】
新しい組成の誘電率は、純粋な(単斜晶)HfOの誘電率より高い。新しい組成の誘電率は、20より高く、22より高く、25より高い。誘電率は28より高いことが好ましい。
【0032】
第2の化合物の量は、最高90[モル%]、80[モル%]、70[モル%]、60[モル%]、50[モル%]、40[モル%]、30[モル%]であってもよい。第2の化合物の量は、1から50[モル%]、5から40[モル%]の間であってもよく、9[モル%]から24[モル%]の間であることが好ましい。
【0033】
第2の化合物の量は、新しい組成が立方晶結晶相で安定し、誘電値が純粋なHfOの誘電値より高くなるよう選ばれるべきである。
【0034】
特定の実施例では、より少量の金属酸化物を伴う組成が開示される。より少量とは、30[モル%]より少ないものとして理解される。これは、半導体アプリケーション、特にトランジスタアプリケーションにおける、電気特性最適化には特に重要である。ゲートスタックの誘電体を含む閾値電圧の制御は、より少量の金属酸化物を伴う組成を用いることにより促進されてもよい。
【0035】
以下の例では、HfOおよびAlを含む新しい組成について説明する。しかし、本発明において記述されるように、この概念が、他の組成にも適用可能であることは、理解されるものである。
【0036】
好ましい実施例では、図示された組成は、AlとHfOを混合することにより、少なくとも1050℃まで安定しており、立方晶結晶相として特定される。立方晶結晶相は、1から30[モル]%のAl、好ましくは9から24[モル]%のAlO3を含む組成をカバーする全濃度範囲で形成されるのが認められる。この範囲は例として挙げられただけであり、ここで調査された組成範囲外で、相が形成されてもよい。特に、Alの混入をより少量にすることは、Alの含有量の低下によって、特に半導体産業のアプリケーションでの混合酸化物の電気特性を最適化することが出来るため、特に重要である。より具体的には、ゲートスタック内の前記誘電体を含む閾値電圧の制御は、より希薄な酸化混合物を用いることにより容易にされてもよい。(閾値電圧とは、トランジスタをオン、および/または、オフに出来る電圧である。)これは、薄膜内のAl2Oにより負の固定電荷が減少するため、予想されることである。
【0037】
第1に、新しい立方晶HfO相の誘電率は、少なくとも29.8と測定された。これは、HfOの熱力学的に安定した斜方晶もしくは単斜晶相での、〜18―20という測定誘電率よりもかなり高い値である。この事実により、熱力学的に安定した相と比べて、ゲート容量を少しもロスすることなく、新しい立方晶HfOによる物理的により肉厚な層が使用可能となり、現在より厚い層を用いることができるので、ゲート漏洩電流を少なくし、薄膜成膜用処理ウィンドウを広くすることができる。
【0038】
第2に、少なくとも最大900℃まで、もしくは少なくとも最大1050℃まで、相の安定が認められ、従って半導体処理で通常用いられる温度範囲の広い部分をカバーすることになる。
【0039】
新規な組成は、トランジスタ製作での、ゲート最終処理フローもしくは交換ゲートフローなど、代替的な装置製作過程に使用可能である。さらに、新規な組成は、記憶装置コンデンサー、メモリおよび混合信号装置での統合受動アプリケーションで使用可能である。
【0040】
本発明のさらなる態様では、装置が開示されている。装置は、ゲート電極、前記ゲート電極の下にあるトップ表面を有する基板、およびゲート電極とトップ表面との間に挿入された誘電性層を備えている。誘電性層は、HfOおよび第2の化合物を含む構成を含み、ここで、前記組成は立方晶結晶相である。この組成は、本願で述べられるような特性を有することが出来る。
【0041】
基板は、トランジスタアプリケーションのために、前記誘導性層の下にあるチャンネル領域をさらに含んでいる。装置は、バリヤー層をさらに含むことが可能であり、前記バリアは、前記基板と前記誘導性層との間に挿入される層である。
【0042】
基板は、メモリアプリケーションのために、前記誘導性層の下に電極をさらに含んでいる。
【0043】
誘電体層の肉厚は、5・から1000・の間、5・から500・の間、5・から100・の間に修正可能である。薄膜は、5・から100・の間の肉厚であるのが好ましい。
【0044】
誘導性層は、0.5・から150・の間、0.5・から75・の間、0.5・から15・の間の等価酸化物肉厚(EOT)を有することが出来る。誘導性層のEOTは、0.5・から15・の間であることが好ましい。
【0045】
本発明のさらなる態様では、基板上に誘導性層を形成する方法が開示される。前記方法は以下のステップを含む:
基板を反応チャンバ内に置くステップ、誘電性素材の層を成膜させるステップ、前記誘電性素材は、HfOおよび金属酸化物を含んでいる、および前記組成が立方晶結晶相であるよう、前記成膜誘電性層を、前記誘電性素材の結晶温度よりも高い温度に晒すステップ、アニール温度は400℃より高いことが好ましい。
【0046】
アニール温度は、400℃から1050℃までの範囲であることが出来る。アニールステップは、周囲に、N、He、Ar、O、NOもしくはNO、さらにその混合物から成るグループから選択された、少なくとも1つの化合物を含む雰囲気内で実行可能である。圧力は、40トルが可能であるが、これに限定されるものではない。アニール温度は、誘電体の結晶温度より高いことが好ましい。
【0047】
また、成膜層を温度に晒すステップは、一般的に用いられるSiゲート電極成膜、もしくは活性化アニールなどの処理ステップのうちの1つと、同時に実行可能である。これは、成膜後のアニールが省略され、さらに、所望の結晶立方晶相への層の構造変化が完成するので、処理を促進することになる。
【0048】
成膜ステップは、原子層成膜、原子層化学蒸着、化学蒸着、金属オルガノ化学蒸着、スパッタリングもしくはゾルーゲル成膜によって実行される。
【0049】
基板は、表層が誘導性層の成膜に影響を受けやすくなるよう、前処理可能である。例えば、基板は、原子層の成膜により誘電体の成膜が実行可能となるよう、OH終端(terminated)(Si―OH表層)であってもよい。
【0050】
基板がシリコンである場合、基板は、5nm未満、好ましくは1.5nm未満の肉厚の、(完全もしくは部分的なOH終端表層とすれば)薄い界面SiO層で被覆可能である。一実施例では、2つのタイプの界面酸化物を用いることが出来る。一方は、Oベースの清浄(IMEC清浄)により得られる化学酸化物である。他方は、650℃での急速熱酸化(RTO)処理を用いた、熱成長酸化物である。化学酸化物および熱酸化物は、他の方法および/または加工条件で得ることが出来、さらに、こうしたバリエーションによって生成された界面層は、前記好ましい方法と同様の、高k層成膜のための利点を提供可能であることが理解される。OH終端表層は、この論考には好適であるが、また、前記組成は、H終端Siや、金属など、他の様々な表面に成膜可能であることが理解される。
【0051】
(Hf0‐Alのための例)
本発明の目的のためには、高k誘電体とは、SiOの誘電値より高い誘電値を有する誘電体を意味する。混合酸化物という用語は、特定のAl内に、ハフニウム酸化物(HfO)と金属酸化物を含む組成を示している。
【0052】
(薄膜成膜)
正確に制御された、2つの酸化物─AlおよびHfO─の均質な混合を得るための好ましい方法は、原子層成膜(ALD)である。この方法は、成膜が表面の飽和反応を利用する場合、成膜素材量の良好な制御を伴って、1つの鉱石、数個の酸化物の成膜が可能であり、その結果、パルス炉内の成膜処理で用いられる代替化学物質により、サブ単分子層スケールで制御可能である。トリメチルアルミニウム(TMAもしくはAl(CH3))およびHOの各々の1サイクルの連続使用は、成膜Alのサブ単分子層を生成し、また、ハフニウム四塩化物(HfCl)およびHOの各々の1サイクルの使用は、HfOのサブ単分子層の成膜をもたらすことになる。成膜サイクルを繰り返すことにより、各酸化物のより厚い層が成膜可能となる。成膜処理の間、適切な方法でガス交換をすることにより、広範囲に組成を変えたHfOとAlとの混合物を生成可能となる。以下では、成膜方法が、以下の用語表記により説明されている:
x cy (HO:AO (y:z))、
または
x * (y cy HO : z cy AO)、
【0053】
ここで、「cy」は「サイクル」、「HO」は「ハフニウム酸化物」、さらに「AO」は「酸化アルミニウム」を表している。パラメータ「y」は、それぞれがHfClおよびHOのサイクルを含み、連続適用されるHO成膜サイクル数であり、「z」は、HO成膜のyサイクルに続いて、それぞれがTMAおよびHOのサイクルを含み、連続適用されるAO成膜サイクル数である。そして、この全シーケンス(HO:AO(y:z))は、所望の肉厚に達するまで、x回繰り返される。成膜がAOサイクルから始められる場合は、代わりに用語表記(AO:HO(y:z))が用いられる。
【0054】
前記混合酸化物はまた、この論考の好ましい実施で用いられたものとは異なる、適当な化学的先駆物質を用いて、形成可能であることが理解される。また、前記混合酸化物は、酸化雰囲気およびその書形における酸化またPVDを伴って、金属の化学蒸着(CVD)、プラズマ増速化学蒸着(PECVD)、物理蒸着(PVD)など、他の既知の様々な方法により生成可能であることが理解される。
【0055】
ALDによる均質成膜を得るため、OH終端表層は、特に肉厚5nm未満の薄膜のために、H終端Si表層の代わりに用いられる。この論考内のあらゆる成膜は、5nm未満の肉厚の、(完全もしくは部分的なOH終端表層とすれば)薄い界面SiO層で被覆されたSi基板上に施された。2つのタイプの界面酸化物が使用された。一方は、0ベースの清浄(IMEC清浄)により得られる化学酸化物である。他方は、650℃での急速熱酸化(RTO)処理を用いた、熱成長酸化物である。化学酸化物および熱酸化物は、他の方法および/または加工条件で得ることが出来、さらに、こうした変形によって生産された界面層は、前記好ましい方法と同様の、高k層成膜のための利点を提供可能であることが理解される。OH終端表層は、この論考には好適であるが、また、HfOおよびAl2Oの前記混合物は、H終端Si、金属など、他の様々な表面に成膜可能であることが理解される。
【0056】
表1は、原子層成膜(ALD)を用いる本発明の方法により成膜された、薄層のいくつかを要約している。これらのすべての層では、化学酸化物は、成膜開始表層として用いられた。
【0057】
【表1】
Figure 2004161602
【0058】
サンプル#1から#3は、化学組成HfOをもたらす純粋なHO成膜である。結晶化の後は、熱力学的に安定した単斜晶結晶相のみが認められる。サンプル#4から#8は、本発明混合の前記方法によって得られる、混合酸化物の例をリストアップしており、リストアップされた化学組成の混合物をもたらす。これらの全混合物では、主要結晶相が立方晶構造であることが分かる。HfOおよびHfO‐Al合金層が成膜したALCVDの組成は、蛍光X線(XRF)によって測定された。成膜したままの(as−deposited)層、および成膜後アニール層の構造は、斜入射X線回折でテストされた。結晶化挙動を論考するために、アニールは、N内で、1分間異なる温度で実行された。
【0059】
(X線回折を用いる構造解析)
この論考で成膜した薄層の構造を分析するために、斜入射X線回折(XRD)が用いられた。すべての成膜したままの(as−deposited)層の形態は、アモルファス層で通常観測されるXRDパターンを示すのが分かった。様々な組成のための例は、図2aから図3bに示されている。図2aでは、純粋なHfO(図2a)、および混合(HO:AO)(9:1)層(図2b)の結晶化挙動をまとめ、さらに比較している。XRDスペクトルは、成膜したままの薄膜(アニールなし)として、さらに、1分間、700℃、800℃、および900℃で成膜後アニール(PDA)を施された薄膜として記録された。
【0060】
分光偏光解析法により測定されたままの、およその層の肉厚は、純粋なHfOで4.9から5.3nm、および(9:1)で混合されたHf:Al酸化物で5.0から5.5nmである。いずれの場合にも、成膜されたままの薄膜は、アモルファス層に典型的なX線パターンを示す。双方の層とも、700℃以上(700℃、800℃、および900℃がテストされた)の温度でのアニール後の結晶化の徴候を示している。純粋なHfOは、図2aにマークされるような支配的な反射ピークを伴って、単斜晶相へと結晶化することが認められる。アルミニウムを含む薄膜は、図2bにマークされるような支配的な反射ピークを伴い、立方晶相へと結晶化する。立方晶相は、測定された3つの温度、700℃、800℃、および900℃のすべてで認められた。
【0061】
図3a、図3bは、(HO:AO(4:1))(図3a)および(HO:AO(2:1))(図3b)の比率で成膜された、混合Hf:Al酸化物質のX線回折スペクトルを表している。XRDスペクトルは、成膜したままの薄膜(アニールなし)として、さらに、1分間、700℃、800℃、および900℃で成膜後アニール(PDA)を施された薄膜として記録された。
【0062】
分光偏光解析法により測定されたままの、およその層の肉厚は、(4:1)組成で5.0から5.6nm、および(2:1)組成で5.2から5.6nmである。アニーリング前に、層はアモルファス層に典型的な、X線スペクトルを示すのが分かる。アニーリング後は、双方の層で立方晶HfO相が認識可能である。結晶温度は、層内のアルミニウム含有量が大きい場合、より高くなることが認められる。
【0063】
新しい立方晶相をより厳密に特徴付けるために、XRDスペクトルは、より広角度の範囲、およびより厚い層でスキャンし、測定された。図4では、2つの測定されたXRDスペクトル(上部パネル)が、図1a、図1bに示された立方晶HfO相のためのシミュレートされたXRDスペクトル(下部パネル)と比較されている。比較によって明らかなように、2つのより厚いHfAlO層で測定された回折ピーク位置および強度は、格子パラメータa=0.504nmの立方晶HfO相のためのシミュレーションとよく一致している。
【0064】
(フーリエ変換赤外分光法を用いた構造的論考)
図4は、(9:1)(曲線42)、(4:1)(曲線43)、および(2:1)(曲線44)の成膜比率を有する(HO:AO)混合酸化物層と純粋なHfO(曲線41)層のための、フーリエ変換の赤外線(FTIR)スペクトルを表している。これらの薄膜のFTIRスペクトルは、900℃でのアニール後のものが示されている。アニールは、40トルのN内で1分間行われた。すべてのスペクトルは、2つの異なる領域から成っている。波数1300から1100までの範囲での振動は、HOおよびHO:AO成膜のための開始層として用いられる、前記SiO層における振動に対応している。化学酸化物は、この場合に使用された。波数1050未満での振動は、HfOおよび/または(HO:AO)混合酸化物層での振動に対応しており、それらの構造を論考するために使用可能である。さらにまた、2つの素材のスペクトル振動が、明確に異なっていることが分かる。純粋なHfOのスペクトルは、金属酸化物のスペクトル領域内の、波数がおよそ780および波数がおよそ670の2つの鋭い振動帯域から成っている。対照的に、すべての混合酸化物のスペクトルは、立方晶構造のような、高対称性を伴った結晶構造に予想されるように、波数がほぼ700の単一の振動帯域のみで示される。さらに、界面酸化物層のFTIR領域では、いかなる著しいスペクトル変化も起こらないことに注意すべきである。これは、それが、適用された熱処理の間安定していることを示している。
【0065】
(電気的特徴付け)
図5は、これら同一層で実行された電気測定の結果を表している。層の誘電率は、図5に示された方法で測定される。図5では、純粋なHfO層(□もしくは51)および(HO:AO)(9:1)混合酸化物層(◇もしくは52)の、偏光解析層肉厚に対比してプロットされた商標QuantoxQ−V方式(CET値を測定するのに他の方法も使用可能)により測定されたままの、キャパシタンス等価肉厚(CET)値を示す。双方の層は、CET評価前、40トルのN内で、1分間800℃でアニールされた。偏光解析層肉厚は、高k層の真の物理的肉厚の、およその測定として用いられる。層が、2つの誘電体、界面酸化物、および高k薄膜から成るので、CETは、以下の通り表現可能である、
CET:=EOT:=tSiO2+kSiO2/khik*tell
【0066】
ここで、EOTは等価な酸化物肉厚(多くの場合、CETの同義語として用いられる)を表し、tSiO2は界面酸化物層肉厚、kSiO2は3.9の値を有する二酸化珪素の誘電率、khikは成膜高k層の誘電率、そしてtellは高k層の偏光解析肉厚である。この等式から、高k層の誘電率が、図5のデータセットのスロープに逆比例し、CET軸でのインターセプトが、界面酸化物肉厚のための尺度を提供することがわかる。
【0067】
図5のデータに適用して、18の値は純粋なHfO2の誘電率のために得られ、より高い29.8の値は(9:1)混合酸化物のために抽出される。界面酸化物層肉厚は0.8未満から0.9nmであることが分かり、これは、IMEC清浄を用いた化学酸化物の成長から生じる名目上の肉厚と、よく合致する。加えて、界面層が、アニールの間顕著には変化しないことが、FTIR観測のためにさらに確認される。
【0068】
図5での混合酸化物データから分かるように、(ここでは、800℃での)アニーリングは、成膜されたままの(as deposited)薄膜のそれと比較されるままの、CET値の減少に終わる。HfO薄膜のためには、いかなるk値の増進も観察されない。
【0069】
すべての所見に基づき、(9:1)混合酸化物のための好ましいアニール温度は、(9:1)混合酸化物の結晶温度より高く、800℃までの範囲であると言われているが、400℃から1050℃までの範囲のアニール温度も有効であろう。この論考で使用される成膜後アニールに用いられる環境は、40トルのNであった。しかし、新しい相もまた、こうしたアニールに頻繁に用いられる、He、Ar、もしくはこれらとNもしくはO2、NOまたはNOなどの酸化環境ガスとの混合ガスなど、他の不活性環境ガス中でのアニールにより形成可能であることに注意すべきである。さらには、成膜後アニールが一斉に省略され、所望の結晶立方晶相への層の構造的変化が、Siゲート電極成膜、もしくは活性化アニールなど、一般的に用いられるの処理ステップのうちの1つと、同時に達成されるならば、半導体製造におけるこうした層の使用が容易になるだろう。
【0070】
図6は、HO:AO=18:2および27:3のサンプルで得られる、同様の結果を示している。61はアニール前のHO:AO(18:2)の結果を表し、62はアニール前のHO:AO(27:3)の結果を表している。アニール前、アモルファス相を有する成膜のまま(as−deposited)の層は、およそ20のk値を有している。63はアニール後のHO:AO(18:2)の結果を表し、64はアニール後のHO:AO(27:3)の結果を表している。アニール後、支配的に立方晶結晶HfO相を有する成膜のまま(as−deposited)層は、およそ29のk値を有している。これは、高k値および立方晶HfOの間の一致をさらに示している。図6に示されるように、アモルファス相のk値は、アニール後の純粋なHfOのそれより、僅かに小さい。y軸での2本の曲線のインターセプトは、厚さ1.0nmまでのSiO層のCET値に対応しており、アニールが界面SiO層の肉厚を増加させないことを明らかに示している。
【図面の簡単な説明】
【0071】
【図1a】HfOの立方体の結晶構造の概略図を示す。
【図1b】HfOの単斜晶の結晶構造の概略図を示す。
【図2a】成膜およびアニール後の、純粋なHfO の薄膜のX線回折スペクトルを示す。
【図2b】成膜およびアニール後の、混合酸化物(HO:AO(9:1))の薄膜のX線回折スペクトルを示す。
【図3a】成膜およびアニール後の、混合酸化物(HO:AO(4:1))薄膜のX線回折スペクトルを示す。
【図3b】成膜およびアニール後の、混合酸化物(HO:AO(2:1))薄膜のX線回折スペクトルを示す。
【図4】N雰囲気内において900℃で1分間アニールしたHfO層および様々な組成の(HO:AO)混合酸化物のフーリエ変換赤外線(FTIR)スペクトルを示す。
【図5】純粋なHfO層および混合酸化物(HO:AO)(9:1)を含む層の、偏光解析層肉厚に対比してプロットされた商標Quantoxの Q−V方式により測定された、キャパシタンス等価肉厚(CET)値を示す。
【図6】アニール前後の混合酸化物(HO:AO)(18:2)および(HO:AO)(27:3)を含む層の、偏光解析層肉厚に対比してプロットされた商標QuantoxのQ−V方式により測定された、キャパシタンス等価肉厚(CET)値を示す。
【符号の説明】
【0072】
11 酸素原子
12 Hf原子

Claims (24)

  1. 立方晶結晶相であることを特徴とするHfおよび第
    2の化合物を含む組成物。
  2. 前記組成物の誘電率が、純粋なHfOの誘電率より高い、請求項1に記載の組成物。
  3. 前記組成物の誘電率が20より高い、請求項1に記載の組成物。
  4. 前記組成物が1200℃未満の温度で安定している、請求項1から請求項3の何れかに記載の組成物。
  5. 前記第2の化合物が金属酸化物または金属窒化酸化物である、請求項1から請求項4の何れかに記載の組成物。
  6. 前記第2の化合物がAlである、請求項1から請求項5の何れかに記載の組成物。
  7. 前記第2の化合物の量が1[モル%]から50[モル%]の間である、請求項1から請求項6の何れかに記載の組成物。
  8. メモリコンデンサーアプリケーションでの誘電体のアプリケーション、および、トランジスタアプリケーションでのゲート誘電体としてのアプリケーションのための、請求項1から請求項7の何れかに記載の組成物の使用。
  9. 第1の電極、前記ゲート電極の下にあるトップ表面を有する基板、および前記第1の電極と前記トップ表面との間に挿入され、HfOおよび第2の化合物を含む、立方晶結晶相である組成物を含む、誘電性層を含むデバイス。
  10. 前記基板がチャンネル領域を含み、前記チャンネル領域は前記誘電性層の下に位置する、請求項9に記載のデバイス。
  11. 前記基板が第2の電極を備え、前記電極は、前記誘電性層の下に位置している、請求項9に記載のデバイス。
  12. 前記組成物の誘電率が、純粋なHfOの誘電率より高くなっている、請求項9から請求項11の何れかに記載のデバイス。
  13. 前記組成物が1200℃未満の温度で安定している、請求項9から請求項12の何れかに記載のデバイス。
  14. 前記第2の化合物が金属酸化物または金属窒化酸化物である、請求項9から請求項13の何れかに記載のデバイス。
  15. 前記第2の化合物がAlである、請求項9から請求項14の何れかに記載のデバイス。
  16. 前記第2の化合物の量が、1[モル%]から50[モル%]の間である、請求項9から請求項15の何れかに記載のデバイス。
  17. 前記誘電性薄膜が5・から100・の間の肉厚を有する、請求項9から請求項16の何れかに記載のデバイス。
  18. 前記誘電性層が、0.5・から15・の間の等価な酸化物肉厚を有する、請求項9から請求項16の何れかに記載のデバイス。
  19. 前記誘導性層と前記基板との間に界面層をさらに含む、請求項9から請求項17の何れかに記載のデバイス。
  20. 基板を反応チャンバ内に置くステップ、HfOおよび第2の化合物を含む組成物を有する、誘電性層を成膜させるステップ、および、前記組成物が立方晶結晶相に変化するよう、前記成膜誘電性層を、前記組成物の結晶温度より高い温度に晒すステップ、
    を含む、基板上に誘導性層を形成する方法。
  21. 前記成膜ステップが、原子層成膜により行われる、請求項20に記載の方法。
  22. 前記温度は400℃より高い、請求項20または請求項21に記載の方法。
  23. 前記温度は400℃から1050℃の間である、請求項20から請求項22の何れかに記載の方法。
  24. 前記基板が界面層を含んでいる、請求項20から請求項23の何れかに記載の方法。
JP2003165399A 2002-06-10 2003-06-10 Hf含有組成物の誘電率(k値)増進 Expired - Lifetime JP4614639B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US38748702P 2002-06-10 2002-06-10

Publications (3)

Publication Number Publication Date
JP2004161602A true JP2004161602A (ja) 2004-06-10
JP2004161602A5 JP2004161602A5 (ja) 2006-07-20
JP4614639B2 JP4614639B2 (ja) 2011-01-19

Family

ID=29584630

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003165399A Expired - Lifetime JP4614639B2 (ja) 2002-06-10 2003-06-10 Hf含有組成物の誘電率(k値)増進

Country Status (5)

Country Link
US (2) US7183604B2 (ja)
EP (1) EP1372160B1 (ja)
JP (1) JP4614639B2 (ja)
AT (1) ATE397275T1 (ja)
DE (1) DE60321271D1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005159271A (ja) * 2003-11-22 2005-06-16 Hynix Semiconductor Inc キャパシタ及びその製造方法
JP2009027017A (ja) * 2007-07-20 2009-02-05 Elpida Memory Inc 絶縁体膜、キャパシタ素子、dram及び半導体装置
JP2010525596A (ja) * 2007-04-27 2010-07-22 エステミクロエレクトロニクス(クロレ・2)・エスアーエス ハフニウム酸化物に基づく薄膜部分を備えた集積電子回路
US7989877B2 (en) 2008-11-25 2011-08-02 Samsung Electronics Co., Ltd. Semiconductor devices including a dielectric layer
US8030694B2 (en) 2008-10-31 2011-10-04 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film including hafnium, aluminum or silicon, nitrogen, and oxygen
JP2011216715A (ja) * 2010-03-31 2011-10-27 Toshiba Corp 半導体記憶素子、及び半導体記憶装置
US8203176B2 (en) 2007-03-08 2012-06-19 Renesas Electronics Corporation Dielectric, capacitor using dielectric, semiconductor device using dielectric, and manufacturing method of dielectric
US8420552B2 (en) 2009-07-31 2013-04-16 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device
WO2015045592A1 (ja) * 2013-09-25 2015-04-02 株式会社 東芝 半導体装置および誘電体膜
KR101520844B1 (ko) * 2012-09-26 2015-05-15 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치, 기록 매체 및 반도체 장치
JP7489858B2 (ja) 2020-08-07 2024-05-24 日本真空光学株式会社 紫外線透過フィルタ

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US20030232501A1 (en) * 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US6787440B2 (en) * 2002-12-10 2004-09-07 Intel Corporation Method for making a semiconductor device having an ultra-thin high-k gate dielectric
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7223665B2 (en) * 2003-09-04 2007-05-29 Murata Manufacturing Co., Ltd. Method for manufacturing dielectric thin film capacitor
US20050153571A1 (en) * 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US7172947B2 (en) * 2004-08-31 2007-02-06 Micron Technology, Inc High dielectric constant transition metal oxide materials
US7365389B1 (en) * 2004-12-10 2008-04-29 Spansion Llc Memory cell having enhanced high-K dielectric
US7863128B1 (en) 2005-02-04 2011-01-04 Spansion Llc Non-volatile memory device with improved erase speed
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7492001B2 (en) * 2005-03-23 2009-02-17 Spansion Llc High K stack for non-volatile memory
US7294547B1 (en) 2005-05-13 2007-11-13 Advanced Micro Devices, Inc. SONOS memory cell having a graded high-K dielectric
US20070014919A1 (en) * 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7446369B2 (en) * 2005-08-04 2008-11-04 Spansion, Llc SONOS memory cell having high-K dielectric
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7537804B2 (en) * 2006-04-28 2009-05-26 Micron Technology, Inc. ALD methods in which two or more different precursors are utilized with one or more reactants to form materials over substrates
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
US20080124484A1 (en) * 2006-11-08 2008-05-29 Asm Japan K.K. Method of forming ru film and metal wiring structure
US7635634B2 (en) * 2007-04-16 2009-12-22 Infineon Technologies Ag Dielectric apparatus and associated methods
US7709359B2 (en) * 2007-09-05 2010-05-04 Qimonda Ag Integrated circuit with dielectric layer
US20090087339A1 (en) * 2007-09-28 2009-04-02 Asm Japan K.K. METHOD FOR FORMING RUTHENIUM COMPLEX FILM USING Beta-DIKETONE-COORDINATED RUTHENIUM PRECURSOR
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
KR20090067505A (ko) * 2007-12-21 2009-06-25 에이에스엠지니텍코리아 주식회사 루테늄막 증착 방법
US7799674B2 (en) * 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8084104B2 (en) * 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US8329569B2 (en) * 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
TWI392759B (zh) * 2009-09-28 2013-04-11 Univ Nat Taiwan 透明導電薄膜及其形成方法
US8476155B1 (en) 2010-07-14 2013-07-02 Samsung Electronics Co., Ltd. Formation of a high-K crystalline dielectric composition
US8927438B2 (en) * 2011-04-20 2015-01-06 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
JP5823353B2 (ja) * 2012-06-20 2015-11-25 株式会社東芝 不揮発性半導体記憶装置の製造方法
EP2695966B1 (en) 2012-08-06 2018-10-03 IMEC vzw ALD method
CN103065955B (zh) * 2012-11-21 2015-11-18 中国科学院微电子研究所 一种利用ald制备栅介质结构的方法
US9331168B2 (en) 2014-01-17 2016-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacuturing method of the same
US9269785B2 (en) * 2014-01-27 2016-02-23 Globalfoundries Inc. Semiconductor device with ferroelectric hafnium oxide and method for forming semiconductor device
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10727117B2 (en) * 2017-11-20 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
US20220020862A1 (en) * 2020-07-16 2022-01-20 Entegris, Inc. Carbon-free laminated hafnium oxide/zirconium oxide films for ferroelectric memories

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02248365A (ja) * 1989-02-21 1990-10-04 Hoechst Ag 窒化ケイ素セラミックおよびその製法
JP2001152324A (ja) * 1999-11-29 2001-06-05 Fujikura Ltd 多結晶薄膜とその製造方法およびこれを用いた酸化物超電導導体
JP2002500431A (ja) * 1997-09-26 2002-01-08 インフィネオン テクノロジース アクチエンゲゼルシャフト 研磨剤および半導体基板の平坦化のための該研磨剤の使用
JP2002033320A (ja) * 2000-07-06 2002-01-31 Sharp Corp ドープジルコニアまたはジルコニア様の誘電体膜トランジスタ構造およびその堆積方法
JP2002060944A (ja) * 2000-04-20 2002-02-28 Internatl Business Mach Corp <Ibm> 前駆原料混合物、膜付着方法、及び構造の形成

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634113A (en) * 1968-10-30 1972-01-11 Larry L Fehrenbacher Stabilized zirconium dioxide and hafnium dioxide compositions
EP0077822A1 (en) * 1981-04-29 1983-05-04 RICE, Roy W. Single-crystal partially stabilized zirconia and hafnia ceramic materials
DE3230216A1 (de) * 1981-08-13 1983-08-04 Ngk Spark Plug Co., Ltd., Nagoya, Aichi Sinterkoerper mit hoher zaehigkeit
US6060755A (en) * 1999-07-19 2000-05-09 Sharp Laboratories Of America, Inc. Aluminum-doped zirconium dielectric film transistor structure and deposition method for same
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6528858B1 (en) * 2002-01-11 2003-03-04 Advanced Micro Devices, Inc. MOSFETs with differing gate dielectrics and method of formation
US6645882B1 (en) * 2002-01-17 2003-11-11 Advanced Micro Devices, Inc. Preparation of composite high-K/standard-K dielectrics for semiconductor devices
US6586349B1 (en) * 2002-02-21 2003-07-01 Advanced Micro Devices, Inc. Integrated process for fabrication of graded composite dielectric material layers for semiconductor devices
US6693321B1 (en) * 2002-05-15 2004-02-17 Advanced Micro Devices, Inc. Replacing layers of an intergate dielectric layer with high-K material for improved scalability

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02248365A (ja) * 1989-02-21 1990-10-04 Hoechst Ag 窒化ケイ素セラミックおよびその製法
JP2002500431A (ja) * 1997-09-26 2002-01-08 インフィネオン テクノロジース アクチエンゲゼルシャフト 研磨剤および半導体基板の平坦化のための該研磨剤の使用
JP2001152324A (ja) * 1999-11-29 2001-06-05 Fujikura Ltd 多結晶薄膜とその製造方法およびこれを用いた酸化物超電導導体
JP2002060944A (ja) * 2000-04-20 2002-02-28 Internatl Business Mach Corp <Ibm> 前駆原料混合物、膜付着方法、及び構造の形成
JP2002033320A (ja) * 2000-07-06 2002-01-31 Sharp Corp ドープジルコニアまたはジルコニア様の誘電体膜トランジスタ構造およびその堆積方法

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005159271A (ja) * 2003-11-22 2005-06-16 Hynix Semiconductor Inc キャパシタ及びその製造方法
US8203176B2 (en) 2007-03-08 2012-06-19 Renesas Electronics Corporation Dielectric, capacitor using dielectric, semiconductor device using dielectric, and manufacturing method of dielectric
JP2010525596A (ja) * 2007-04-27 2010-07-22 エステミクロエレクトロニクス(クロレ・2)・エスアーエス ハフニウム酸化物に基づく薄膜部分を備えた集積電子回路
JP2009027017A (ja) * 2007-07-20 2009-02-05 Elpida Memory Inc 絶縁体膜、キャパシタ素子、dram及び半導体装置
US8030694B2 (en) 2008-10-31 2011-10-04 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film including hafnium, aluminum or silicon, nitrogen, and oxygen
US8053311B2 (en) 2008-10-31 2011-11-08 Canon Anelva Corporation Dielectric film and semiconductor device using dielectric film including hafnium, aluminum or silicon, nitrogen, and oxygen
US7989877B2 (en) 2008-11-25 2011-08-02 Samsung Electronics Co., Ltd. Semiconductor devices including a dielectric layer
US8420552B2 (en) 2009-07-31 2013-04-16 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device
US8741731B2 (en) 2009-07-31 2014-06-03 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device
US8390054B2 (en) 2010-03-31 2013-03-05 Kabushiki Kaisha Toshiba Semiconductor memory element and semiconductor memory device
JP2011216715A (ja) * 2010-03-31 2011-10-27 Toshiba Corp 半導体記憶素子、及び半導体記憶装置
KR101520844B1 (ko) * 2012-09-26 2015-05-15 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치, 기록 매체 및 반도체 장치
US9082747B2 (en) 2012-09-26 2015-07-14 Hitachi Kokusai Electric Inc. Method, apparatus, and non-transitory computer readable recording medium for manufacturing a semiconductor device with an amorphous oxide film
WO2015045592A1 (ja) * 2013-09-25 2015-04-02 株式会社 東芝 半導体装置および誘電体膜
JP2015065251A (ja) * 2013-09-25 2015-04-09 株式会社東芝 半導体装置および誘電体膜
US9691973B2 (en) 2013-09-25 2017-06-27 Kabushiki Kaisha Toshiba Semiconductor device and dielectric film including a fluorite-type crystal
JP7489858B2 (ja) 2020-08-07 2024-05-24 日本真空光学株式会社 紫外線透過フィルタ

Also Published As

Publication number Publication date
US20050202222A1 (en) 2005-09-15
US20040028952A1 (en) 2004-02-12
EP1372160B1 (en) 2008-05-28
US7183604B2 (en) 2007-02-27
JP4614639B2 (ja) 2011-01-19
DE60321271D1 (de) 2008-07-10
EP1372160A1 (en) 2003-12-17
ATE397275T1 (de) 2008-06-15

Similar Documents

Publication Publication Date Title
JP4614639B2 (ja) Hf含有組成物の誘電率(k値)増進
KR100432411B1 (ko) 원자층 증착을 이용하여 고유전상수 물질을 기판 상에증착하는 방법
JP4293359B2 (ja) 酸化膜の原子層堆積方法
KR101123433B1 (ko) 고 유전률을 갖는 구조물을 형성하는 방법 및 고 유전률을 갖는 구조물
US6930059B2 (en) Method for depositing a nanolaminate film by atomic layer deposition
US7521331B2 (en) High dielectric film and related method of manufacture
US6875677B1 (en) Method to control the interfacial layer for deposition of high dielectric constant films
US8143660B2 (en) Method for manufacturing oxide film having high dielectric constant, capacitor having dielectric film formed using the method, and method for manufacturing the same
US6686212B1 (en) Method to deposit a stacked high-κ gate dielectric for CMOS applications
He et al. Review and perspective of Hf-based high-k gate dielectrics on silicon
Kosola et al. Neodymium oxide and neodymium aluminate thin films by atomic layer deposition
JP2008536318A (ja) 多層多成分高k膜及びそれを堆積させる方法
CN1849703A (zh) 高k金属氧化物的原子层沉积
US20150255267A1 (en) Atomic Layer Deposition of Aluminum-doped High-k Films
Triyoso et al. Physical and electrical characteristics of atomic-layer-deposited hafnium dioxide formed using hafnium tetrachloride and tetrakis (ethylmethylaminohafnium)
Hardy et al. Study of interfacial reactions and phase stabilization of mixed Sc, Dy, Hf high-k oxides by attenuated total reflectance infrared spectroscopy
KR20060091522A (ko) 비정질 유전막 및 그 제조 방법
Conley Jr et al. Densification and improved electrical properties of pulse-deposited films via in situ modulated temperature annealing
Caymax et al. High-k materials for advanced gate stack dielectrics: a comparison of ALCVD and MOCVD as deposition technologies
KR100866305B1 (ko) 고유전율 금속산화막, 그의 제조방법 및 이를 포함하는소자
Tapily et al. Higher-K Formation in Atomic Layer Deposited Hf1-XAlxOy
Vitanov et al. Thin metal oxide films for application in nanoscale devices
Yu et al. Characteristics of high-k gate oxide prepared by oxidation of multi-layered Hf/Zr/Hf/Zr/Hf metal films
WO2024086529A1 (en) Method for fabricating a ferroelectric device
JP2004289082A (ja) 高誘電率ゲート絶縁膜の形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060606

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060606

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090630

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090929

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091002

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091029

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101005

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101019

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4614639

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131029

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term