CN102007573B - 在铜表面上选择性钴沉积 - Google Patents

在铜表面上选择性钴沉积 Download PDF

Info

Publication number
CN102007573B
CN102007573B CN2009801135107A CN200980113510A CN102007573B CN 102007573 B CN102007573 B CN 102007573B CN 2009801135107 A CN2009801135107 A CN 2009801135107A CN 200980113510 A CN200980113510 A CN 200980113510A CN 102007573 B CN102007573 B CN 102007573B
Authority
CN
China
Prior art keywords
cobalt
substrate
during
deposition
copper surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009801135107A
Other languages
English (en)
Other versions
CN102007573A (zh
Inventor
勇森河
凯文·莫拉斯
塞歇德里·盖恩济利
华·钟
仕-恩·潘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102007573A publication Critical patent/CN102007573A/zh
Application granted granted Critical
Publication of CN102007573B publication Critical patent/CN102007573B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明之实施例提出选择性形成钴层至露出电介质表面上之铜表面的工艺。在一实施例中,提出覆盖基板上之铜表面的方法,其包括在处理腔室内暴露基板的污染铜表面至还原剂,同时形成金属铜表面、在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成钴覆盖层至金属铜表面上,同时留下基板上露出的电介质表面、以及沉积介电阻障层至钴覆盖层和电介质表面上。在另一实施例中,沉积-处理循环包括进行气相沉积工艺和随后的后处理工艺,沉积-处理循环可反复进行而沉积多个钴覆盖层。

Description

在铜表面上选择性钴沉积
技术领域
本发明的实施例大体上是关于用以制造半导体装置的金属化工艺,更特别地,实施例是有关通过沉积钴材料至基板,以防铜去湿润(dewetting)的方法。
背景技术
铜为目前用于多层金属化工艺的金属,是半导体装置制造的关键。驱入制造工艺的多层互联需平坦化高深宽比的穿孔,包括触点、过孔、接线和其它特征结构。当特征结构具更大的深宽比时,填充特征结构、又不产生空隙或造成特征结构几何形状变形变得越来越困难。制造业者努力提高电路密度和质量的同时,也更难可靠地形成互联。
由于低成本和其处理性质,铜已广泛应用于市场,故半导体制造业者均持续寻求方法以减少铜扩散和去湿润,以改善铜与介电材料的边界区。随着特征结构尺寸缩小,已发展出数种处理方法来制造铜互联。各处理方法均会增加错误的可能性,例如铜扩散越过边界区、铜结晶结构变形和去湿润。物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)、化学机械研磨(CMP)、电化学电镀(ECP)、电化学机械研磨(EMCP)、和其它沉积及移除铜层的方法实行机械、电子或化学方法来操作铜构成互联。阻障层和覆盖层可沉积含有铜。
过去,钽层、氮化钽、或含锡、铝或镁的铜合金做为阻障层、或铜与其它材料间的黏着促进剂。选用这些材料很昂贵且也许只有部分有效。若沿着边界区的铜原子经历温度、压力、大气条件或多步骤半导体处理期间的其它通用处理变量改变,则铜将沿着边界区迁移而变成附聚铜。铜也会较不均匀地沿着边界区分散而变成去湿润铜。边界区变化包括应力迁移和铜原子电迁移。应力迁移和铜原子电迁移越过介电层或其它结构会提高所得结构的电阻率及降低所得装置的可靠度。
含钴阻障层已以PVD、CVD和ALD工艺沉积而获得。沉积钴的PVD工艺通常难以精确控制沉积厚度。CVD工艺通常受共形性不佳和沉积钴层中的污染物的不良影响。在典型的ALD工艺中,钴前驱物和还原剂依序接触基板而形成预定钴层。ALD工艺相较于其它气相沉积工艺有数个优点,例如能形成相当共形的膜及沉积高深宽比的过孔。然ALD工艺的沉积速度常常太慢,以致ALD工艺不宜做为商业应用。
因此,需要加强含铜层的稳定性和黏着性求,尤其是对铜种晶层而言。此外,需要改善含铜层的电迁移(EM)可靠度、同时防止铜扩散至相邻材料(如介电材料),尤其是形成铜线时。还需要改良气相沉积工艺来沉积钴材料。
发明内容
本发明的实施例提出选择性形成钴层至露出电介质表面上的铜表面的工艺。在一实施例中,提出覆盖基板上的铜表面的方法,其包括将基板放到处理腔室内,其中基板含有遭污染的铜表面和电介质表面、在预处理工艺期间,使遭污染的铜表面接触还原剂,同时形成金属铜表面、在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成钴覆盖层至金属铜表面上,同时留下露出的电介质表面、以及沉积介电阻障层至钴覆盖层和电介质表面上。
在一些实施例中,方法还包括化学还原遭污染的铜表面上的氧化铜,以于预处理工艺期间形成金属铜表面。使遭污染的铜表面接触还原剂,并于预处理工艺期间点燃等离子体,还原剂含有试剂,例如氮气(N2)、氨气(NH3)、氢气(H2)、氨气/氮气混合物、或其组合物。在一些实施例中,遭污染的铜表面接触等离子体的时间为约5秒至约15秒。在另一实施例中,还原剂含有氢气,预处理工艺为热处理,且基板于热处理期间加热达约200℃至约400℃的温度。
在其它实施例中,方法还包括在沉积介电阻障层前,使钴覆盖层于后处理工艺期间接触试剂和等离子体。试剂可含氮气、氨气、氢气、氨气/氮气混合物、或其组合物。
在另一实施例中,沉积-处理循环包括进行气相沉积工艺和随后的后处理工艺,沉积-处理循环进行2、3或更多次以沉积多个钴覆盖层。各沉积-处理循环期间,每一钴覆盖层的沉积厚度为约3埃
Figure GSB00000928199800031
至约整体钴覆盖材料或钴覆盖层的厚度为约
Figure GSB00000928199800033
至约
Figure GSB00000928199800034
优选地,为约5埃
Figure GSB00000928199800035
至约
Figure GSB00000928199800036
在一些实施例中,钴覆盖层的厚度小于约例如约2埃
Figure GSB00000928199800038
至约
Figure GSB00000928199800039
气相沉积工艺期间,基板接触含有钴前驱物气体和氢气的沉积气体,气相沉积工艺为热化学气相沉积工艺或原子层沉积工艺,其中钴前驱物气体含有化学通式为(CO)xCoyLz的钴前驱物,其中x为1、2、3、4、5、6、7、8、9、10、11或12;y为1、2、3、4或5;z为1、2、3、4、5、6、7或8;L为分别选自环戊二烯基、烷基环戊二烯基、甲基环戊二烯基、五甲基环戊二烯基、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、烯丙基、乙烯、丙烯、烯烃、二烯烃、炔烃、亚硝酰基、氨基、其衍生物、或其组合物的配基(ligand)。钴前驱物气体可含钴前驱物,其选自由三羰基烯丙钴、二羰基环戊二烯钴、二羰基甲基环戊二烯钴、二羰基乙基环戊二烯钴、二羰基五甲基环戊二烯钴、八羰基二钴、三羰基亚硝酰钴、二环戊二烯钴、环己二烯基环戊二烯钴、1,3-己二烯基环戊二烯钴、环戊二烯基环丁二烯钴、二甲基环戊二烯钴、5-甲基环戊二烯基环戊二烯钴、五甲基环戊二烯基二乙烯钴、其衍生物、其络合物、其等离子体、或其组合物。在一实施例中,钴前驱物含有二羰基环戊二烯钴。
在另一实施例中,提出覆盖基板上的铜表面的方法,其包括将基板放到处理腔室内,其中基板含有氧化铜表面和电介质表面、在预处理工艺期间,使氧化铜表面接触氨等离子体或氢等离子体,同时形成金属铜表面、在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成钴覆盖层至金属铜表面上,同时留下露出的电介质表面、在后处理工艺期间,使钴覆盖层接触等离子体、以及沉积介电阻障层至钴覆盖层和电介质表面上。
在一些实施例中,沉积-处理循环为进行气相沉积工艺和随后的后处理工艺。沉积-处理循环进行2、3或更多次以沉积多个钴覆盖层。各沉积-处理循环期间,每一钴覆盖层的沉积厚度为约
Figure GSB00000928199800041
至约
Figure GSB00000928199800042
在另一实施例中,氧化铜表面于预处理工艺期间接触氨等离子体或氢等离子体的时间为约5秒至约15秒。后处理工艺含有氮气、氨气、氨气/氮气混合物、或氢气时,可让等离子体接触钴覆盖层。
在又一实施例中,提出覆盖基板上的铜表面的方法,其包括将基板放到处理腔室内,其中基板含有氧化铜表面和电介质表面、在预处理工艺期间,使氧化铜表面接触氨等离子体或氢等离子体,同时形成金属铜表面、在气相沉积工艺期间,使基板接触钴前驱物气体和氢气而选择性形成钴覆盖层至金属铜表面上,同时留下露出的电介质表面、以及在后处理工艺期间,使钴覆盖层接触等离子体和试剂,试剂选自由氮气、氨气、氢气、氨气/氮气混合物、和其组合物组成的群组。
再一实施例中,提出覆盖基板上的铜表面的方法,其包括将基板放到处理腔室内,其中基板含有遭污染的铜表面和电介质表面、在预处理工艺期间,使遭污染的铜表面接触还原剂,同时形成金属铜表面、以及在沉积-处理循环期间,沉积钴覆盖材料至金属铜表面上,同时留下露出的电介质表面。在一实施例中,沉积-处理循环包括在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成第一钴层至金属铜表面上,同时留下露出的电介质表面、在处理工艺期间,使第一钴层接触含有氮气、氨气、氨气/氮气混合物或氢气的等离子体、在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成第二钴层至第一钴层上,同时留下露出的电介质表面、以及在处理工艺期间,使第二钴层接触等离子体。方法更提出沉积介电阻障层至钴覆盖材料和电介质表面上。
在一些实施例中,方法提出在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成第三钴层至第二钴层上,同时留下露出的电介质表面、以及在处理工艺期间,使第三钴层接触等离子体。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,其部分如附图所示。须注意的是,虽然附图揭露了本发明特定实施例,但其并非用以限定本发明的精神与范围,本领域的普通技术人员能够作出各种更动从而得到等效实施例。
图1示出了根据所述实施例的处理和沉积工艺的流程图;
图2A-2E示出了根据所述实施例,不同处理步骤时的基板示意图;以及
图3示出了根据另一所述实施例的沉积工艺的流程图。
具体实施方式
本发明的实施例提出一方法,其利用钴覆盖层或材料来防止铜于互联边界区扩散和去湿润(dewetting)。过渡金属(如钴)可改善铜边界区性质,因而增进黏着性、减少扩散及附聚,并于处理期间促使基板表面均匀粗糙化及润湿。根据实施例,钴覆盖层选择性沉积至基板上的铜触点或表面,同时留下基板上的露出电介质表面。
图1示出了根据本发明一实施例的工艺100的流程图。工艺100用于在研磨工艺后,清洁及覆盖基板上的铜接触表面。在一实施例中,如图2A-2E所示,工艺100的步骤110-140作用于基板200。工艺100包括施行预处理工艺于基板(步骤110)、沉积钴覆盖层至基板的露出铜表面(步骤120)、施行后处理工艺于基板(步骤130)、以及沉积介电阻障层至基板(步骤140)。
图2A示出了经研磨工艺处理后的基板200,其含有介电层204置于下层202上。铜触点208设在介电层204内,并由阻障层206隔开介电层204。介电层204含有介电材料,例如低介电常数(k)介电材料。在一实施例中,介电层204含有低k介电材料,例如碳氧化硅材料或碳掺杂的氧化硅材料(如取自美国加州圣克拉拉市的应用材料公司的BLACK
Figure GSB00000928199800061
低k介电材料)。
阻障层206共形沉积于介电层204的穿孔中。阻障层206可以PVD工艺、ALD或CVD工艺形成或沉积,且厚度介于约5埃
Figure GSB00000928199800062
至约之间,优选约
Figure GSB00000928199800064
至约阻障层206含有钛、氮化钛、钽、氮化钽、钨、氮化钨、其硅化物、其衍生物、或其组合物。在一些实施例中,阻障层206含有钽/氮化钽双层或钛/氮化钛双层。在一实施例中,阻障层206含有以PVD工艺沉积的氮化钽和金属钽层。
在研磨工艺(如化学机械研磨(CMP))期间,铜触点208的上表面暴露于基板场域210各处,污染物212则形成在铜触点208上。污染物212通常含有研磨时或之后产生的氧化铜。铜触点208的露出表面被过氧化物、水或研磨液中的其它试剂、或周围空气中的氧气氧化。污染物212还包括湿气、包括界面活性剂和其它添加剂的研磨液残余物、或研磨掉的材料微粒。
在工艺100的步骤110中,施行预处理工艺于基板200,以移除基板场域210的污染物212。如图2B所示,一旦处理或移除铜触点208的污染物212,即露出铜表面214。通过使基板200接触还原剂可化学还原氧化铜。预处理工艺让基板200于热处理或等离子体处理期间接触还原剂。还原剂可为液态、气态、等离子体态或其组合物。可用于预处理工艺的还原剂包括氢(如H2或H原子)、氨气(NH3)、氢气与氨气混合物(H2/NH3)、N原子、联氨(N2H4)、醇类(如甲醇、乙醇或丙醇)、其衍生物、其等离子体、或其组合物。预处理工艺期间,基板200可接触原位或远程形成的等离子体。
在一实施例中,施行热预处理工艺于基板200以移除铜触点208的污染物212,同时形成铜表面214。基板200放在处理腔室内、接触还原剂且加热达约200℃至约800℃,优选约250℃至约600℃,更优选约300℃至约500℃。加热基板200的时间为约2分钟至约20分钟,优选约5分钟至约15分钟。例如,在含氢气环境的处理腔室中,加热基板200达约500℃、达约12分钟。
在另一实施例中,施行等离子体预处理工艺于基板200以移除铜触点208的污染物212,同时形成铜表面214。基板200放在处理腔室内、接触还原剂且加热达约100℃至约400℃,优选约125℃至约350℃,更优选约150℃至约300℃,例如约200℃或约250℃。处理腔室可产生原位等离子体或装配远程等离子体源(RPS)。在一实施例中,基板200接触等离子体(原位或远程)的时间为约2秒至约60秒,优选约3秒至约30秒,更优选约5秒至约15秒,例如约10秒。产生等离子体的功率为约200瓦至约1000瓦,优选约400瓦至约800瓦。在一实施例中,基板200接触氢气,并在约5托耳下、以400瓦产生等离子体、达约10秒。在另一实施例中,基板200接触氨气,并在约5托耳下、以800瓦产生等离子体、达约20秒。在又一实施例中,基板200接触氢气与氨气混合物,并在约5托耳下、以400瓦产生等离子体、达约15秒。
在工艺100的步骤120中,如图2C所示,选择性沉积或形成钴覆盖层216至铜表面214,同时留下基板场域210各处裸露的介电层204露出表面。故沿着基板场域210,钴覆盖层216选择性沉积在铜表面214上,同时留下介电层204不含或至少实质不含钴覆盖层216的表面。最初,钴覆盖层216可为连续层或不连续层横越铜表面214,但经多次沉积循环后为连续层。
如图2C所示,污染物218聚集遍及基板场域210(如钴覆盖层216上和介电层204表面)。污染物218包括沉积工艺的副产物,例如碳、有机残余物、前驱物残余物和其它聚集在基板场域210上的不当材料。
在工艺100的步骤130中,基板200于后处理工艺期间接触原位或远程形成的等离子体。后处理工艺移除或减少基板200上的污染物量,并进一步密实钴覆盖层216。后处理工艺让基板200和钴覆盖层216于等离子体处理期间接触还原剂。可用于后处理工艺的还原剂包括氢(如H2或H原子)、氨气(NH3)、氢气与氨气混合物(H2/NH3)、氮(如N2或N原子)、联氨(N2H4)、其衍生物、其等离子体、或其组合物。后处理工艺期间,钴覆盖层216接触等离子体的时间为约2秒至约60秒,优选约3秒至约30秒,更优选约5秒至约15秒。
在一实施例中,钴覆盖层接触氢等离子体,其通过原位或远程点燃处理腔室的氢气而形成。在另一实施例中,钴覆盖层接触氨等离子体,其通过原位或远程点燃处理腔室的氨气而形成。在又一实施例中,钴覆盖层接触氢/氨等离子体,其通过原位或远程点燃处理腔室的氢气与氨气混合物而形成。
等离子体可于处理腔室外产生,例如利用远程等离子体源(RPS)系统,或优选地,等离子体可于具等离子体产生能力的沉积腔室内原位产生,例如等离子体处理时(如步骤130或330)于等离子体增强化学气相沉积(PECVD)腔室产生。等离子体可由微波(MW)频率产生器或射频(RF)产生器产生。在优选实施例中,原位等离子体由R F产生器产生。等离子体处理工艺期间可加压处理腔室使压力达约0.1托耳至约80托耳,优选约0.5托耳至约10托耳,更优选约1托耳至约5托耳。此外,腔室或基板可加热至约500℃以下,优选约100℃至约450℃,更优选约150℃至约400℃,例如约300℃。
处理工艺期间,等离子体可在原位等离子体处理用的处理腔室内点燃;或者,等离子体可由如RPS系统的外部源形成。RF产生器的频率可设为约100千赫(kHz)至约60兆赫(MHz)。在一实施例中,频率设为13.56MHz的RF产生器可输出约100瓦至约1000瓦的功率,优选约250瓦至约600瓦,更优选约300瓦至约500瓦。在一实施例中,频率设为350kHz的RF产生器可输出约200瓦至约2000瓦的功率,优选约500瓦至约1500瓦,更优选约800瓦至约1200瓦,例如约1000瓦。基板表面每表面积接触的等离子体功率为约0.01瓦/平方公分(W/cm2)至约10.0W/cm2,优选约0.05W/cm2至约6.0W/cm2
在另一实施例中,反复进行步骤120至少一次、两次或更多次。步骤120可进行一次来形成单层钴覆盖层216、或进行多次而形成多层钴覆盖层216,例如2、3、4、5或更多层钴覆盖层216。在又一实施例中,依序反复进行步骤120和130至少一次、2次、3次、4次或更多次。钴覆盖层216的沉积厚度为约
Figure GSB00000928199800091
至约
Figure GSB00000928199800092
优选约
Figure GSB00000928199800093
至约
Figure GSB00000928199800094
更优选约
Figure GSB00000928199800095
至约
Figure GSB00000928199800096
更加优选约
Figure GSB00000928199800097
至约
Figure GSB00000928199800098
特别更加优选约
Figure GSB00000928199800099
至约
Figure GSB000009281998000910
例如约或约在一实施例中,进行两次步骤120和130的循环,以形成厚度约
Figure GSB000009281998000913
的钴覆盖层216。在另一实施例中,进行三次步骤120和130的循环,以形成厚度约
Figure GSB000009281998000914
的钴覆盖层216。
在步骤120中,通过热分解钝气承载的含钴前驱物,可沉积钴覆盖层216。还原气体可伴随钴前驱物一起流入或脉冲输入处理腔室。基板可加热达约50℃至约600℃,优选约100℃至约500℃,更优选约200℃至约400℃。或者,可利用ALD或CVD工艺使基板接触含钴前驱物气体而沉积钴覆盖层216。
图3示出了工艺300的流程图,用以形成含钴材料,例如钴覆盖层216。在一实施例中,工艺300包括使基板接触沉积气体而形成钴覆盖材料(步骤310)、选择性净化沉积腔室(步骤320)、施行等离子体处理工艺于基板(步骤330)、净化沉积腔室(步骤340)、以及判断基板上的钴覆盖材料是否达预定厚度(步骤350)。在一实施例中,若钴覆盖材料未达预定厚度,则反复进行步骤310-350的循环。在另一实施例中,若钴覆盖材料未达预定厚度,则反复进行步骤310和330的循环。或者,当钴覆盖材料达预定厚度时,终止工艺300。
在一实施例中,提出覆盖基板上的铜表面的方法,其包括在气相沉积工艺期间,使基板接触钴前驱物气体和氢气而选择性形成钴覆盖层至金属铜表面上,同时留下露出的电介质表面、以及在后处理工艺期间,使钴覆盖层接触等离子体和试剂,试剂例如为氮气、氨气、氢气、氨气/氮气混合物、或其组合物。
在另一实施例中,提出覆盖基板上的铜表面的方法,其包括在沉积-处理循环期间,沉积钴覆盖材料至金属铜表面上,同时留下露出的电介质表面。在一实施例中,沉积-处理循环包括在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成第一钴层至金属铜表面上,同时留下露出的电介质表面、在处理工艺期间,使第一钴层接触含有氮气、氨气、氨气/氮气混合物或氢气的等离子体。方法还提出在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成第二钴层至第一钴层上,同时留下露出的电介质表面、以及在处理工艺期间,使第二钴层接触等离子体。
在一些实施例中,方法提出在气相沉积工艺期间,使基板接触钴前驱物气体而选择性形成第三钴层至第二钴层上,同时留下露出的电介质表面、以及在处理工艺期间,使第三钴层接触等离子体。
适用于CVD或ALD工艺以形成所述含钴材料(如金属钴或钴合金)的钴前驱物包括羰基钴络合物、脒基钴(cobaltamidinate)化合物、二茂钴(cobaltocene)化合物、二烯基钴络合物、亚硝酰基钴络合物、其衍生物、其络合物、其等离子体、或其组合物。在一些实施例中,可以CVD和ALD工艺沉积的钴材料另详述于共同转让的美国专利号7,264,846、和美国专利申请序号10/443,648、于2003年5月22日申请且公开号为US2005-0220998的申请案,通过引用将其全部内容包含在本说明书中。
在一些实施例中,钴前驱物采用羰基钴化合物或络合物。羰基钴化合物或络合物的化学通式为(CO)xCoyLz,其中x为1、2、3、4、5、6、7、8、9、10、11或12,y为1、2、3、4或5,z为1、2、3、4、5、6、7或8。L为缺少的、相同或不同的单一配基或多个配基,且包括环戊二烯基、烷基环戊二烯基(如甲基环戊二烯基或五甲基环戊二烯基)、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、乙烯、烯丙基(或丙烯)、烯烃、二烯烃、炔烃、乙炔、丁基乙炔、亚硝酰基、氨基、其衍生物、其络合物、其等离子体、或其组合物。一些羰基钴络合物的例子包括二羰基环戊二烯钴(CpCo(CO)2)、三羰基烯丙钴((CO)3Co(CH2CH=CH2))、六羰基丁基乙炔二钴(CCTBA,(CO)6Co2(HC≡CtBu))、六羰基甲基丁基乙炔二钴((CO)6Co2(MeC≡CtBu))、六羰基苯基乙炔二钴((CO)6Co2(HC≡CPh))、六羰基甲基苯基乙炔二钴((CO)6Co2(MeC≡CPh))、六羰基甲基乙炔二钴((CO)6Co2(HC≡CMe))、六羰基二甲基乙炔二钴((CO)6Co2(MeC≡CMe))、其衍生物、其络合物、其等离子体、或其组合物。
在另一实施例中,钴前驱物采用脒基钴或氨基钴络合物。氨基钴络合物的化学通式为(RR’N)xCo,其中x为1、2或3,R和R’各自为氢基、甲基、乙基、丙基、丁基、烷基、硅烷基、烷基硅烷基、其衍生物、或其组合物。一些氨基钴络合物的例子包括二(二(丁基二甲硅烷基)氨基)钴(((BuMe2Si)2N)2Co)、二(二(乙基二甲硅烷基)氨基)钴(((EtMe2Si)2N)2Co)、二(二(丙基二甲硅烷基)氨基)钴(((PrMe2Si)2N)2Co)、二(二(三甲硅烷基)氨基)钴(((Me3Si)2N)2Co)、三(二(三甲硅烷基)氨基)钴(((Me3Si)2N)3Co)、其衍生物、其络合物、其等离子体、或其组合物。
一些钴前驱物的例子包括二羰基甲基环戊二烯钴(MeCpCo(CO)2)、二羰基乙基环戊二烯钴(EtCpCo(CO)2)、二羰基五甲基环戊二烯钴(Me5CpCo(CO)2)、八羰基二钴(Co2(CO)8)、三羰基亚硝酰钴((ON)Co(CO)3)、二环戊二烯钴、环己二烯基环戊二烯钴、1,3-己二烯基环戊二烯钴、环戊二烯基环丁二烯钴、二甲基环戊二烯钴、5-甲基环戊二烯基环戊二烯钴、五甲基环戊二烯基二乙烯钴、四羰基碘化钴、四羰基三氯硅烷钴、三(三甲基磷化氢)羰基氯化钴、三羰基氢三丁基磷化氢钴、六羰基乙炔二钴、五羰基三乙基磷化氢乙炔二钴、其衍生物、其络合物、其等离子体、或其组合物。
适用于所述工艺以形成含钴材料(如金属钴、钴覆盖层或钴合金)的试剂(包含还原剂)包括氢(H2或H原子)、N原子、氨气(NH3)、联氨(N2H4)、氢气与氨气混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、磷化氢(PH3)、其衍生物、其等离子体、或其组合物。
在工艺100的步骤140中,如图2E所示,沉积介电阻障层220至钴覆盖层216和基板200上。具低介电常数的介电阻障层220沉积在基板200、遍及基板场域210和钴覆盖层216上。介电阻障层220含有低k介电材料,例如碳化硅、氮化硅、氧化硅、氮氧化硅、碳氧化硅或碳掺杂的氧化硅材料、其衍生物、或其组合物。在一实施例中,采用取自美国加州圣克拉拉市的应用材料公司的BLACK
Figure GSB00000928199800131
低k介电材料做为介电阻障层220的低k介电材料。适用于介电阻障层220的材料例子为以CVD或等离子体增强CVD(PECVD)工艺形成的碳化硅基膜,例如共同转让的美国专利号6,537,733、6,790,788和6,890,850描述的工艺,通过引用将其全部内容包含在本说明书中。
所述实施例使用的ALD处理腔室可取自美国加州圣克拉拉市的应用材料公司。ALD处理腔室的详细说明可参见共同转让的美国专利号6,916,398与6,878,206、共同转让的美国专利申请序号10/281,079、于2002年10月25日申请且公开号为US2003-0121608的申请案、和共同转让的美国专利申请序号11/556,745、11/556,752、11/556,756、11/556,758、11/556,763、其各自于2006年11月6日申请且公开号分别为US 2007-0119379、2007-0119371、2007-0128862、2007-0128863、2007-0128864的申请案,通过引用将其全部内容包含在本说明书中。在另一实施例中,以ALD模式与传统CVD模式操作的腔室可用来沉积含钴材料,其描述于共同转让的美国专利号7,024,886,通过引用将其全部内容包含在本说明书中。用于形成含钴材料的ALD工艺另详述于共同转让的美国专利申请序号10/443,648、于2003年5月22日申请且公开号为US2005-0220998的申请案、和共同转让的美国专利号7,264,846,通过引用将其全部内容包含在本说明书中。在其它实施例中,以ALD模式与传统CVD模式操作来沉积含钴材料的腔室可为取自美国加州圣克拉拉市的应用材料公司的喷淋头和CVD腔室。
在此描述的「基板表面」或「基板」是指制造工艺中在基板上进行膜处理的任何基板表面或材料表面。例如,进行处理的基板表面包括如单晶硅、多晶硅或无定形硅、应变硅、绝缘层覆硅(SOI)、掺杂硅、硅锗、锗、砷化镓、玻璃、蓝宝石、氧化硅、氮化硅、氮氧化硅、及/或碳掺杂的氧化硅(例如SiOxCy,如取自美国加州圣克拉拉市的应用材料公司的BLACK低k介电材料)的材料。基板可为各种尺寸,例如200毫米(mm)或300mm的晶圆、且具有矩形或方形窗格。除非特别说明,否则所述实施例优选是施行于直径200mm或300mm的基板,更佳为300mm。所述工艺实施例沉积硅化钴材料、金属钴材料、和其它含钴材料至许多基板与表面上,特别是含硅介电材料上。可用于本发明实施例的基板包括半导体晶圆,例如结晶硅(如Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶圆、和图案化或未图案化的晶圆,但不以此为限。基板可以预处理工艺处理,用以研磨、蚀刻、还原、氧化、氢氧化、退火、及/或烘烤基板表面。
虽然已经描述了本发明的优选实施例,但其并非用以限定本发明,任何本领域的技术人员在不脱离本发明的精神和范围的前提下,都可作出各种更改与变动,因此本发明的保护范围应由所附申请要求所界定的内容为准。

Claims (15)

1.一种覆盖基板上的铜表面的方法,该方法包含:
将基板放到处理腔室内,其中该基板包含遭污染的铜表面和电介质表面;
在预处理工艺期间,使该遭污染的铜表面接触还原剂,同时形成金属铜表面;
在气相沉积工艺期间,使该基板接触钴前驱物气体而选择性在该金属铜表面上形成钴覆盖层,同时留下露出的该电介质表面;以及
在该钴覆盖层和该电介质表面上沉积介电阻障层。
2.如权利要求1所述的方法,还包括化学还原该遭污染的铜表面上的氧化铜,以于该预处理工艺期间形成该金属铜表面。
3.如权利要求1所述的方法,其中该遭污染的铜表面接触该还原剂,并于该预处理工艺期间点燃等离子体,该还原剂包含试剂,该试剂选自由氮气(N2)、氨气(NH3)、氢气(H2)、氨气/氮气混合物、和其组合物组成的群组。
4.如权利要求3所述的方法,其中该遭污染的铜表面接触该等离子体的时间为约5秒至约15秒范围之间。
5.如权利要求1所述的方法,其中该还原剂包含氢气,该预处理工艺为热处理,且该基板于该热处理期间加热到约200℃至约400℃范围之间的温度。
6.如权利要求1所述的方法,还包括在沉积该介电阻障层之前,使该钴覆盖层于后处理工艺期间接触试剂和等离子体,该试剂选自由氮气(N2)、氨气(NH3)、氢气(H2)、氨气/氮气混合物、和其组合物组成的群组。
7.如权利要求6所述的方法,其中沉积-处理循环包含进行该气相沉积工艺和随后的后处理工艺,该沉积-处理循环进行2、3或更多次以相互层叠沉积多个钴覆盖层,同时留下露出的该电介质表面。
8.如权利要求7所述的方法,其中各个该沉积-处理循环期间,每个钴覆盖层的沉积厚度为约3埃至约
Figure FSB00000928199700022
范围之间。
9.如权利要求1所述的方法,其中该钴覆盖层的厚度为约4埃
Figure FSB00000928199700023
至约
Figure FSB00000928199700024
范围之间。
10.如权利要求9所述的方法,其中在该气相沉积工艺期间,该基板接触含有该钴前驱物气体和氢气的沉积气体,该气相沉积工艺为热化学气相沉积工艺或原子层沉积工艺。
11.如权利要求1所述的方法,其中该钴前驱物气体包含化学通式为(CO)xCoyLz的钴前驱物,其中:
x为1、2、3、4、5、6、7、8、9、10、11或12;
y为1、2、3、4或5;
z为1、2、3、4、5、6、7或8;以及
L为配基,分别选自环戊二烯基、烷基环戊二烯基、甲基环戊二烯基、五甲基环戊二烯基、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、烯丙基、乙烯、丙烯、烯烃、二烯烃、炔烃、亚硝酰基、氨基、其衍生物、和其组合物组成的群组。
12.如权利要求1所述的方法,其中该钴前驱物气体包含钴前驱物,选自由三羰基烯丙钴、二羰基环戊二烯钴、二羰基甲基环戊二烯钴、二羰基乙基环戊二烯钴、二羰基五甲基环戊二烯钴、八羰基二钴、三羰基亚硝酰钴、二环戊二烯钴、环己二烯基环戊二烯钴、1,3-己二烯基环戊二烯钴、环戊二烯基环丁二烯钴、二甲基环戊二烯钴、5-甲基环戊二烯基环戊二烯钴、五甲基环戊二烯基二乙烯钴、其衍生物、其络合物、其等离子体、和其组合物组成的群组。
13.如权利要求12所述的方法,其中该钴前驱物包含二羰基环戊二烯钴。
14.一种覆盖基板上的铜表面的方法,该方法包含:
将基板放到处理腔室内,其中该基板包含遭污染的铜表面和电介质表面;
在预处理工艺期间,使该遭污染的铜表面接触还原剂,同时形成金属铜表面;
在沉积-处理循环期间,沉积钴覆盖材料至该金属铜表面上,同时留下露出的该电介质表面,该沉积-处理循环包含:
在气相沉积工艺期间,使该基板接触钴前驱物气体而选择性形成第一钴层至该金属铜表面上,同时留下露出的该电介质表面;
在处理工艺期间,使该第一钴层接触含有氮气(N2)、氨气、氨气/氮气混合物或氢气的等离子体;
在该气相沉积工艺期间,使该基板接触该钴前驱物气体而在该第一钴层上选择性形成第二钴层,同时留下露出的该电介质表面;以及
在该处理工艺期间,使该第二钴层接触该等离子体;以及
在该钴覆盖材料和该电介质表面上沉积介电阻障层。
15.如权利要求14所述的方法,还包括:
在该气相沉积工艺期间,使该基板接触该钴前驱物气体而在该第二钴层上选择性形成第三钴层,同时留下露出的该电介质表面;以及
在该处理工艺期间,使该第三钴层接触该等离子体。
CN2009801135107A 2008-04-29 2009-04-29 在铜表面上选择性钴沉积 Active CN102007573B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/111,921 2008-04-29
US12/111,921 US20090269507A1 (en) 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces
PCT/US2009/042030 WO2009134840A2 (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces

Publications (2)

Publication Number Publication Date
CN102007573A CN102007573A (zh) 2011-04-06
CN102007573B true CN102007573B (zh) 2013-02-13

Family

ID=41215285

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801135107A Active CN102007573B (zh) 2008-04-29 2009-04-29 在铜表面上选择性钴沉积

Country Status (6)

Country Link
US (4) US20090269507A1 (zh)
JP (1) JP6146948B2 (zh)
KR (4) KR101764163B1 (zh)
CN (1) CN102007573B (zh)
TW (2) TWI530580B (zh)
WO (1) WO2009134840A2 (zh)

Families Citing this family (367)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101069440B1 (ko) 2010-04-16 2011-09-30 주식회사 하이닉스반도체 반도체 소자의 금속 패턴 및 그 형성방법
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20120161319A1 (en) * 2010-12-23 2012-06-28 Stmicroelectronics Pte Ltd. Ball grid array method and structure
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728934B2 (en) * 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
KR102193925B1 (ko) 2012-09-25 2020-12-22 엔테그리스, 아이엔씨. 코발트계 박막의 저온 ald 또는 cvd를 위한 코발트 전구체
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103972156B (zh) * 2013-02-06 2016-09-14 中芯国际集成电路制造(上海)有限公司 半导体互连结构及其制作方法
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
WO2015047731A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9153482B2 (en) * 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9601431B2 (en) 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6584150B2 (ja) * 2014-06-09 2019-10-02 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
CN104152863B (zh) * 2014-08-27 2019-10-25 上海华力微电子有限公司 一种提高钴阻挡层沉积选择比的方法
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
US11476158B2 (en) * 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR20170070083A (ko) * 2014-10-15 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 손상 방지를 위한 다중-층 유전체 스택
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102264160B1 (ko) 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
KR20160122399A (ko) * 2015-04-14 2016-10-24 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
EP3288954A4 (en) * 2015-04-30 2018-12-12 Seastar Chemicals Inc. Organometallic compounds useful for chemical phase deposition
WO2016183435A1 (en) * 2015-05-13 2016-11-17 National Oilwell DHT, L.P. Methods for fabricating cutter elements for drill bits
JP6537365B2 (ja) * 2015-06-22 2019-07-03 東ソー株式会社 置換シクロペンタジエニルコバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US9837350B2 (en) 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10157827B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
TW201819665A (zh) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US20180135174A1 (en) * 2016-11-01 2018-05-17 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10355204B2 (en) 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102592166B1 (ko) * 2017-12-15 2023-10-19 버슘머트리얼즈 유에스, 엘엘씨 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법
TWI672390B (zh) * 2017-12-21 2019-09-21 美商慧盛材料美國責任有限公司 二取代炔基二鈷六羰基化合物、其製造及使用方法
TWI810808B (zh) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
EP3768874A4 (en) 2018-03-19 2022-03-30 Applied Materials, Inc. METHODS FOR DEPOSITING COATINGS ON AEROSPACE ELEMENTS
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7164349B2 (ja) * 2018-07-31 2022-11-01 株式会社アルバック Co膜製造方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
WO2020086175A1 (en) 2018-10-25 2020-04-30 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US10961624B2 (en) 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
KR102034602B1 (ko) * 2019-07-30 2019-10-22 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
US11239421B2 (en) 2020-01-24 2022-02-01 International Business Machines Corporation Embedded BEOL memory device with top electrode pillar
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
KR20040039591A (ko) * 2002-11-04 2004-05-12 주식회사 하이닉스반도체 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
CN1921102A (zh) * 2005-08-23 2007-02-28 台湾积体电路制造股份有限公司 内连线结构及其制造方法、半导体装置

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2738333B2 (ja) * 1995-03-30 1998-04-08 日本電気株式会社 半導体装置の製造方法
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6943451B2 (en) * 2001-07-02 2005-09-13 International Business Machines Corporation Semiconductor devices containing a discontinuous cap layer and methods for forming same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
TW559933B (en) 2002-09-25 2003-11-01 Applied Materials Inc An apparatus and a method for reducing copper oxide on a copper layer
JP2004140315A (ja) * 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
JP3992588B2 (ja) * 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4401656B2 (ja) * 2003-01-10 2010-01-20 パナソニック株式会社 半導体装置の製造方法
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
KR100485386B1 (ko) * 2003-04-08 2005-04-27 삼성전자주식회사 금속막 증착용 조성물 및 이를 이용한 금속막 형성 방법
JP2005029821A (ja) * 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
KR100539274B1 (ko) * 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
EP1664381A1 (en) * 2003-09-19 2006-06-07 Applied Materials, Inc. Apparatus and method of detecting the electroless deposition endpoint
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7119019B2 (en) * 2004-03-31 2006-10-10 Intel Corporation Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US7799683B2 (en) * 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
TW200704794A (en) 2005-03-18 2007-02-01 Applied Materials Inc Process for electroless copper deposition
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
TW200737307A (en) * 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
MY148605A (en) * 2006-08-30 2013-05-15 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
KR101506352B1 (ko) 2006-08-30 2015-03-26 램 리써치 코포레이션 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
EP2065927B1 (en) * 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
CN107208262B (zh) * 2014-11-21 2019-09-13 应用材料公司 醇类辅助ald膜沉积
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
TW201819665A (zh) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10290540B2 (en) * 2016-11-01 2019-05-14 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
US11440929B2 (en) * 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
KR20040039591A (ko) * 2002-11-04 2004-05-12 주식회사 하이닉스반도체 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
CN1921102A (zh) * 2005-08-23 2007-02-28 台湾积体电路制造股份有限公司 内连线结构及其制造方法、半导体装置

Also Published As

Publication number Publication date
KR101802452B1 (ko) 2017-11-28
US20090269507A1 (en) 2009-10-29
WO2009134840A3 (en) 2010-01-14
TWI441939B (zh) 2014-06-21
JP6146948B2 (ja) 2017-06-14
KR20100137582A (ko) 2010-12-30
KR20160102574A (ko) 2016-08-30
JP2011524078A (ja) 2011-08-25
US11384429B2 (en) 2022-07-12
KR20170091171A (ko) 2017-08-08
US20220298625A1 (en) 2022-09-22
KR20170132901A (ko) 2017-12-04
KR101654001B1 (ko) 2016-09-05
CN102007573A (zh) 2011-04-06
US20170321320A1 (en) 2017-11-09
KR101764163B1 (ko) 2017-08-02
US20150325446A1 (en) 2015-11-12
KR101938841B1 (ko) 2019-01-15
TW201009107A (en) 2010-03-01
TW201447012A (zh) 2014-12-16
TWI530580B (zh) 2016-04-21
WO2009134840A2 (en) 2009-11-05
US11959167B2 (en) 2024-04-16

Similar Documents

Publication Publication Date Title
CN102007573B (zh) 在铜表面上选择性钴沉积
CN101466863B (zh) 用于形成含钴材料的工艺
CN106024598B (zh) 于阻障表面上的钴沉积
US8835311B2 (en) High temperature tungsten metallization process
CN103890910B (zh) 等离子体活化保形电介质膜沉积的方法和装置
CN103975419B (zh) 等离子体活化保形电介质膜沉积
KR102397797B1 (ko) 순차적인 cvd 프로세스에 의한 저 불소 텅스텐의 증착
CN107078036B (zh) 热形成选择性钴层的方法
KR20160118968A (ko) Ald 및 ale에 의해 컨포멀한 막들의 증착
WO2014189671A1 (en) Cobalt selectivity improvement in selective cobalt process sequence
US9633861B2 (en) Cu/barrier interface enhancement
KR20200019764A (ko) 반도체 막들을 증착하기 위한 방법들
WO2009134925A2 (en) Process for forming cobalt and cobalt silicide materials in copper contact applications
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant