CN107078036B - 热形成选择性钴层的方法 - Google Patents

热形成选择性钴层的方法 Download PDF

Info

Publication number
CN107078036B
CN107078036B CN201580058667.XA CN201580058667A CN107078036B CN 107078036 B CN107078036 B CN 107078036B CN 201580058667 A CN201580058667 A CN 201580058667A CN 107078036 B CN107078036 B CN 107078036B
Authority
CN
China
Prior art keywords
cobalt
layer
substrate
dielectric
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580058667.XA
Other languages
English (en)
Other versions
CN107078036A (zh
Inventor
艾华
吕疆
阿夫耶里诺斯·V·杰拉托斯
马伯方
柳尚澔
刘风全
付新宇
叶伟锋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107078036A publication Critical patent/CN107078036A/zh
Application granted granted Critical
Publication of CN107078036B publication Critical patent/CN107078036B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02269Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by thermal evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Thin Magnetic Films (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

在此提供用以选择性地沉积钴层的方法。在一些实施方式中,一种用以选择性地沉积钴层的方法包括以下步骤:暴露基板于第一工艺气体以钝化暴露的电介质表面,其中该基板包含电介质层与金属层,该电介质层具有暴露的电介质表面,该金属层具有暴露的金属表面;以及使用热沉积工艺来选择性地沉积钴层于该暴露的金属表面上。

Description

热形成选择性钴层的方法
技术领域
本公开内容的实施方式总体涉及选择性地沉积钴层于基板上的方法。
背景技术
在金属互连(interconnect)(例如铜互连)的形成中,于铜互连与后续形成的电介质阻挡层之间沉积钴帽盖层,改善金属与电介质之间的附着力(adhesion)及金属与电介质部分之间的界面的可靠性。然而,本案发明人已经观察到,典型的钴沉积工艺是利用需要等离子体工艺的前驱物的等离子体沉积工艺。本案发明人已经观察到,这样的等离子体工艺会对周围的电介质材料造成损坏,例如对具有低介电常数的电介质材料。
因此,本发发明人已经开发出选择性地沉积钴层于基板上的改进的技术。
发明内容
于此提供用以选择性地沉积钴层的方法。在一些实施方式中,一种用以选择性地沉积钴层的方法包括以下步骤:暴露基板于第一工艺气体以钝化暴露的电介质表面,其中该基板包含电介质层及金属层,该电介质层具有暴露的电介质表面,该金属层具有暴露的金属表面;及使用热沉积工艺来选择性地沉积钴层于该暴露的金属表面上。
在一些实施方式中,一种用以选择性地沉积钴层的方法包括以下步骤:在约200摄氏度至约800摄氏度的温度下暴露基板于还原气体,该基板包含电介质层与金属层,该电介质层具有暴露的电介质表面,该金属层具有暴露的金属表面,或暴露该基板于由还原气体所形成的等离子体,或者暴露该基板于UV光活化还原气体,以从铜表面及从该电介质表面移除污染物;暴露该基板于第一工艺气体以钝化该暴露的电介质表面且改善该电介质层的介电常数约1%至约10%;使用热沉积工艺来选择性地沉积钴层于该金属表面上;以及在选择性地沉积该钴层之后,暴露该基板于该第一工艺气体,以改善该暴露电介质层的该介电常数约1%至约10%。
在一些实施方式中,一种计算机可读介质,具有被储存在该计算机可读介质上的指令,该些指令被执行时会使处理腔室执行选择性地沉积钴层的方法。该方法可包括在此所公开的任一实施方式。
以下描述本公开内容的其它的与进一步的实施方式。
附图说明
以上简要概述的、及下文更特定讨论的本公开内容的实施方式可以通过参照描绘于附图中的本公开内容的说明性实施方式来理解。但是,附图仅绘示本公开内容的典型实施方式,因而附图不应视为对本公开内容的范围的限制,因为本公开内容可允许其它等同有效的实施方式。
图1描绘根据本公开内容的一些实施方式的用以选择性地沉积钴层的方法的流程图。
图2A-E描绘根据本公开内容的一些实施方式的选择性地沉积钴层的阶段。
图3描绘根据本公开内容的一些实施方式的适合用以执行选择性地沉积钴层的处理腔室。
图4A-4D描绘根据本公开内容的一些实施方式的选择性地沉积钴层。
为了便于理解,尽可能地使用了相同的附图标号来标示附图中共通的相同元件。附图未依比例绘制,并且为了清晰可被简化。实施方式的元件与特征在没有特定描述下可有益地并入到其它实施方式。
具体实施方式
于此提供用以选择性地沉积钴层的方法。此发明方法使用热沉积工艺以避免对具有低介电常数的周围的电介质材料造成损坏而有利地提供选择性沉积钴帽盖层至金属互连上,例如铜互连上。此发明方法可被运用在集成电路中的金属互连的形成中,或在金属接触间隙填充工艺的金属栅极的形成中,以及利用选择性地沉积的钴层的其它适当的应用。
图1描绘根据本公开内容一些实施方式的用以选择性地沉积钴层的方法100的流程图。方法100在以下参照图2A-2E所示选择性地沉积钴帽盖层的阶段而描述,并且举例而言,可在适当的反应器(诸如以下参照图3描述的反应器)中执行方法100。
方法100开始于将基板200提供至处理腔室,诸如以下参照图3描述的处理腔室。基板200可以是具有一或更多个特征结构216的任何适当的基板。例如,基板200可包含硅(Si)、氧化硅(SiO2)或类似者。此外,基板200可包括额外的材料层或可具有一或更多个完成或部分完成的结构。
在一些实施方式中,如图2A所示,基板200包括设置在基板200上的电介质层202。电介质层202包含电介质表面208,电介质表面208具有一或更多个形成在电介质表面208中的特征结构216。电介质层202含有电介质材料,诸如氧化硅(SiO2)、氮化硅(SiN)、低k材料、或类似者。在一些实施方式中,低k材料可以是碳掺杂电介质材料(诸如碳掺杂氧化硅(SiOC)、可从美国加州圣塔克拉拉市的应用材料公司取得的BLACK
Figure BDA0001281024520000031
)、有机聚合物(诸如聚酰亚胺、聚对二甲苯(parylene)或类似者)、有机掺杂硅玻璃(OSG)、氟掺杂硅玻璃(FSG)、或类似者。正如于此所使用,低k材料是具有约2.2至约3的介电常数的材料,更具体地约2.4至约2.8的介电常数的材料。特征结构216包含开口220,开口220被形成在电介质层202的电介质表面208中且从远离电介质表面208朝向电介质层202的相对第二表面延伸到电介质层202内。开口220可以是任何适当的开口,诸如通孔、沟槽、双镶嵌结构、或类似者。可通过使用任何适当的蚀刻工艺而蚀刻电介质层来形成开口220。
在一些实施方式中,使用任何适当的沉积工艺将阻挡层204沉积在开口220内,沉积工艺诸如物理气相沉积工艺、化学气相沉积工艺、或原子层沉积工艺。阻挡层204可以起到电介质层202与将要后续地被沉积在开口中的含金属层之间电气和/或物理阻挡物的作用,和/或可以作为相较于基板的原生表面更佳的表面起作用以用于在后续的含金属层沉积期间附着(attachment)。在一些实施方式中,阻挡层204可具有任何适当的厚度以作为阻挡层起作用,例如在约5埃至约50埃的范围内。阻挡层204可含有钛、氮化钛、钽、氮化钽、钨、氮化钨、上述的衍生物、或上述的组合物。在一些实施方式中,阻挡层204可含有钽/氮化钽双层或钛/氮化钛双层。
在一些实施方式中,在形成阻挡层204之后,开口220可被填充有导电(即金属)材料,诸如铜、钨、氮化钛、钴、或类似者。可使用此技术领域中已知的任何适当的金属沉积工艺来沉积金属层206,例如物理气相沉积工艺、化学气相沉积工艺、电化学镀覆(plating)工艺、或类似者。在一些实施方式中,诸如化学机械抛光的抛光工艺可后续地被执行,以从电介质层202的电介质表面208移除过量的金属材料与阻挡层材料。
在一些实施方式中,抛光工艺会在金属层206的暴露的金属表面222及电介质层202的电介质表面208上造成污染物的形成。例如,当金属层206是铜时,污染物通常含有在抛光工艺期间或之后形成的氧化铜。金属层206的暴露的金属表面222可由过氧化物、水、或抛光溶液中的其它试剂或由外界空气内的氧所氧化。污染物亦可包括湿气、包括界面活性剂及其它添加剂的抛光溶液残余物、或被抛光掉的材料的颗粒。可通过暴露基板于清洁工艺而移除污染物,在清洁工艺中污染物被暴露于还原气体。在一些实施方式中,可于以下所述步骤102在暴露基板于第一工艺气体210之前或的同时,暴露基板于还原气体。在一些实施方式中,还原气体包括氢(例如H2或原子H)、氨(NH3)、氢与氨混合物(H2/NH3)、原子N、联氨(N2H4)、醇类(例如甲醇、乙醇、或丙醇)、上述的衍生物、上述的等离子体、或上述的组合物。在一些实施方式中,基板200可在热处理中或在等离子体处理中被暴露于还原气体。例如,在热处理中,基板200可被暴露于还原气体且被加热到约200摄氏度至约800摄氏度的温度长达约2分钟至约20分钟。例如,在等离子体处理中,基板200可被暴露于还原气体且被加热到约100摄氏度至约400摄氏度的温度。基板可被暴露于等离子体而长达2秒至约60秒,其中在约200瓦至约1000瓦的功率产生该等离子体。在一些实施方式中,基板亦被暴露于还原气体且同时地被暴露于UV光源以为了活化还原气体。UV光源可具有足以活化还原气体的任何波长。在一些实施方式中,UV光可具有约100nm至约400nm的波长或更具体地约180nm至约200nm的波长。
在步骤102及如图2B所示,基板200被暴露于第一工艺气体210。第一工艺气体210钝化电介质层202的电介质表面208,这容许如以下步骤104所述的后续的钴的选择性沉积。本案发明人亦已经观察到暴露基板于第一工艺气体210亦有利地修复以上讨论的诸如抛光或清洁之类的先前工艺所造成的对电介质层202的低k材料的损坏(即介电常数的增加)。尤其是,本案发明人已经观察到暴露基板200于第一工艺气体210会改善(即减少)所损坏的电介质层202的介电常数达约1%至约10%。在一些实施方式中,第一工艺气体可包含含硅烷化合物,诸如双(二甲基胺)二甲基硅烷、二甲基胺三甲基硅烷、1-(三甲基硅基)-1氢-吡咯、氯三甲基硅烷、或上述的组合物。在一些实施方式中,第一工艺气体210可包含醇类,诸如乙醇、丙醇、丁醇、或类似者。在一些实施方式中,第一工艺气体可包含含乙烯基硅烷化合物。示例性含乙烯基硅烷化合物可具有以下化学式:
Figure BDA0001281024520000051
R1、R2、和R3各自独立地选自氢(H)、烷基(例如甲基、乙基、丙基、丁基等)、烷氧基(例如甲氧基、乙氧基、丙氧基等)、氯、与乙烯基。其它取代的乙烯基硅烷亦落入在此所述的实现方式中。示例性乙烯基硅烷包括乙烯基硅烷、三甲基乙烯基硅烷(TMVS)、乙烯基三氯硅烷、乙烯基三甲氧基硅烷、乙烯基三乙氧基硅烷、乙烯基三(2-甲氧基乙氧基)硅烷、乙烯基三异丙氧基硅烷、乙烯基三(四-丁基过氧)硅烷、乙烯基二甲基氯硅烷、乙烯基二甲基乙氧基硅烷、乙烯基甲基二氯硅烷、乙烯基甲基二甲氧基硅烷、乙烯基甲基二乙氧基硅烷、甲基乙烯基二(n-甲基乙酰氨基)硅烷、甲基乙烯基二(5-己内酰胺)硅烷、双(甲基二氯硅基)乙烷、与上述的组合物。在一实现方式中,含乙烯基硅烷的化合物是三甲基乙烯基硅烷(TMVS)。
在一些实施方式中,基板200在约25摄氏度至约400摄氏度的温度下被暴露于第一工艺气体210。例如,在一些实施方式中,如以下所讨论,当在单一设备中执行方法100时,基板在约250摄氏度至约350摄氏度的温度下被暴露于第一工艺气体210。在一些实施方式中,当在一设备中执行步骤102且在第二设备中执行如以下所述的步骤104时,基板200在约25℃至约400℃的温度下被暴露于第一工艺气体210。在一些实施方式中,基板被暴露于第一工艺气体210长达约10至约300秒,例如约10至约60秒。在一些实施方式中,第一工艺气体210到处理腔室的流速是约10sccm至约1000sccm,例如约50sccm至约400sccm。在一些实施方式中,基板在约1至约100托的设备压力下被暴露于第一工艺气体210。在一些实施方式中,第一工艺气体210进一步包含氢气(H2)与惰性气体,诸如氩、氦、氪、或类似者。
在步骤104,如图2C所示,钴层214选择性地被沉积在金属层206的暴露的金属表面222上,而使得电介质层202的电介质表面208不含有或实质上不含有钴形成。钴层214是通过暴露基板200于钴前驱物气体212来沉积。钴层214是通过在处理腔室302中经由适当热沉积工艺的钴前驱物气体212的热沉积来形成,热沉积工艺诸如热化学气相沉积工艺或热原子层沉积工艺。在一些实施方式中,基板在适于热沉积的温度下被暴露于钴前驱物气体212,例如在约200摄氏度至约400摄氏度的温度下。在一些实施方式中,钴前驱物气体212可如以下所述与运载气体一起被提供到处理腔室302,运载气体例如惰性气体,诸如氩、氦、氮、或类似者。在一些实施方式中,可被提供到处理腔室302并对于形成钴材料是有用的适当的反应物气体包括氢、氨、氮、氩、与上述的组合物。
钴前驱物气体212是适合用于热沉积的气体。例如,在一些实施方式中,适当的钴前驱物气体包括:羰基钴络合物(complexes)、二烯基钴络合物、亚硝酰基钴络合物、上述的衍生物、上述的络合物、上述的等离子体、或上述的组合物。在一些实施方式中,羰基钴化合物或络合物可被用作为钴前驱物。羰基钴化合物或络合物具有化学式(CO)xCoyLz,其中x可以是1、2、3、4、5、6、7、8、9、10、11、或12,Y可以是1、2、3、4、或5,且Z可以是1、2、3、4、5、6、7、或8。基团L可不存在,可以是一个配位基或多个配位基(可以是相同的配位基或不同的配位基),并且包括环戊二烯、烷基环戊二烯(例如甲基环戊二烯或五甲基环戊二烯)、戊二烯、烷基戊二烯、环丁二烯、丁二烯、乙烯、丙烯基(或丙烯)、烯烃、二烯烃、炔烃、乙炔、丁基乙炔、亚硝酰(nitrosyl)、氨、上述的衍生物、上述的络合物、上述的等离子体、或上述的组合物。一些示例性羰基钴络合物包括环戊二烯双(羰基)钴(CpCo(CO)2)、三羰基丙烯钴((CO)3Co(CH2CH═CH2))、六羰基二钴丁基乙炔(CCTBA,(CO)6Co2(HC≡CtBu))、六羰基二钴甲基丁基乙炔((CO)6Co2(MeC≡CtBu))、六羰基二钴苯基乙炔((CO)6Co2(HC≡CPh))、六羰基甲基苯基乙炔((CO)6Co2(MeC≡CPh))、六羰二钴甲基乙炔((CO)6Co2(HC≡CMe))、六羰基二钴二甲基乙炔((CO)6Co2(MeC≡CMe))、上述的衍生物、上述的络合物、上述的电浆、或上述的组合物。
在一些实施方式中,钴酰胺基络合物可被用作为钴前驱物。钴酰胺基络合物具有分子式(RR’N)xCo,其中x可以是1、2、或3,并且R与R’是独立的氢、甲基、乙基、丙基、丁基、烷基、硅基、烷硅基、上述的衍生物、或上述的组合物。一些示例性钴酰胺基络合物包括双(二(丁基二甲基硅基)酰胺基)钴(((BuMe2Si)2N)2Co)、双(二(乙基二甲基硅基)酰胺基)钴(((EtMe2Si)2N)2Co)、双(二(丙基二甲基硅基)酰胺基)钴(((PrMe2Si)2N)2Co)、双(二(三甲基硅基)酰胺基)钴(((Me3Si)2N)2Co)、三(二(三甲基硅基)酰胺基)钴(((Me3Si)2N)3Co)、上述的衍生物、上述的络合物、上述的等离子体、或上述的组合物。
在一些实施方式中,钴前驱物气体212是脒基(amidinate)钴络合物。脒基钴络合物具有以下的化学式:
Figure BDA0001281024520000071
适当的钴脒基的实例包括二(N,N’-二-叔-丁基乙脒)钴、双(N,N’-二异丙基乙脒)钴、双(N-叔-丁基-N’-乙基乙脒)钴、双(N-N’-二-三级-丁基-丙脒)钴、与双(N-三级-丁基-N’-乙基丁脒)钴。
在一些实施方式中,钴前驱物气体212是双(1,4-叔-丁基-1,3-二氮丁二烯)钴(II)家族的化学品。双(1,4-叔-丁基-1,3-二氮丁二烯)钴(II)络合物具有以下的化学式:
Figure BDA0001281024520000072
其中R1是叔-丁基、异丙基、或异丁基的一者;R2是叔-丁基、或异丙基的一者;R3是氢、甲基、或乙基的一者;及R4是氢、甲基、或乙基的一者。
一些示例性钴前驱物包括甲基环戊二烯双(羰基)钴(MeCpCo(CO)2)、乙基环戊二烯双(羰基)钴(EtCpCo(CO)2)、五甲基环戊二烯双(羰基)钴(Me5CpCo(CO)2)、八(羰基)二钴(Co2(CO)8)、亚硝酰基钴三(羰基)((ON)Co(CO)3)、双(环戊二烯)钴、(环戊二烯)钴(环己二烯)、环戊二烯钴(1,3-己二烯)、(环丁二烯)钴(环戊二烯)、双(甲基环戊二烯)钴、(环戊二烯)钴(5-甲基环戊二烯)、双(乙烯)钴(五甲基环戊二烯)、碘化四羰基钴、四羰基钴三氯硅烷、氯化羰基三(三甲基膦)钴、三羰基钴-氢三丁基膦、乙炔六羰基二钴、乙炔五羰基二钴三乙基膦、上述的衍生物、上述的络合物、上述的等离子体、或上述的组合物。
在一些实施方式中,钴在暴露的金属表面222上的沉积速率对钴在暴露的电介质表面208上的沉积速率的比例为约200:1至约3000:1。在一些实施方式中,钴层214的厚度是约10埃至约40埃。在一些实施方式中,惰性气体与钴前驱物气体一起被供应到处理腔室,惰性气体诸如氩、氦、氪、或类似者。
本案发明人已经观察到使用等离子体沉积工艺来选择性地沉积钴层会对电介质层的低k材料造成损坏。因此,在此所述的方法100有利地使用热沉积工艺以第一工艺气体210来处理电介质层202,且可仅使用热沉积工艺来选择性地沉积钴层214。
可选地,如图2D所示,基板200可再次被暴露于如上所述的第一工艺气体210,以进一步修复以上讨论的先前工艺或在形成钴层214之后而执行的任何额外工艺所造成的对电介质层202的低k材料的损坏(即介电常数的增加)。尤其是,本案发明人已经观察到再次暴露基板200于第一工艺气体210进一步改善(即减少)所损坏的电介质层202的介电常数约1%至约10%。在一些实施方式中,基板可于以上在步骤102所讨论的处理状态下或在不同的处理状态下再次被暴露于第一工艺气体210。例如在一些实施方式中,基板再次被暴露于第一工艺气体210长达约10至约300秒,例如约60至约300秒。在一些实施方式中,第一工艺气体210到处理腔室的流速是约10sccm至约1000sccm,例如约50sccm至约1000sccm。
在钴层的选择性沉积或可选地进一步暴露于第一工艺气体210之后,方法100大体上结束且基板200可进行进一步处理。在一些实施方式中,后续的处理,诸如沉积、蚀刻、退火、或类似者可被执行以制造完成的器件。
在一些实施方式中,如图2E所示,如上所述的低k材料的电介质阻挡层224可被沉积在钴层214及电介质层202的电介质表面208上方。
在一些实施方式中,取决于所形成的器件的结构,步骤102-104可被重复以沉积钴层到预定厚度。例如,图4A描绘基板400(类似上述的基板200)具有金属层402设置在基板400上。金属层402可以是铜、钨、氮化钛、钴、或类似者。一或更多个电介质层404可设置在金属层402上。电介质层404可以是如上所述的低k材料。
在一些实施方式中,图案化掩模层(patterned mask layer)(未示出)可被形成在电介质层404上,以蚀刻特征结构406到电介质层404内,而到金属层402的表面。图案化掩模层可以是任何适当的掩模层,诸如硬掩模或光刻胶层。可通过任何适合的工艺形成图案化掩模层,但所述工艺要适合用于形成能够提供适当模板的图案化掩膜层,而所述适当模板是用于定义下方电介质层404中的图案。例如,在一些实施方式中,可经由图案化蚀刻工艺来形成此图案化掩模层。
在一些实施方式中,特征结构406可以是沟槽或通孔。可经由任何蚀刻工艺来蚀刻特征结构406,其中该蚀刻工艺适于蚀刻电介质材料以形成具有垂直或实质上垂直侧壁的特征结构406。例如,基板400可被暴露于蚀刻等离子体,使用含卤素气体(例如含氟气体)来形成该蚀刻等离子体。
如上参照步骤102所述与如图4A所示,基板400可被暴露于第一工艺气体408以钝化暴露电介质表面414与侧壁418,用以避免钴形成在电介质层404上且修复由先前工艺(诸如蚀刻工艺)对电介质表面404所造成的损坏。其次,如上参照步骤104所述与如图4B所示,钴层410选择性地被沉积在金属层402的暴露的金属表面412上,而使得电介质层404的电介质表面414与侧壁418不含有或实质上不含有钴形成。钴层410经由钴前驱物气体416与上述的处理状态来沉积。在一些实施方式中,如图4C和4D所示,取决于特征结构406的深度,步骤102-104可被重复以沉积钴层410达预定厚度,例如填满特征结构406而不会在特征结构406中形成孔隙。
图3描绘可用以实施在此所讨论的本公开内容的实施方式的这种示意性设备300的示意图。设备300可以是适合用于执行一或更多个工艺的任何设备,所述工艺例如但不限于诸如化学气相沉积(CVD)、原子层沉积(ALD)、或类似的沉积工艺。在一些实施方式中,处理腔室302可以是如以下所述的独立的(standalone)设备300,或处理腔室302可以是群集工具(诸如可从美国加州圣塔克拉拉市的应用材料公司取得的
Figure BDA0001281024520000091
Figure BDA0001281024520000101
群集工具)的部分。例如,在一些实施方式中,金属层206的暴露的金属表面222与电介质层202的电介质表面208上的污染物的移除以及在步骤102基板对第一工艺气体210的暴露可在单一处理腔室302中被执行,其中该处理腔室302耦接到群集工具,而在步骤104所述的钴的选择性沉积可被执行在耦接到此群集工具的不同的处理腔室302。在一些实施方式中,步骤102和104可被执行在单一处理腔室302中,其中该处理腔室302耦接到群集工具,而金属层206的暴露的金属表面222与电介质层202的电介质表面208上的污染物的移除被执行在耦接到此群集工具的不同的处理腔室。
设备300可包含控制器350与处理腔室302,处理腔室302具有用以从处理腔室302的内部容积305移除过量工艺气体、处理副产物、或类似的排放系统320。示例性处理腔室可包括经构造以用于化学气相沉积(CVD)或原子层沉积(ALD)一些处理腔室的任一者,其可从美国加州圣塔克拉拉市的应用材料公司取得。可类似地使用来自其它制造商的其它适当的处理腔室。
处理腔室302具有内部容积305,内部容积305可包括处理容积304。处理容积304可被界定在例如基板支撑件308与一或更多个气体入口(诸如喷头314和/或被提供在预定位置处的喷嘴)之间,其中该基板支撑件308设置在处理腔室302中而用以在处理期间支撑基板支撑件308上的基板310。在一些实施方式中,基板支撑件308可包括一机构,该机构保持或支撑基板310在基板支撑件308的表面上,诸如静电夹盘、真空夹盘、基板保持夹具、或类似者(未示出)。在一些实施方式中,基板支撑件308可包括用以控制基板温度的机构(诸如加热和/或冷却装置,未示出),和/或用以控制物种通量和/或靠近基板表面的离子能量的机构。
例如,在一些实施方式中,基板支撑件308可包括RF偏压电极340。RF偏压电极340可透过一或更多个各自的匹配网络(所示的匹配网络336)而耦接到一或更多个偏压功率源(所示的一个偏压功率源338)。该一或更多个偏压功率源能在约2MHz至约60MHz的频率下(诸如在约2MHz、或约13.56MHz、或约60MHz下)产生高达1200瓦或RF能量。在一些实施方式中,可提供两个偏压功率源,以在约2MHz与约13.56MHz的各自频率下透过各自的匹配网络将RF功率耦接到RF偏压电极340。该至少一偏压功率源可提供连续式或脉冲式功率。在一些实施方式中,可替代地,偏压功率源可以是DC或脉冲式DC源。
基板310可经由位在处理腔室302的壁中的开口312进入处理腔室302。开口312可经由狭缝阀318或其它机构选择性地被密封,以用于选择性地提供穿过开口312的到腔室内部的进出。基板支撑件308可耦接到升降机构334,升降机构334可控制基板支撑件308的位置于适于传送基板经由开口312进出腔室的较低位置(如图所示)与选择性适于处理的较高位置之间。处理位置可经选择,以最大化特定工艺的处理均匀性。当出于上升处理位置的至少一者时,基板支撑件308可设置在开口312上方,以提供对称的处理区域。
该一或更多个气体入口(例如喷头314)可耦接到气体供应器316,以穿过质流控制器317提供一或更多个工艺气体到处理腔室302的处理容积304内。此外,可提供一或更多个阀319以控制该一或更多个气体的流量。该质流控制器317与该一或更多个阀319可独立地被使用,或被结合使用,或被脉冲化(如上所述),以在恒定流速下提供工艺气体于预定流速。
尽管图3示出喷头314,可提供额外或替代的气体入口,诸如喷嘴或入口,该喷嘴或入口设置在室顶中或在处理腔室302的侧壁上或在适于提供气体到处理腔室302的其它位置(举例而言,处理腔室的底座、基板支撑件的周围、或类似者)处的入口。
设备300可利用电容式耦合RF能量用于等离子体处理。例如,处理腔室302可具有由电介质材料制成的室顶342与至少部分地导电以提供RF电极(或可提供分离的RF电极)的喷头314。喷头314(或其它RF电极)可经由一或更多个各自的匹配网络(所示的匹配网络346)而耦接到一或更多个RF功率源(示出一个RF功率源348)。该一或更多个等离子体功率源在约2MHz与/或约13.56MHz或的频率下或高频(诸如27MHz和/或60MHz)下能产生高达3000瓦的RF能量,或在一些实施方式中是高达约5000瓦的RF能量。排放系统320大体上包括泵送气室324与一或更多个导管,该一或更多个导管将泵送气室324耦接到处理腔室302的内部容积305(与大体上,处理容积304)。
真空泵328可经由泵送口326耦接到泵送气室324,以用于经由一或更多个排放口(图上显示两个排放口322)从处理腔室抽出排放气体。真空泵328可流体地耦接到排放出口332,以将排放物引导至适当的排放处理设备。阀330(诸如闸阀、或类似者)可设置在泵送气室324中,以促进排放气体的流速结合真空泵328的运作的控制。尽管示出一个z-移动闸,可利用任何适当的工艺相容的阀以控制排放物的流量。
为了促进如上所述的处理腔室302的控制,控制器350可以是可用在工业设备以控制各种腔室与次处理器的任何形式的一般用途计算机处理器的其中之一。CPU 352的存储器或计算机可读介质356可以是可轻易获得的存储器的一或多者,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、或任何其它形式的数字储存器,本地的或远程的皆可。支援电路354耦接到CPU 352,而以传统方式来支援处理器。这些电路包括快取(cache)、功率供应器、时脉电路、输入/输出电路与子系统、与类似者。
在此所公开的本发明方法可大体上被储存在存储器356中作为软件程序(software routine)358,而当CPU 352执行软件程式358时,软件程序358会使处理腔室302执行本公开内容的工艺。软件程序358亦可被第二CPU(未示出)所储存和/或执行,该第二CPU经远程定位成远离硬件而受到CPU 352控制。本文的一些或全部的方法亦可被执行在硬件中。因此,本文能以软件来实现,且使用硬件中的计算机系统来执行,例如应用专有集成电路或其它类型的硬件实现,或作为软件与硬件的组合。在基板310定位在基板支撑件308上之后,可执行软件程序358。软件程序358当被CPU 352执行时会将一般用途的计算机转变成控制腔室运作的特定用途的计算机(控制器)350,使得在此所公开的方法被执行。
可使用其它半导体基板处理系统来实施本公开内容,其中本领域技术人员在不偏离本公开内容的精神的情况下可通过利用在此公开的教示来调整处理参数以实现可接受的特性。
尽管前述针对本公开内容的实施方式,但在不偏离本公开内容的基本范围的前提下可设计本公开内容的其它与进一步的实施方式。

Claims (12)

1.一种选择性地沉积钴层的方法,包含以下步骤:
(a)暴露基板于第一工艺气体以钝化暴露的电介质表面,其中所述基板包含电介质层与金属层,所述电介质层具有暴露的电介质表面,所述金属层具有暴露的金属表面,其中所述第一工艺气体包含含硅烷化合物、含乙烯基硅烷化合物、或醇类;
(b)使用热沉积工艺来选择性地沉积钴层于所述暴露的金属表面上;以及
(c)在选择性地沉积所述钴层之后,暴露所述基板于所述第一工艺气体,以减少所述电介质层的介电常数。
2.如权利要求1所述的方法,进一步包含以下步骤:在暴露所述基板于所述第一工艺气体之前或的同时,在200至800摄氏度的温度下暴露所述基板于还原气体,或暴露所述基板于由还原气体形成的等离子体,或暴露所述基板于UV光活化还原气体,以从所述暴露的金属表面及所述暴露的电介质表面移除污染物。
3.如权利要求2所述的方法,其中所述还原气体包含氮、氨、氢、或醇类。
4.如权利要求1所述的方法,其中所述第一工艺气体进一步包含氢气(H2)及惰性气体。
5.如权利要求1所述的方法,其中在25摄氏度至400摄氏度的温度下暴露所述基板于所述第一工艺气体。
6.如权利要求1至5中任一项所述的方法,其中选择性地沉积所述钴层的步骤进一步包含以下步骤:暴露所述基板于钴前驱物气体。
7.如权利要求1至5中任一项所述的方法,其中在200摄氏度至400摄氏度的温度下选择性地沉积所述钴层。
8.如权利要求1至5中任一项所述的方法,其中在所述暴露的金属表面上的钴沉积速率对在所述暴露的电介质表面上的钴沉积速率的比例为200:1至3000:1。
9.如权利要求1至5中任一项所述的方法,其中所述电介质层是具有介电常数在2.2至3的低k电介质层。
10.如权利要求1至5中任一项所述的方法,其中所述金属层是铜、钨、氮化钛、或钴。
11.如权利要求1至5中任一项所述的方法,进一步包含以下步骤:重复步骤(a)-(b),以形成所述钴层达预定厚度。
12.一种计算机可读介质,所述计算机可读介质具有被储存在所述计算机可读介质上的指令,所述指令被执行时会使工艺腔室执行选择性地沉积钴层的方法,所述方法如权利要求1至5中任一项所述。
CN201580058667.XA 2014-11-07 2015-11-05 热形成选择性钴层的方法 Active CN107078036B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462076872P 2014-11-07 2014-11-07
US62/076,872 2014-11-07
US14/931,417 2015-11-03
US14/931,417 US10043709B2 (en) 2014-11-07 2015-11-03 Methods for thermally forming a selective cobalt layer
PCT/US2015/059221 WO2016073707A1 (en) 2014-11-07 2015-11-05 Methods for thermally forming a selective cobalt layer

Publications (2)

Publication Number Publication Date
CN107078036A CN107078036A (zh) 2017-08-18
CN107078036B true CN107078036B (zh) 2021-03-30

Family

ID=55909800

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580058667.XA Active CN107078036B (zh) 2014-11-07 2015-11-05 热形成选择性钴层的方法

Country Status (6)

Country Link
US (1) US10043709B2 (zh)
EP (1) EP3216048A4 (zh)
KR (1) KR102443439B1 (zh)
CN (1) CN107078036B (zh)
TW (1) TWI670387B (zh)
WO (1) WO2016073707A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US10014179B2 (en) 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TWI719269B (zh) * 2016-11-23 2021-02-21 美商應用材料股份有限公司 金屬膜之沉積
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
CN108573942B (zh) 2017-03-09 2021-09-14 联华电子股份有限公司 内连线结构及其制作方法
CN109273373A (zh) * 2017-07-18 2019-01-25 联华电子股份有限公司 电连接电容插塞的硅化钴层的制作方法
JP6955090B2 (ja) * 2017-09-19 2021-10-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 酸化ケイ素上における誘電体の選択的堆積のための方法
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
US10600684B2 (en) 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
US10662526B2 (en) * 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11286556B2 (en) 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096644A (en) * 1998-09-08 2000-08-01 Advanced Micro Devices, Inc. Self-aligned contacts to source/drain silicon electrodes utilizing polysilicon and metal silicides
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP2014101564A (ja) * 2012-11-21 2014-06-05 Ulvac Japan Ltd コバルト膜の形成方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010032498A (ko) 1997-11-26 2001-04-25 조셉 제이. 스위니 손상없는 스컵쳐 코팅 증착
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US20050170650A1 (en) 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
WO2006102180A2 (en) * 2005-03-18 2006-09-28 Applied Materials, Inc. Contact metallization methods and processes
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7846841B2 (en) 2008-09-30 2010-12-07 Tokyo Electron Limited Method for forming cobalt nitride cap layers
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
KR20100110123A (ko) * 2009-04-02 2010-10-12 삼성전자주식회사 반도체 소자의 제조 방법
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
WO2011050073A1 (en) * 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
DE102009046245B4 (de) 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US9926639B2 (en) 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8476162B2 (en) 2010-10-27 2013-07-02 Applied Materials, Inc. Methods of forming layers on substrates
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
JP6030439B2 (ja) * 2012-12-27 2016-11-24 東京エレクトロン株式会社 マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
US9209073B2 (en) * 2013-03-12 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal cap apparatus and method
US9637819B2 (en) 2013-11-18 2017-05-02 Applied Materials, Inc. Methods for preferential growth of cobalt within substrate features
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6096644A (en) * 1998-09-08 2000-08-01 Advanced Micro Devices, Inc. Self-aligned contacts to source/drain silicon electrodes utilizing polysilicon and metal silicides
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP2014101564A (ja) * 2012-11-21 2014-06-05 Ulvac Japan Ltd コバルト膜の形成方法

Also Published As

Publication number Publication date
US20160133563A1 (en) 2016-05-12
US10043709B2 (en) 2018-08-07
KR102443439B1 (ko) 2022-09-14
EP3216048A1 (en) 2017-09-13
WO2016073707A1 (en) 2016-05-12
KR20170081248A (ko) 2017-07-11
TW201619425A (zh) 2016-06-01
EP3216048A4 (en) 2018-07-25
CN107078036A (zh) 2017-08-18
TWI670387B (zh) 2019-09-01

Similar Documents

Publication Publication Date Title
CN107078036B (zh) 热形成选择性钴层的方法
KR101174946B1 (ko) 코발트-함유 물질들을 형성하기 위한 프로세스
JP6146948B2 (ja) 銅表面上への選択的コバルト堆積
KR102542269B1 (ko) 반도체 디바이스들의 금속 상호접속부들을 위한 유전체 배리어들의 선택적 형성
CN107533962B (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
JP4049214B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
US9847289B2 (en) Protective via cap for improved interconnect performance
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
JP2016058727A (ja) 自己整合コンタクト方式のための犠牲プレメタル誘電体
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US10094023B2 (en) Methods and apparatus for chemical vapor deposition of a cobalt layer
US9633861B2 (en) Cu/barrier interface enhancement
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
US20210062330A1 (en) Selective cobalt deposition on copper surfaces
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean
TW202412080A (zh) 積體pvd鎢襯墊及無縫cvd鎢填充
TW201923132A (zh) 用於形成互連結構的蓋頂保護的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant