KR20170081248A - 선택적 코발트 층을 열적으로 형성하기 위한 방법들 - Google Patents

선택적 코발트 층을 열적으로 형성하기 위한 방법들 Download PDF

Info

Publication number
KR20170081248A
KR20170081248A KR1020177015534A KR20177015534A KR20170081248A KR 20170081248 A KR20170081248 A KR 20170081248A KR 1020177015534 A KR1020177015534 A KR 1020177015534A KR 20177015534 A KR20177015534 A KR 20177015534A KR 20170081248 A KR20170081248 A KR 20170081248A
Authority
KR
South Korea
Prior art keywords
cobalt
layer
selectively depositing
substrate
cobalt layer
Prior art date
Application number
KR1020177015534A
Other languages
English (en)
Other versions
KR102443439B1 (ko
Inventor
후아 아이
지앙 루
아브게리노스 브이. 게라토스
폴 에프. 마
상호 유
펭 큐. 리우
싱유 푸
웨이펭 예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170081248A publication Critical patent/KR20170081248A/ko
Application granted granted Critical
Publication of KR102443439B1 publication Critical patent/KR102443439B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02269Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by thermal evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Magnetic Films (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

코발트 층을 선택적으로 증착하기 위한 방법들이 본 명세서에 제공된다. 몇몇 실시예들에서, 코발트 층을 선택적으로 증착하기 위한 방법들은: 노출된 유전체 표면을 패시베이팅하기 위해 기판을 제1 프로세스 가스에 노출시키는 단계 ― 기판은 노출된 유전체 표면을 갖는 유전체 층 및 노출된 금속 표면을 갖는 금속 층을 포함함 ― ; 및 열 증착 프로세스를 사용하여 노출된 금속 표면의 상단에 코발트 층을 선택적으로 증착하는 단계를 포함한다.

Description

선택적 코발트 층을 열적으로 형성하기 위한 방법들{METHODS FOR THERMALLY FORMING A SELECTIVE COBALT LAYER}
본 개시물의 실시예들은 일반적으로 기판 상에 코발트 층을 선택적으로 증착하는 방법들에 관한 것이다.
금속 상호접속부들, 예를 들어 구리 상호접속부들의 형성에서, 구리 상호접속부와 후속하여 형성된 유전체 배리어 층 사이에 코발트 캡핑 층을 증착하는 것은 금속과 유전체 사이의 접착력 및 금속과 유전체 부분들 사이의 계면의 신뢰성을 향상시킨다. 그러나, 발명자들은 통상적인 코발트 증착 프로세스들이 플라즈마 프로세스를 필요로 하는 전구체들을 이용하는 플라즈마 증착 프로세스라는 것을 관찰하였다. 발명자들은 그러한 플라즈마 프로세스가 주위의 유전체 재료들, 예를 들어 저 유전 상수를 갖는 유전체 재료들에 대한 손상을 초래한다는 것을 관찰하였다.
따라서, 발명자들은 기판 상에 코발트 층을 선택적으로 증착하기 위한 향상된 기술법들을 개발하였다.
코발트 층을 선택적으로 증착하기 위한 방법들이 본 명세서에 제공된다. 몇몇 실시예들에서, 코발트 층을 선택적으로 증착하기 위한 방법들은: 노출된 유전체 표면을 패시베이팅하기 위해 기판을 제1 프로세스 가스에 노출시키는 단계 ― 기판은 노출된 유전체 표면을 갖는 유전체 층 및 노출된 금속 표면을 갖는 금속 층을 포함함 ― ; 및 열 증착 프로세스를 사용하여 노출된 금속 표면의 상단에 코발트 층을 선택적으로 증착하는 단계를 포함한다.
몇몇 실시예들에서, 코발트 층을 선택적으로 증착하기 위한 방법들은: 노출된 유전체 표면을 갖는 유전체 층 및 노출된 금속 표면을 갖는 금속 층을 포함하는 기판을, 섭씨 약 200도 내지 약 800도의 온도에서 환원 가스에, 또는 환원 가스로부터 형성된 플라즈마에, 또는 노출된 구리 표면 및 노출된 유전체 표면으로부터 오염 물질들을 제거하기 위하여 UV 광 활성화된(UV light activated) 환원 가스에 노출시키는 단계; 노출된 유전체 표면을 패시베이팅하고 약 1 퍼센트 내지 약 10 퍼센트만큼 유전체 층의 유전 상수를 향상시키기 위하여 기판을 제1 프로세스 가스에 노출시키는 단계; 열 증착 프로세스를 사용하여 금속 표면의 상단에 코발트 층을 선택적으로 증착하는 단계; 및 약 1 퍼센트 내지 약 10 퍼센트만큼 노출된 유전체 층의 유전 상수를 향상시키기 위하여 코발트 층을 선택적으로 증착한 후에, 기판을 제1 프로세스 가스에 노출시키는 단계를 포함한다.
몇몇 실시예들에서, 실행시 프로세스 챔버로 하여금 코발트 층을 선택적으로 증착하기 위한 방법을 수행하게 하는 명령어들을 저장된 컴퓨터 판독가능 매체가 제공된다. 방법은 본 명세서에 개시된 실시예들 중 임의의 것을 포함할 수 있다.
본 개시물의 다른 그리고 추가적 실시예들은 하기에 설명된다.
위에서 간략하게 요약되고 아래에서 더 상세하게 논의되는 본 개시물의 실시예들은 첨부된 도면에 도시된 개시물의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 단지 개시물의 전형적인 실시예들을 예시하는 것이므로, 범위를 제한하는 것으로 간주되지 않는데, 이는 개시물이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 개시물의 몇몇 실시예들에 따른 코발트 층을 선택적으로 증착하기 위한 방법의 흐름도를 도시한다.
도 2a-2e는 본 개시물의 몇몇 실시예들에 따른 코발트 층을 선택적으로 증착하는 스테이지들을 도시한다.
도 3은 본 개시물의 몇몇 실시예들에 따른 코발트 층을 선택적으로 증착하는 방법을 수행하기에 적합한 프로세스 챔버를 도시한다.
도 4a-4d는 본 개시물의 몇몇 실시예들에 따른 코발트 층을 선택적으로 증착하는 것을 도시한다.
이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우에 동일한 참조 번호들이 사용되었다. 도면들은 축척에 따라 도시되지 않으며, 명료성을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 피처들은 추가적 언급 없이 다른 실시예들에 유익하게 포함될 수 있다.
코발트 층을 선택적으로 증착하기 위한 방법들이 본 명세서에 제공된다. 발명의 방법들은 바람직하게는 저 유전 상수를 갖는 주위의 유전체 재료에 대한 손상을 방지하기 위해 열 증착 프로세스를 사용하여 금속 상호접속부, 예를 들어 구리 상호접속부 상에 코발트 캡핑 층의 선택적 증착을 제공한다. 발명의 방법들은 집적 회로 내의 금속 상호접속부들의 형성에, 또는 금속 게이트 또는 금속-콘택 갭 충전 프로세스의 형성에 뿐만 아니라, 선택적으로 증착된 코발트 층들을 이용하는 다른 적절한 애플리케이션들에 이용될 수 있다.
도 1은 본 개시물의 몇몇 실시예들에 따른 코발트 층을 선택적으로 증착하기 위한 방법(100)의 흐름도를 도시한다. 방법(100)은 도 2a-2e에 도시된 바와 같이 코발트 캡핑 층을 선택적으로 증착하는 스테이지들과 관련하여 아래에서 설명되며, 예를 들어 도 3과 관련하여 아래에 설명되는 바와 같은 적절한 반응기에서 수행될 수 있다.
방법(100)은 도 3과 관련하여 아래에 설명되는 바와 같이 기판(200)을 프로세스 챔버에 제공함으로써 시작된다. 기판(200)은 하나 이상의 피처(216)를 갖는 임의의 적절한 기판일 수 있다. 예를 들어, 기판(200)은 실리콘(Si), 실리콘 산화물(SiO2) 등 중 하나 이상을 포함할 수 있다. 또한, 기판(200)은 재료들의 추가적인 층들을 포함할 수 있거나, 또는 하나 이상의 완성된 또는 부분적으로 완성된 구조들을 가질 수 있다.
몇몇 실시예들에서, 도 2a에 도시된 바와 같이, 기판(200)은 기판(200) 상에 배치된 유전체 층(202)을 포함한다. 유전체 층(202)은 유전체 표면(208)에 형성된 하나 이상의 피처(216)를 갖는 유전체 표면(208)을 포함한다. 유전체 층(202)은 실리콘 산화물(SiO2), 실리콘 질화물(SiN), 로우-k 재료 등과 같은 유전체 재료를 함유한다. 몇몇 실시예들에서, 로우-k 재료는 탄소-도핑된 유전체 재료들(예컨대, 탄소-도핑된 실리콘 산화물(SiOC), 캘리포니아 산타 클라라의 어플라이드 머티어리얼스 사로부터 입수가능한 BLACK DIAMOND® 유전체 재료 등), 유기 폴리머(예컨대, 폴리이미드, 파릴렌 등), 유기 도핑된 실리콘 글래스(OSG, organic doped silicon glass), 불소 도핑된 실리콘 글래스(FSG, fluorine doped silicon glass) 등일 수 있다. 본 명세서에서 사용된 바와 같이, 로우-k 재료들은 약 2.2 내지 약 3의, 더욱 구체적으로는 약 2.4 내지 약 2.8의 유전 상수를 갖는 재료들이다. 피처(216)는 유전체 층(202)의 유전체 표면(208)에 형성되고 유전체 표면(208)으로부터 유전체 층(202)의 대향하는 제2 표면을 향해 유전체 층(202) 내로 연장되는 개구(220)를 포함한다. 개구(220)는 비아, 트렌치, 듀얼 다마신 구조 등과 같은 임의의 적절한 개구일 수 있다. 개구(220)는 임의의 적절한 에칭 프로세스를 사용하여 유전체 층을 에칭함으로써 형성될 수 있다.
몇몇 실시예들에서, 배리어 층(204)은 임의의 적절한 증착 프로세스, 예를 들어, 물리 기상 증착 프로세스, 화학 기상 증착 프로세스, 또는 원자 층 증착 프로세스를 사용하여 개구(220) 내에 증착된다. 배리어 층(204)은 유전체 층(202)과 후속하여 개구에 증착될 금속-함유 층 사이의 전기적 및/또는 물리적 배리어로서의 역할을 할 수 있고 그리고/또는 기판의 원래 표면보다 금속-함유 층의 후속 증착 동안 부착을 위한 보다 양호한 표면으로서 기능할 수 있다. 몇몇 실시예들에서, 배리어 층(204)은 예를 들어 약 5 옹스트롬 내지 약 50 옹스트롬의 범위 내에서 배리어 층으로서 기능하기에 적절한 임의의 두께를 가질 수 있다. 배리어 층(204)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 텅스텐, 텅스텐 질화물, 이들의 유도체들, 또는 이들의 조합물들을 함유할 수 있다. 몇몇 실시예들에서, 배리어 층(204)은 탄탈륨/탄탈륨 질화물 이중층 또는 티타늄/티타늄 질화물 이중층을 함유할 수 있다.
몇몇 실시예들에서, 배리어 층(204)의 형성 후에, 개구(220)는 구리, 텅스텐, 티타늄 질화물, 코발트 등과 같은 도전성(즉, 금속) 재료로 채워질 수 있다. 금속 층(206)은 본 기술분야에 공지된 임의의 적절한 금속 증착 프로세스, 예를 들어 물리 기상 증착 프로세스, 화학 기상 증착 프로세스, 전기 화학 도금 프로세스 등을 사용하여 증착될 수 있다. 몇몇 실시예들에서, 유전체 층(202)의 유전체 표면(208)으로부터 과량의 금속 재료 및 배리어 층 재료를 제거하기 위해 화학 기계적 연마 프로세스와 같은 연마 프로세스가 후속하여 수행될 수 있다.
몇몇 실시예들에서, 연마 프로세스는 금속 층(206)의 노출된 금속 표면(222) 및 유전체 층(202)의 유전체 표면(208) 상에 오염 물질들의 형성을 초래할 수 있다. 예를 들어, 금속 층(206)이 구리인 경우, 오염 물질들은 대개 연마 프로세스 동안 또는 후에 형성된 구리 산화물들을 함유한다. 금속 층(206)의 노출된 금속 표면들(222)은 연마 용액 내의 과산화물, 물 또는 다른 시약들에 의해, 또는 대기(ambient air) 내의 산소에 의해 산화될 수 있다. 오염 물질들은 또한 습기, 계면 활성제들 및 기타 첨가제들을 포함한 연마 용액 잔유물들, 또는 연마되버린(polished away) 재료들의 입자들을 포함할 수 있다. 오염 물질들이 환원 가스에 노출되는 세정 프로세스에 기판을 노출시킴으로써 오염 물질들이 제거될 수 있다. 몇몇 실시예들에서, 기판은 102 이하에서 제1 프로세스 가스(210)에 기판을 노출시키기 이전에 또는 그와 동시에 환원 가스에 노출될 수 있다. 몇몇 실시예들에서, 환원 가스는 수소(예를 들어, H2 또는 원자-H), 암모니아(NH3), 수소 및 암모니아 혼합물(H2/NH3), 원자-N, 히드라진(N2H4), 알콜(예를 들어, 메탄올, 에탄올, 또는 프로판올), 이들의 유도체들, 이들의 플라스마들, 또는 이들의 조합물들을 포함한다. 몇몇 실시예들에서, 기판(200)은 열 프로세스에서 또는 플라즈마 프로세스에서 환원 가스에 노출될 수 있다. 예를 들어, 열 프로세스에서, 기판(200)은 환원 가스에 노출되어 섭씨 약 200도 내지 약 800도의 온도로 약 2분 내지 약 20분 동안 가열될 수 있다. 예를 들어, 플라즈마 프로세스에서, 기판(200)은 환원 가스에 노출되어 섭씨 약 100도 내지 약 400도의 온도로 가열될 수 있다. 기판은 약 2초 내지 약 60초 동안 약 200와트 내지 약 1000와트의 전력에서 생성된 플라즈마에 노출될 수 있다. 몇몇 실시예들에서, 기판은 또한 환원 가스를 활성화시키기 위하여 환원 가스에 그리고 동시에 UV 광원에 노출된다. UV 광원은 환원 가스를 활성화시키기에 충분한 임의의 파장일 수 있다. 몇몇 실시예들에서, UV 광원은 약 100 nm 내지 약 400 nm의, 더욱 구체적으로는 약 180 nm 내지 약 200 nm의 파장을 가질 수 있다.
102에서, 그리고 도 2b에 도시된 바와 같이, 기판(200)은 제1 프로세스 가스(210)에 노출된다. 제1 프로세스 가스(210)는 유전체 층(202)의 유전체 표면(208)을 패시베이팅하여, 하기의 104에 설명된 바와 같은 코발트의 후속 선택적 증착을 허용한다. 발명자들은 또한 기판을 제1 프로세스 가스(210)에 노출시키는 것이 또한 유익하게는 연마 또는 세정과 같은 상기 논의된 이전의 프로세스들에 의해 야기된 유전체 층(202)의 로우-k 재료에 대한 손상(즉, 유전 상수의 증가)을 보수(repair)한다는 것을 관찰하였다. 구체적으로, 발명자들은 기판(200)을 제1 프로세스 가스(210)에 노출시키는 것이 손상된 유전체 층(202)의 유전 상수를 약 1% 내지 약 10%만큼 향상(즉, 감소)시킨다는 것을 관찰하였다. 몇몇 실시예들에서, 제1 프로세스 가스는 비스(디메틸아미노)디메틸실란, 디메틸아미노트리메틸실란, 1-(트리메틸실릴)-1H-피롤, 클로로트리메틸실란, 또는 이들의 조합물과 같은 실란 함유 화합물을 포함할 수 있다. 몇몇 실시예들에서, 제1 프로세스 가스(210)는 에탄올, 프로판올, 부탄올 등과 같은 알콜들을 포함할 수 있다. 몇몇 실시예들에서, 제1 프로세스 가스는 비닐 실란 함유 화합물을 포함할 수 있다. 예시적인 비닐 실란 함유 화합물들은 다음의 식의 것일 수 있다:
Figure pct00001
R1, R2 및 R3는 각각 수소(H), 알킬기(alkyl group)들(예를 들어, 메틸, 에틸, 프로필, 부틸 등), 알콕시기들(예를 들어, 메톡시, 에톡시, 프로폭시 등), 염소, 및 비닐기들로부터 개별적으로 선택된다. 다른 치환된 비닐 실란들은 또한 본 명세서에 설명된 구현예들에 포함된다. 예시적인 비닐 실란들은 비닐 실란, 트리메틸비닐실란(TMVS), 비닐트리클로로실란, 비닐트리메톡시실란, 비닐트리에톡시실란, 비닐트리스(2-메톡시에톡시)실란, 비닐트리스이소프로폭시실란, 비닐트리스(터트-부틸퍼옥시)실란, 비닐디메틸클로로실란, 비닐디메틸에톡시실란, 비닐메틸디클로로실란, 비닐메틸디메톡시실란, 비닐메틸디에톡시실란, 메틸비닐디(n-메틸아세틸아미도)실란, 메틸비닐디(5-카프로락탐)실란, 비스(메틸디클로로실릴)에탄에틸비닐디(5-카프로락탐)실란, 비스(메틸디클로로실릴)에탄 및 이들의 조합물들을 포함한다. 일 구현예에서, 비닐 실란 함유 화합물은 트리메틸비닐실란(TMVS)이다.
몇몇 실시예들에서, 기판(200)은 섭씨 약 25도 내지 약 400도의 온도에서 제1 프로세스 가스(210)에 노출된다. 예를 들어, 몇몇 실시예들에서, 아래에 논의되는 바와 같이, 방법(100)이 단일 장치에서 수행되는 경우, 기판은 섭씨 약 250도 내지 약 350도의 온도에서 제1 프로세스 가스(210)에 노출된다. 몇몇 실시예들에서, 102는 하나의 장치에서 수행되고, 104는 하기에 설명되는 바와 같이 제2 장치에서 수행되는 경우, 기판(200)은 섭씨 약 25도 내지 약 400도의 온도에서 제1 프로세스 가스(210)에 노출된다. 몇몇 실시예들에서, 기판은 약 10초 내지 약 300초 동안, 예를 들어 약 10초 내지 약 60초 동안 제1 프로세스 가스(210)에 노출된다. 몇몇 실시예들에서, 프로세스 챔버에 대한 제1 프로세스 가스(210)의 유량은 약 10 sccm 내지 약 1000 sccm, 예를 들어 약 50 sccm 내지 약 400 sccm이다. 몇몇 실시예들에서, 기판은 약 1 내지 약 100 Torr의 장치 압력에서 제1 프로세스 가스(210)에 노출된다. 몇몇 실시예들에서, 제1 프로세스 가스(210)는 수소 가스(H2) 및 아르곤, 헬륨, 크립톤 등과 같은 불활성 가스를 더 포함한다.
104에서, 도 2c에 도시된 바와 같이, 코발트 층(214)은 금속 층(206)의 노출된 금속 표면(222)의 상단에 선택적으로 증착되는 한편, 유전체 층(202)의 유전체 표면(208)에는 코발트가 형성되지 않거나 실질적으로 형성되지 않게 한다. 코발트 층(214)은 기판(200)을 코발트 전구체 가스(212)에 노출시킴으로써 증착된다. 코발트 층(214)은 적절한 열 증착 프로세스, 예를 들어 열 화학 기상 증착 프로세스 또는 열 원자 층 증착 프로세스를 통해 프로세스 챔버(302)에서 코발트 전구체 가스(212)의 열 증착에 의해 형성된다. 몇몇 실시예들에서, 기판은 열 증착에 적합한 온도에서, 예를 들어 섭씨 약 200도 내지 약 400도의 온도에서 코발트 전구체 가스(212)에 노출된다. 몇몇 실시예들에서, 코발트 전구체 가스(212)는 캐리어 가스, 예를 들어 아르곤, 헬륨, 질소 등과 같은 불활성 가스와 함께 하기 설명되는 바와 같이 프로세스 챔버(302)에 제공될 수 있다. 몇몇 실시예들에서, 코발트 재료를 형성하는데 유용한 프로세스 챔버(302)에 제공될 수 있는 적절한 반응 가스들은 수소, 암모니아, 질소, 아르곤 및 이들의 조합물들을 포함한다.
코발트 전구체 가스(212)는 열 증착에 적합한 가스이다. 예를 들어, 몇몇 실시예들에서, 적합한 코발트 전구체 가스들은 코발트 카르보닐 착물들, 코발트 디에닐 착물들, 코발트 니트로실 착물들, 이의 유도체들, 이들의 착물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다. 몇몇 실시예들에서, 코발트 카르보닐 화합물들 또는 착물들은 코발트 전구체들로서 이용될 수 있다. 코발트 카르보닐 화합물들 또는 착물들은 일반 화학식 (CO)xCoyLz를 가지며, 여기서 X는 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11 또는 12일 수 있고, Y는 1,2, 3, 4 또는 5일 수 있고, Z는 1, 2, 3, 4, 5, 6, 7 또는 8일 수 있다. L기는 없거나, 하나의 리간드이거나, 또는 동일한 리간드이거나 상이한 리간드들일 수 있는 다수의 리간드들이고, 시클로펜타디에닐, 알킬시클로펜타디에닐(예를 들어, 메틸시클로펜타디에닐 또는 펜타메틸시클로펜타디에닐), 펜타디에닐, 알킬펜타디에닐, 시클로부타디에닐, 부타디에닐, 에틸렌, 알릴(또는 프로필렌), 알켄들, 디알켄들, 알킨들, 아세틸렌, 비틸아세틸렌, 니트로실, 암모니아, 이들의 유도체들, 이들의 착물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다. 몇몇 예시적인 코발트 카르보닐 착물들은 시클로펜타디에닐 코발트 비스(카르보닐)(CpCo(CO)2), 트리카르보닐 알릴 코발트((CO)3Co(CH2CH=CH2)), 디코발트 헥사카르보닐 비틸아세틸렌(CCTBA, (CO)6Co2(HC≡CtBu)), 디코발트 헥사카르보닐 메틸비틸아세틸렌((CO)6Co2(MeC≡CtBu)), 디코발트 헥사카르보닐 페닐아세틸렌((CO)6Co2(HC≡CPh)), 헥사카르보닐 메틸페닐아세틸렌((CO)6Co2(MeC≡CPh)), 디코발트 헥사카르보닐 메틸아세틸렌((CO)6Co2(HC≡CMe)), 디코발트 헥사카르보닐 디메틸아세틸렌((CO)6Co2(MeC≡CMe)), 이들의 유도체들, 이들의 착물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다.
몇몇 실시예들에서, 코발트 아미도 착물들은 코발트 전구체들로서 이용될 수 있다. 코발트 아미도 착물들은 일반 화학식 (RR'N)xCo를 가지며, 여기서 X는 1, 2, 또는 3일 수 있고, R 및 R'는 독립적으로 수소, 메틸, 에틸, 프로필, 부틸, 알킬, 실릴, 알킬실릴, 그 유도체들, 또는 그 조합물들이다. 몇몇 예시적인 코발트 아미도 착물들은 비스(디(부틸디메틸실릴)아미도) 코발트(((BuMe2Si)2N)2Co), 비스(디(에틸디메틸실릴)아미도) 코발트(((EtMe2Si)2N)2Co), 비스(디(프로필디메틸실릴)아미도) 코발트(((PrMe2Si)2N)2Co), 비스(디(트리메틸실릴)아미도) 코발트(((Me3Si)2N)2Co), 트리스(디(트리메틸실릴)아미도) 코발트(((Me3Si)2N)3Co), 이들의 유도체들, 이들의 착물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다.
몇몇 실시예들에서, 코발트 전구체 가스(212)는 코발트 아미디네이트 착물이다. 코발트 아미디네이트 착물들은 다음의 식을 갖는다:
Figure pct00002
적절한 코발트 아미디네이트들의 예들은 코발트 비스(N, N'-디-t-부틸아세트아미디네이트), 코발트 비스(N, N'-디이소프로필아세트아미디네이트), 코발트 비스(N-t-부틸-N'-에틸아세트아미디네이트), 코발트 비스(N-N'-디-t-부틸-프로파노아미디네이트), 및 코발트 비스(N-t-부틸-N'-에틸부타노아미디네이트)를 포함한다.
몇몇 실시예들에서, 코발트 전구체 가스(212)는 비스(1,4-디-터트-부틸-1,3-디아자부타디에닐)코발트(II) 군의 화학물질(chemical)들이다. 비스(1,4-디-터트-부틸-1,3-디아자부타디에닐)코발트(II) 착물들은 다음의 식을 갖는다:
Figure pct00003
여기서 R1은 t-부틸, 이소프로필, 또는 이소부틸이고; R2는 t-부틸, 또는 이소프로필이고; R3는 수소, 메틸, 또는 에틸이고; R4는 수소, 메틸, 또는 에틸이다.
몇몇 예시적인 코발트 전구체들은 메틸시클로펜타디에닐 코발트 비스(카르보닐)(MeCpCo(CO)2), 에틸시클로펜타디에닐 코발트 비스(카르보닐)(EtCpCo(CO)2), 펜타메틸시클로펜타디에닐 코발트 비스(카르보닐)(Me5CpCo(CO)2), 디코발트 옥타(카르보닐)(Co2(CO)8), 니트로실 코발트 트리스(카르보닐)((ON)Co(CO)3), 비스(시클로펜타디에닐) 코발트, (시클로펜타디에닐) 코발트 (시클로헥사디에닐), 시클로펜타디에닐 코발트 (1,3-헥사디에닐), (시클로부타디에닐) 코발트 (시클로펜타디에닐), 비스(메틸시클로펜타디에닐) 코발트, (시클로펜타디에닐) 코발트 (5-메틸시클로펜타디에닐), 비스(에틸렌) 코발트 (펜타메틸시클로펜타디에닐), 코발트 테트라카르보닐 아이오다이드, 코발트 테트라카르보닐 트리클로로실란, 카르보닐 클로라이드 트리스(트리메틸포스핀) 코발트, 코발트 트리카르보닐-하이드로트리부틸포스핀, 아세틸렌 디코발트 헥사카르보닐, 아세틸렌 디코발트 펜타카르보닐 트리에틸포스핀, 이들의 유도체들, 이들의 착물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다.
몇몇 실시예들에서, 노출된 금속 표면(222)상의 코발트 증착 레이트 대 노출된 유전체 표면(208)상의 코발트 증착 레이트의 비율은 약 200 : 1 내지 약 3000 : 1이다. 몇몇 실시예들에서, 코발트 층(214)의 두께는 약 10 옹스트롬 내지 약 40 옹스트롬이다. 몇몇 실시예들에서, 불활성 가스, 예를 들어, 아르곤, 헬륨, 크립톤 등이 코발트 전구체 가스와 함께 프로세스 챔버에 공급된다.
발명자들은 플라즈마 증착 프로세스를 사용하여 코발트 층을 선택적으로 증착하는 것이 유전체 층의 로우-k 재료에 대한 손상을 초래한다는 것을 관찰하였다. 결과적으로, 본 명세서에 설명된 방법(100)은 바람직하게 열 증착 프로세스를 사용하여 유전체 층(202)을 제1 프로세스 가스(210)로 처리하고, 열 증착 프로세스만을 사용하여 코발트 층(214)을 선택적으로 증착할 수 있다.
선택적으로, 도 2d에 도시된 바와 같이, 상기 논의된 이전의 프로세스들 또는 코발트 층(214)을 형성한 후에 수행되는 임의의 부가적인 프로세스들에 의해 야기된 유전체 층(202)의 로우-k 재료에 대한 손상(즉, 유전 상수의 증가)을 추가로 보수하기 위해, 상기 설명된 바와 같이 기판(200)이 제1 프로세스 가스(210)에 다시 노출될 수 있다. 구체적으로, 발명자들은 기판(200)을 제1 프로세스 가스(210)에 다시 노출시키는 것이 손상된 유전체 층(202)의 유전 상수를 약 1% 내지 약 10%만큼 추가로 향상(즉, 감소)시킨다는 것을 관찰하였다. 몇몇 실시예들에서, 기판은 102에서 상기 논의된 프로세스 조건들에서 또는 상이한 프로세스 조건들에서 제1 프로세스 가스(210)에 다시 노출될 수 있다. 예를 들어, 몇몇 실시예들에서, 기판은 약 10초 내지 약 300초 동안, 예를 들어 약 60초 내지 약 300초 동안 제1 프로세스 가스(210)에 다시 노출된다. 몇몇 실시예들에서, 프로세스 챔버에 대한 제1 프로세스 가스(210)의 유량은 약 10 sccm 내지 약 1000 sccm, 예를 들어 약 50 sccm 내지 약 1000 sccm이다.
코발트 층의 선택적 증착, 또는 선택적으로 제1 프로세스 가스(210)로의 추가 노출 후에, 방법(100)은 일반적으로 종료되며, 기판(200)은 추가 프로세싱을 진행할 수 있다. 몇몇 실시예들에서, 완성된 디바이스를 제조하기 위해 증착, 에칭, 어닐링 등과 같은 후속 프로세스들이 수행될 수 있다.
몇몇 실시예들에서, 도 2e에 도시된 바와 같이, 예를 들어 상기 설명된 바와 같은 로우-k 유전체 재료의 유전체 배리어 층(224)은 코발트 층(214) 및 유전체 층 (202)의 유전체 표면(208) 위에 증착될 수 있다.
몇몇 실시예들에서, 형성된 디바이스의 구조에 따라, 102-104가 반복되어 코발트 층을 미리 결정된 두께로 증착할 수 있다. 예를 들어, 도 4a는 기판(400) 상에 배치된 금속 층(402)을 갖는, 상기 설명된 기판(200)과 유사한 기판(400)을 도시한다. 금속 층(402)은 구리, 텅스텐, 티타늄 질화물, 코발트 등일 수 있다. 하나 이상의 유전체 층들(404)은 금속 층(402)의 상단에 배치될 수 있다. 유전체 층(404)은 상기 설명된 바와 같이 로우-k 재료일 수 있다.
몇몇 실시예들에서, 금속 층(402)의 표면에 유전체 층(404) 내로 피처(406)를 에칭하기 위해 패터닝된 마스크 층(미도시)이 유전체 층(404)의 상단에 형성될 수 있다. 패터닝된 마스크 층은 하드 마스크 또는 포토레지스트 층과 같은 임의의 적합한 마스크 층일 수 있다. 패터닝된 마스크 층은 아래 놓인 유전체 층(404)에 패턴을 정의하기 위한 적절한 템플릿을 제공할 수 있는 패터닝된 마스크 층을 형성하기에 적합한 임의의 프로세스에 의해 형성될 수 있다. 예를 들어, 몇몇 실시예들에서, 패터닝된 마스크 층은 패터닝된 에칭 프로세스를 통해 형성될 수 있다.
몇몇 실시예들에서, 피처(406)는 트렌치 또는 비아일 수 있다. 피쳐(406)는 수직한 또는 실질적으로 수직한 측벽들을 갖는 피처(406)를 형성하기 위해 유전체 재료를 에칭하기에 적합한 임의의 에칭 프로세스를 통해 에칭될 수 있다. 예를 들어, 기판(400)은 할로겐 함유 가스, 예를 들어 불소 함유 가스를 사용하여 형성된 에칭 플라즈마에 노출될 수 있다.
102에 관하여 상기 설명되고 도 4a에 도시된 바와 같이, 기판(400)은 노출된 유전체 표면(414) 및 측벽들(418)을 패시베이팅하여 유전체 층(404) 상의 코발트 형성을 방지하고 에칭 프로세스와 같은 이전 프로세스들로부터의 유전체 층(404)에 대한 손상을 수리하기 위해 제1 프로세스 가스(408)에 노출될 수 있다. 다음으로, 104에 관하여 상기 설명되고 도 4b에 도시된 바와 같이, 코발트 층(410)은 금속 층(402)의 노출된 금속 표면(412)의 상단에 선택적으로 증착되는 한편, 유전체 층(404)의 유전체 표면(414) 및 측벽들(418)에는 코발트이 형성되지 않거나 실질적으로 형성되지 않게 한다. 코발트 층(410)은 코발트 전구체 가스들(416) 및 상기 설명된 프로세스 조건들을 통해 증착된다. 몇몇 실시예들에서, 도 4c 및 도 4d에 도시된 바와 같이, 피처들(406)의 깊이에 따라, 102-104는 코발트 층(410)을 미리 결정된 두께로 증착하기 위해, 예를 들어 피처(406) 내에 보이드를 형성하지 않고 피처(406)를 채우기 위해 반복될 수 있다.
도 3은 본 명세서에서 논의된 바와 같은 개시물의 실시예들을 실행하는데 사용될 수 있는 종류의 예시적인 장치(300)의 개략도를 도시한다. 장치(300)는 하나 이상의 기판 프로세스들, 예를 들어 화학 기상 증착(CVD), 원자 층 증착(ALD) 등(그러나 이에 제한되는 것은 아님)을 수행하기에 적합한 임의의 장치일 수 있다. 몇몇 실시예들에서, 프로세스 챔버(302)는 아래에 도시된 바와 같이 독립형 장치(300)일 수 있거나, 또는 프로세스 챔버 (302)는 캘리포니아주 산타 클라라의 어플라이드 머 티어리얼스 사로부터 입수가능한 CENTURA®, PRODUCER® 또는 ENDURA® 클러스터 툴들 중 하나와 같은 클러스터 툴의 일부일 수 있다. 예를 들어, 몇몇 실시예들에서, 102에서 금속 층(206)의 노출된 금속 표면(222) 및 유전체 층(202)의 유전체 표면(208) 상의 오염 물질들의 제거 및 제1 프로세스 가스(210)로의 기판(200)의 노출은 클러스터 툴에 결합된 단일 프로세싱 챔버(302)에서 수행될 수 있는 한편, 104에서 설명된 바와 같은 코발트의 선택적 증착은 클러스터 툴에 결합된 상이한 프로세스 챔버(302)에서 수행된다. 몇몇 실시예들에서, 102 및 104는 클러스터 툴에 결합된 단일 프로세스 챔버(302)에서 수행될 수 있는 반면, 금속 층(206)의 노출된 금속 표면(222) 및 유전체 층(202)의 유전체 표면(208) 상의 오염 물질들의 제거는 클러스터 툴에 결합된 상이한 프로세스 챔버에서 수행된다.
장치(300)는 프로세스 챔버(302)의 내부 용적(305)으로부터 초과 프로세스 가스들, 프로세싱 부산물들 등을 제거하기 위한 배기 시스템(320)을 갖는 프로세스 챔버(302) 및 제어기(350) 포함할 수 있다. 예시적인 프로세스 챔버는 캘리포니아주 산타 클라라의 어플라이드 머티리얼스 사로부터 입수가능한 화학 기상 증착(CVD) 또는 원자 층 증착(ALD)을 위해 구성된 수개의 프로세스 챔버들 중 임의의 것을 포함할 수 있다. 다른 제조사들로부터의 다른 적합한 프로세스 챔버들이 유사하게 사용될 수 있다.
프로세스 챔버(302)는 프로세싱 용적(304)을 포함할 수 있는 내부 용적(305)을 갖는다. 프로세싱 용적(304)은, 예를 들어, 프로세싱 동안 상부에 기판(310)을 지지하기 위하여 프로세스 챔버(302) 내에 배치된 기판 지지부(308) 및 미리 결정된 위치들에 제공된 샤워 헤드(314) 및/또는 노즐들과 같은 하나 이상의 가스 유입구들 사이에 형성될 수 있다. 몇몇 실시예들에서, 기판 지지부(308)는 정전 척, 진공 척, 기판 유지 클램프(substrate retaining clamp) 등(미도시)과 같은 기판 지지부(308)의 표면 상에 기판(310)을 유지 또는 지지하는 메커니즘을 포함할 수 있다. 몇몇 실시예들에서, 기판 지지부(308)는(미도시된 가열 및/또는 냉각 디바이스들과 같은) 기판 온도를 제어하기 위한 및/또는 기판 표면 근방의 종 플럭스(species flux) 및/또는 이온 에너지를 제어하기 위한 메커니즘들을 포함할 수 있다.
예를 들어, 몇몇 실시예들에서, 기판 지지부(308)는 RF 바이어스 전극(340)을 포함할 수 있다. RF 바이어스 전극은(340)은 하나 이상의 각각의 매칭 네트워크들(매칭 네트워크(336)가 도시됨)을 통해 하나 이상의 바이어스 전원들(하나의 바이어스 전원(338)이 도시됨)에 결합될 수 있다. 하나 이상의 바이어스 전원들은 약 2MHz 내지 약 60MHz, 예컨대 약 2MHz, 또는 약 13.56MHz, 또는 약 60MHz의 주파수에서 최대 1200W 또는 RF 에너지를 생성할 수 있다. 몇몇 실시예들에서, 약 2MHz 및 약 13.56MHz의 각각의 주파수들에서 각각의 매칭 네트워크들을 통해 RF 바이어스 전극(340)에 RF 전력을 결합시키기 위해 2개의 바이어스 전원들이 제공될 수 있다. 적어도 하나의 바이어스 전원은 연속형(continuous) 또는 펄스형(pulsed) 전력을 제공할 수 있다. 몇몇 실시예들에서, 바이어스 전원은 대안적으로 DC 또는 펄스형 DC 소스일 수 있다.
기판(310)은 프로세스 챔버(302)의 벽에 있는 개구(312)를 통해 프로세스 챔버(302)에 진입할 수 있다. 개구(312)는 슬릿 밸브(318), 또는 개구(312)를 통해 챔버의 내부로의 액세스를 선택적으로 제공하기 위한 다른 메커니즘을 통해 선택적으로 밀봉될(sealed) 수 있다. 기판 지지부(308)는 개구(312)를 통해 챔버 안팎으로 기판을 이송하기에 적합한 하부 위치(도시된 바와 같이)와 프로세싱에 적절한 선택가능한 상부 위치 사이에서 기판 지지부(308)의 위치를 제어할 수 있는 리프트 메커니즘(334)에 결합될 수 있다. 프로세스 위치는 특정 프로세스에 대한 프로세스 균일성을 최대화하도록 선택될 수 있다. 상승된 프로세싱 위치들 중 적어도 하나에 있을 때, 기판 지지부(308)는 대칭적인 프로세싱 영역을 제공하기 위해 개구(312) 위에 배치될 수 있다.
하나 이상의 가스 유입구들(예를 들어, 샤워헤드(314))은 하나 이상의 프로세스 가스들을 질량 흐름 제어기(317)를 통해 프로세스 챔버(302)의 프로세싱 용적(304) 내로 제공하기 위한 가스 공급부(316)에 결합될 수 있다. 또한, 하나 이상의 밸브들(319)이 하나 이상의 프로세스 가스들의 흐름을 제어하도록 제공될 수 있다. 질량 흐름 제어기(317) 및 하나 이상의 밸브들(319)은 미리 결정된 유량들로, 일정한 유량으로, 또는 펄스형으로(상기 설명된 바와 같이) 프로세스 가스들을 제공하기 위해 개별적으로 또는 함께 사용될 수 있다.
샤워 헤드(314)가 도 3에 도시되나, 프로세스 챔버(302)의 천장부(ceiling) 또는 측벽들에, 또는 프로세스 챔버의 기저부(base), 기판 지지부의 주연부(periphery) 등과 같은 프로세스 챔버(302)에 가스들을 제공하기에 적절한 다른 위치들에 배치된 노즐들 또는 유입구들과 같은 부가적인 또는 대안적인 가스 유입구들이 제공 될 수 있다.
장치(300)는 플라즈마 프로세싱을 위해 용량성 결합된 RF 에너지를 이용할 수 있다. 예를 들어, 프로세스 챔버(302)는 유전체 재료들로 만들어진 천장부(342) 및 RF 전극을 제공하기 위해 적어도 부분적으로 도전성인(또는 별개의 RF 전극이 제공 될 수 있음) 샤워헤드(314)를 가질 수 있다. 샤워헤드(314)(또는 다른 RF 전극)는 하나 이상의 각각의 매칭 네트워크들(매칭 네트워크(346)가 도시됨)을 통해 하나 이상의 RF 전원들(하나의 RF 전원(348)이 도시됨)에 결합될 수 있다. 하나 이상의 플라즈마 소스들은 27 MHz 및/또는 60 Mhz와 같은 고주파수 또는 약 2MHz 및/또는 약 13.56MHz의 주파수에서 최대 약 3,000W, 또는 몇몇 실시예들에서 최대 약 5,000W의 RF 에너지를 생성하는 것이 가능할 수 있다. 배기 시스템(320)은 일반적으로 펌핑 플리넘(plenum)(324), 및 펌핑 플리넘(324)을 프로세스 챔버(302)의 내부 용적(305)(및 일반적으로 프로세싱 용적(304))에 결합하는 하나 이상의 도관들을 포함한다.
진공 펌프(328)는 하나 이상의 배기 포트들(2 개의 배기 포트들(322)이 도시됨)을 통해 프로세스 챔버로부터 배기 가스들을 펌핑하기 위한 펌핑 포트(326)를 통해 펌핑 플리넘(324)에 결합될 수 있다. 진공 펌프(328)는 적절한 배기 처리 장비로 배기를 라우팅하기 위해 배기 유출구(332)에 유체 결합될 수 있다. 진공 펌프(328)의 작동과 조합하여 배기 가스들의 유량의 제어를 용이하게 하기 위해 밸브(330)(예컨대, 게이트 밸브 등)가 펌핑 플리넘(324)에 배치될 수 있다. z-이동 게이트 밸브가 도시되어 있지만, 배기의 흐름을 제어하기 위한 임의의 적합한 프로세스 호환 밸브가 이용될 수 있다.
상기 설명된 바와 같이 프로세스 챔버(302)의 제어를 용이하게 하기 위해, 제어기(350)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업 환경에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. CPU(352)의 메모리 또는 컴퓨터 판독가능 매체(356)는 랜덤 액세스 메모리(RAM, random access memory), 판독 전용 메모리(ROM, read only memory), 플로피 디스크, 하드 디스크 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장소와 같은 용이하게 이용가능한 메모리 중 하나 이상일 수 있다. 지원 회로들(354)은 종래의 방식으로 프로세서를 지원하기 위해 CPU(352)에 결합된다. 이들 회로들은 캐시, 파워 서플라이들, 클럭 회로들, 입력/출력 회로부 및 서브시스템들 등을 포함한다.
본 명세서에 개시된 발명의 방법들은 일반적으로 CPU(352)에 의해 실행될 때 프로세스 챔버(302)로 하여금 본 개시물의 프로세스들을 수행하게 하는 소프트웨어 루틴(358)으로서 메모리(356)에 저장될 수 있다. 소프트웨어 루틴(358)은 또한 CPU(352)에 의해 제어되는 하드웨어로부터 원격으로 위치된 제2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다. 본 개시물의 방법의 일부 또는 전부는 또한 하드웨어로 수행될 수 있다. 이로써, 개시물은 소프트웨어로 구현되고, 컴퓨터 시스템을 사용하여 하드웨어로, 예를 들어 애플리케이션 집적 회로 또는 다른 타입의 하드웨어 구현예로서, 또는 소프트웨어와 하드웨어의 조합으로서 실행될 수 있다. 소프트웨어 루틴(358)은 기판(310)이 기판 지지부(308) 상에 위치설정된 후에 실행될 수 있다. 소프트웨어 루틴(358)은 CPU(352)에 의해 실행될 때, 범용 컴퓨터를 본 명세서에 개시된 방법들이 수행되도록 챔버 작동을 제어하는 특수 목적 컴퓨터(제어기)(350)로 변형시킨다.
개시물은 다른 반도체 기판 프로세싱 시스템들을 사용하여 실시될 수 있으며, 여기서 프로세싱 파라미터들은 개시물의 사상을 벗어나지 않고 본 명세서에 개시된 교시들을 이용함으로써 본 기술분야의 당업자들에 의해 허용가능한 특징들을 달성하도록 조정될 수 있다.
전술한 내용은 본 개시물의 실시예들에 관한 것이지만, 개시물의 다른 및 추가 실시예들은 개시물의 기본 범위를 벗어나지 않고 고안될 수 있다.

Claims (15)

  1. 코발트 층을 선택적으로 증착하는 방법으로서,
    (a) 노출된 유전체 표면을 패시베이팅하기 위해 기판을 제1 프로세스 가스에 노출시키는 단계 ― 상기 기판은 노출된 유전체 표면을 갖는 유전체 층 및 노출된 금속 표면을 갖는 금속 층을 포함함 ― ; 및
    (b) 열 증착 프로세스를 사용하여 상기 노출된 금속 표면의 상단에 코발트 층을 선택적으로 증착하는 단계
    를 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 기판을 제1 프로세스 가스에 노출시키는 단계 이전에 또는 동시에,
    섭씨 약 200도 내지 약 800도의 온도에서 상기 기판을 환원 가스에 노출시키는 단계,
    상기 기판을 환원 가스로부터 형성된 플라즈마에 노출시키는 단계, 또는
    상기 노출된 금속 표면 및 노출된 유전체 표면으로부터 오염 물질들을 제거하기 위하여, 상기 기판을 UV 광 활성화된(UV light activated) 환원 가스에 노출시키는 단계
    를 더 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  3. 제 2 항에 있어서,
    상기 환원 가스는 질소, 암모니아, 수소, 또는 알콜을 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 제1 프로세스 가스는 실란 함유 화합물, 비닐 실란 함유 화합물, 또는 알콜을 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 제1 프로세스 가스는 수소 가스(H2) 및 불활성 가스를 더 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 기판은 섭씨 약 25도 내지 섭씨 약 400도의 온도에서 상기 제1 프로세스 가스에 노출되는,
    코발트 층을 선택적으로 증착하는 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 기판을 제1 프로세스 가스에 노출시키는 단계는, 상기 제1 유전체 층의 유전 상수를 향상시키는 단계를 더 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 코발트 층을 선택적으로 증착하는 단계는, 상기 기판을 코발트 전구체 가스에 노출시키는 단계를 더 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  9. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 코발트 층은 섭씨 약 200도 내지 섭씨 약 400도의 온도에서 선택적으로 증착되는,
    코발트 층을 선택적으로 증착하는 방법.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 노출된 금속 표면 상의 코발트 증착 레이트 대 상기 노출된 유전체 표면 상의 코발트 증착 레이트의 비율은 약 200:1 내지 약 3000:1인,
    코발트 층을 선택적으로 증착하는 방법.
  11. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 유전체 층의 유전 상수를 향상시키기 위하여, 상기 코발트 층을 선택적으로 증착하는 단계 이후에, 상기 기판을 상기 제1 프로세스 가스에 노출시키는 단계를 더 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 유전체 층은 약 2.2 내지 약 3의 유전 상수를 갖는 로우-k(low-k) 유전체 층인,
    코발트 층을 선택적으로 증착하는 방법.
  13. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 금속 층은 구리, 텅스텐, 티타늄 질화물, 또는 코발트인,
    코발트 층을 선택적으로 증착하는 방법.
  14. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 코발트 층을 미리 결정된 두께로 형성하기 위하여, 상기 단계 (a)-(b)를 반복하는 단계를 더 포함하는,
    코발트 층을 선택적으로 증착하는 방법.
  15. 실행시 프로세스 챔버로 하여금 코발트 층을 선택적으로 증착하는 방법을 수행하게 하는 명령어들을 저장된 컴퓨터 판독가능 매체에 있어서,
    상기 방법은 제1항 내지 제6항 중 어느 한 항에 따른 방법인,
    컴퓨터 판독가능 매체.
KR1020177015534A 2014-11-07 2015-11-05 선택적 코발트 층을 열적으로 형성하기 위한 방법들 KR102443439B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201462076872P 2014-11-07 2014-11-07
US62/076,872 2014-11-07
US14/931,417 US10043709B2 (en) 2014-11-07 2015-11-03 Methods for thermally forming a selective cobalt layer
US14/931,417 2015-11-03
PCT/US2015/059221 WO2016073707A1 (en) 2014-11-07 2015-11-05 Methods for thermally forming a selective cobalt layer

Publications (2)

Publication Number Publication Date
KR20170081248A true KR20170081248A (ko) 2017-07-11
KR102443439B1 KR102443439B1 (ko) 2022-09-14

Family

ID=55909800

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020177015534A KR102443439B1 (ko) 2014-11-07 2015-11-05 선택적 코발트 층을 열적으로 형성하기 위한 방법들

Country Status (6)

Country Link
US (1) US10043709B2 (ko)
EP (1) EP3216048A4 (ko)
KR (1) KR102443439B1 (ko)
CN (1) CN107078036B (ko)
TW (1) TWI670387B (ko)
WO (1) WO2016073707A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US10014179B2 (en) 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TWI719269B (zh) * 2016-11-23 2021-02-21 美商應用材料股份有限公司 金屬膜之沉積
TWI758398B (zh) 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
CN108573942B (zh) 2017-03-09 2021-09-14 联华电子股份有限公司 内连线结构及其制作方法
CN109273373A (zh) * 2017-07-18 2019-01-25 联华电子股份有限公司 电连接电容插塞的硅化钴层的制作方法
US11371136B2 (en) * 2017-09-19 2022-06-28 Applied Materials, Inc. Methods for selective deposition of dielectric on silicon oxide
CN110034017A (zh) 2017-12-07 2019-07-19 微材料有限责任公司 用于使金属和阻挡层-衬垫可控凹陷的方法
US10600684B2 (en) 2017-12-19 2020-03-24 Applied Materials, Inc. Ultra-thin diffusion barriers
US10662526B2 (en) 2018-10-02 2020-05-26 Lam Research Corporation Method for selective deposition using a base-catalyzed inhibitor
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
US11286556B2 (en) 2020-04-14 2022-03-29 Applied Materials, Inc. Selective deposition of titanium films
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100137582A (ko) * 2008-04-29 2010-12-30 어플라이드 머티어리얼스, 인코포레이티드 구리 표면 상에 선택적인 코발트 증착
KR20120085834A (ko) * 2009-10-23 2012-08-01 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호 접속부를 위한 자기?정렬 배리어 및 캡핑 층

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4947834B2 (ja) 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド ダメージフリー被覆刻設堆積法
US6096644A (en) 1998-09-08 2000-08-01 Advanced Micro Devices, Inc. Self-aligned contacts to source/drain silicon electrodes utilizing polysilicon and metal silicides
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US7179758B2 (en) 2003-09-03 2007-02-20 International Business Machines Corporation Recovery of hydrophobicity of low-k and ultra low-k organosilicate films used as inter metal dielectrics
US20050170650A1 (en) 2004-01-26 2005-08-04 Hongbin Fang Electroless palladium nitrate activation prior to cobalt-alloy deposition
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
TW200707640A (en) * 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US20080265416A1 (en) * 2007-04-27 2008-10-30 Shen-Nan Lee Metal line formation using advaced CMP slurry
US7846841B2 (en) 2008-09-30 2010-12-07 Tokyo Electron Limited Method for forming cobalt nitride cap layers
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
KR20100110123A (ko) 2009-04-02 2010-10-12 삼성전자주식회사 반도체 소자의 제조 방법
US8889235B2 (en) * 2009-05-13 2014-11-18 Air Products And Chemicals, Inc. Dielectric barrier deposition using nitrogen containing precursor
DE102009046245B4 (de) 2009-10-30 2016-08-04 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Herstellung von Metallgateelektrodenstrukturen mit einer separaten Abtragung von Platzhaltermaterialien in Transistoren unterschiedlicher Leitfähigkeitsart
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
US9926639B2 (en) 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8476162B2 (en) 2010-10-27 2013-07-02 Applied Materials, Inc. Methods of forming layers on substrates
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
JP2014101564A (ja) 2012-11-21 2014-06-05 Ulvac Japan Ltd コバルト膜の形成方法
JP6030439B2 (ja) * 2012-12-27 2016-11-24 東京エレクトロン株式会社 マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
US9209073B2 (en) 2013-03-12 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Metal cap apparatus and method
US9637819B2 (en) 2013-11-18 2017-05-02 Applied Materials, Inc. Methods for preferential growth of cobalt within substrate features
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US10109534B2 (en) 2014-03-14 2018-10-23 Applied Materials, Inc. Multi-threshold voltage (Vt) workfunction metal by selective atomic layer deposition (ALD)
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US10094023B2 (en) 2014-08-01 2018-10-09 Applied Materials, Inc. Methods and apparatus for chemical vapor deposition of a cobalt layer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100137582A (ko) * 2008-04-29 2010-12-30 어플라이드 머티어리얼스, 인코포레이티드 구리 표면 상에 선택적인 코발트 증착
KR20120085834A (ko) * 2009-10-23 2012-08-01 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호 접속부를 위한 자기?정렬 배리어 및 캡핑 층

Also Published As

Publication number Publication date
US20160133563A1 (en) 2016-05-12
EP3216048A4 (en) 2018-07-25
WO2016073707A1 (en) 2016-05-12
TW201619425A (zh) 2016-06-01
EP3216048A1 (en) 2017-09-13
KR102443439B1 (ko) 2022-09-14
US10043709B2 (en) 2018-08-07
CN107078036B (zh) 2021-03-30
TWI670387B (zh) 2019-09-01
CN107078036A (zh) 2017-08-18

Similar Documents

Publication Publication Date Title
KR102443439B1 (ko) 선택적 코발트 층을 열적으로 형성하기 위한 방법들
KR102542269B1 (ko) 반도체 디바이스들의 금속 상호접속부들을 위한 유전체 배리어들의 선택적 형성
JP6146948B2 (ja) 銅表面上への選択的コバルト堆積
KR101174946B1 (ko) 코발트-함유 물질들을 형성하기 위한 프로세스
TWI627676B (zh) 於不同尺寸特徵部內之無空穴鎢塡充物
CN107533962B (zh) 经由原子层沉积(ald)循环选择性沉积金属硅化物的方法
JP4049214B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
JP2018085502A (ja) 酸化アルミニウムエッチング停止層の蒸着
KR20130066636A (ko) 실리콘 막들에 대한 선택적인 식각
US9847289B2 (en) Protective via cap for improved interconnect performance
JP2004247725A (ja) シリコンカーバイド膜を形成する方法
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
TW201715604A (zh) 用於經由原子層沉積循環之蝕刻的方法
US10094023B2 (en) Methods and apparatus for chemical vapor deposition of a cobalt layer
US9633861B2 (en) Cu/barrier interface enhancement
JP4758938B2 (ja) 絶縁膜の形成方法及び絶縁膜の形成装置
TW202043520A (zh) 用於填充設置於基板中的特徵的方法及設備
US20210062330A1 (en) Selective cobalt deposition on copper surfaces
TWI780185B (zh) 處理被處理體之方法
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant