KR20120085834A - 상호 접속부를 위한 자기?정렬 배리어 및 캡핑 층 - Google Patents

상호 접속부를 위한 자기?정렬 배리어 및 캡핑 층 Download PDF

Info

Publication number
KR20120085834A
KR20120085834A KR1020127013168A KR20127013168A KR20120085834A KR 20120085834 A KR20120085834 A KR 20120085834A KR 1020127013168 A KR1020127013168 A KR 1020127013168A KR 20127013168 A KR20127013168 A KR 20127013168A KR 20120085834 A KR20120085834 A KR 20120085834A
Authority
KR
South Korea
Prior art keywords
copper
manganese
layer
iodine
deposited
Prior art date
Application number
KR1020127013168A
Other languages
English (en)
Other versions
KR101730203B1 (ko
Inventor
로이 제라드 고돈
하리쉬 브한다리
융 아우
유보 린
Original Assignee
프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 filed Critical 프레지던트 앤드 펠로우즈 오브 하바드 칼리지
Publication of KR20120085834A publication Critical patent/KR20120085834A/ko
Application granted granted Critical
Publication of KR101730203B1 publication Critical patent/KR101730203B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

집적 회로에서 구리 배선을 위한 집적 회로의 상호접속 구조물 및 그 제조 방법이 제공된다. Mn, Cr 또는 V 함유 층은 상기 배선으로부터 확산되는 구리에 대한 배리어를 형성함으로써, 정상보다 이른 균열로부터 절연체를 보호하고, 구리에 의한 열화로부터 트랜지스터를 보호한다. 또한 Mn, Cr 또는 V 함유 층은 구리와 절연체 간의 강한 접착을 촉진시켜, 장치를 사용하는 동안 구리의 전자 이동에 의한 불량을 보호하고 주변의 산소 또는 물에 의한 부식으로부터 구리를 보호할 뿐만 아니라, 제조 및 사용하는 동안에 장치의 기계적 완전함을 유지한다. 이러한 집적 회를 형성할 때, 본 발명의 일 구체예는 절연체 표면에서 Mn, Cr, V 또는 Co의 증착을 줄이거나 또는 심지어 저해하면서, 구리 표면에는 Mn, Cr, V 또는 Co를 선택적으로 증착하는 방법을 제공한다. Mn, Cr 또는 V 함유 전구체와 요오드 또는 브롬 함유 전구체를 사용하는 구리의 촉매에 의한 증착이 또한 제공된다.

Description

상호 접속부를 위한 자기?정렬 배리어 및 캡핑 층{SELF-ALIGNED BARRIER AND CAPPING LAYERS FOR INTERCONNECTS}
관련 출원
본 특허 출원은 2009년 10월 23일자로 출원된 미국 특허 출원 제61/254,601호 및 2010년 9월 23일자로 출원된 미국 특허 출원 제61/385,868호 중에서 우선일이 더 빠른 출원일자의 이익을 주장하고, 이들의 개시 내용은 그들의 전문이 본 명세서에 참고로 포함된다.
본 특허 출원의 개시 내용은 2008년 3월 21일에 출원된 미국 특허 출원 제61/038,657호, 2008년 4월 8일에 출원된 미국 특허 출원 제61/043,236호 및 2008년 6월 20일에 출원된 미국 특허 출원 제61/074,467호의 출원 일자의 이익을 주장하는, 2009년 3월 20일에 출원된 미국 특허 출원 제12/408,473호에 관한 것이고, 이들의 개시 내용은 그들의 전문이 본 명세서에 참고로 포함된다.
저작권 통보
본 특허 명세서는 저작권 보호를 받는 내용을 포함할 수 있다. 저작권 소유자는 미국 특허청 특허 파일 또는 기록에 나타나있는 바와 같은 특허 문헌 또는 특허 개시 내용을 누구나 복사하는데 이의를 제기하지 않지만, 그 외의 모든 무단 전재 및 무단 복제를 금한다.
참고 문헌 포함
본 명세서에서 인용된 모든 특허, 특허 출원 및 공보는 본 명세서에 기재된 발명의 시점에서 당업자에게 공지된 바와 같은 기술 수준을 보다 구체적으로 설명하기 위하여 그들의 전문이 본 명세서에 참고로 포함된다.
구리(Cu)는 마이크로프로세서 및 메모리와 같은 마이크로전자 장치의 배선으로 선택되는 물질로서 알루미늄을 대체하고 있다. 그러나, 실리콘과 같은 반도체 내 구리의 존재는 반도체에 형성되는 트랜지스터의 적절한 기능을 방해할 수 있는 결함을 유발한다. 또한, 구리는 구리선 사이에 배치된 실리콘 다이옥사이드와 같은 절연체를 통한 누전을 증가시킨다. 그러므로, 구리 배선의 사용은 구리가 적절한 위치에 국한되도록 효율적인 확산 배리어로 구리선을 둘러쌀 것을 요구한다.
구리 주위에 확산 배리어 층을 제공하기 위한 많은 노력이 시도되었지만, 이들 모두 소정 형태의 문제점이 있다. 문제점은 구리 배선을 통해 신호가 이동될 수 있는 속도를 낮추어 증가된 정전 용량(커패시턴스)을 유도하는 (SiC 또는 Si3N4 등과 같은) 용인될 수 없는 높은 유전 상수, 구리 배선 사이의 절연체 상에 전기적 쇼트를 유도하는 (CoWP 또는 CoWB의 무전해 도금 등과 같이) 공정의 어려움, 배리어 층을 형성하는데 사용되는 다른 물질(CoWP, CoWB 또는 Mn 등)의 포함 시 구리의 증가된 저항, 불순물(Mn과 같은 것)의 존재에 의해 발생되는 어닐링 과정 동안의 구리의 입자(grain) 성장의 제한을 통한 구리의 증가된 저항, 구리에 대한 배리어층(MnOx 등)의 약한 접착력 등을 포함한다.
배리어층 상부에 좁은 트렌치와 홀(또한 "비아"(via)라고도 칭함) 내에서 구리의 성장과 같은 구리 층의 성장에 다른 노력이 집중되고 있다. 이러한 결과로서, CVD 기술을 사용한 구리의 성장에서 요오드가 적절한 촉매로 제안되고 있다. 그러나, 요오드는 배리어 층(TaN 및 TiN 등)에 쉽게 접착하지 않기 때문에, 트렌치와 홀 내부에는 구리 씨딩(seeding) 박층 또는 플라즈마 전처리에 의한 배리어층의 활성화가 필요하나, 이는 실행하기에는 극도로 어렵다.
발명의 개요
본 기술은 마이크로전자 장치에 사용되는 구리 상호 접속부에 관한 것으로, 보다 구체적으로는 구리와 주변 물질 간에 강한 접착을 제공하고, 배선 밖으로 구리 확산을 방지하는 배리어를 제공하며, 산소와 물이 구리 내부로 확산되는 것을 방지하고, 구리 배선에 흐르는 전류에 의해서 구리 배선이 손상되지 않게 하는 물질과 기술에 관한 것이다.
어닐링 중 또는 어닐링 후에 금속성 불순물이 Cu에 존재하는 문제점 없이 마이크로전자 장치 내에 자기-정렬된 확산 배리어 층을 형성하는 방법이 기술된다. 일 구체예에서, Cu-함유 씨드 층의 증착 전에 Mn, Co, Cr 또는 V와 같은 금속이 절연체의 표면과 반응된다. 일 구체예에서, Mn, Co, Cr 또는 V의 전구체와 함께 임의의 산소-함유 공-반응체(co-reactant)의 사용을 수반하지 않는 정합(conformal) 화학 기상 증착(CVD)에 의해서 Mn, Co, Cr 또는 V가 상기 표면으로 전달된다.
일 구체예에서, 상기 CVD 공정은 암모니아와 같은 질소-함유 공 반응체의 사용을 더 포함하여, 증기에 노출된 표면 위 또는 표면 주위에 전기 전도성 금속을 포함시킬 수 있다. 망간 질화물과 같은 금속 질화물의 존재는 이후에 증착되는 구리 층에 대한 접착력을 증가시키는 것으로 밝혀졌다.
본 발명의 일 구체예에 따르면, 본 발명의 공정은 비아 바닥에서 배리어를 형성하여 비아 저항을 증가시키지 않는다. 금속 및/또는 금속 질화물 생성 반응 다음에는, Cu 씨드 층이 바람직하게는 CVD에 의해 증착된다. 씨드 층은 또한 나중에 Cu로 환원되는 구리 산화물(Cu20), 구리 질화물(Cu3N) 또는 구리 산소 질화물(CuOzNw)과 같은 구리 화합물로 증착될 수 있다.
본 발명의 또 다른 양태에서, Mn, Co, Cr 또는 V는 CMP 단계 직후에(즉, 평탄화된 구조), 부분 완성된 상호 접속부의 평면 표면 위에 증착된다. 상기 표면 중 절연부의 상부에는, Mn, Co, Cr 또는 V가 절연체 내에 포함된 실리콘 및 산소와 반응하여, 절연성인 금속 실리케이트 층, 예를 들면 금속이 Mn인 경우 MnSixOy 층을 형성하게 된다. Cu 라인의 상부에 금속 Mn이 증착된 영역에서(트렌치의 상부는 Cu로 채워짐), Mn은 Cu의 정상층으로 용해되어 Cu-Mn 합금을 형성하게 된다. 그런 다음, 절연체의 그 다음 높은 레벨에 대한 절연체의 블랭킷 증착이 Cu-Mn 영역과 MnSixOy 영역에 형성된다. 증착 동안에 및/또는 그 이후의 어닐링 동안에, Cu-Mn 표면 층 내 Mn은 위쪽 방향으로 확산되어 절연체와 반응하고, 상기 절연체의 초기-증착 부분이 Si3N4인 구체예에서는, 구리와 절연체 간에 MnSixNy 확산 배리어를 형성하게 된다. 이러한 MnSixNy 층의 존재가 Cu와 그 위의 절연체 간의 접착을 또한 증가시킨다.
강하게 접착된 확산 배리어와, 전체 표면 위의 Cu를 둘러싸는 접착층이 얻어질 수 있다. MnSixOy와 MnSixNy 층은, 예를 들면 전자 소자, 회로, 장치 및 시스템을 위한, 고 전도성, 강한 접착성 및 내구성의 구리 층을 제공한다.
본 발명의 또 다른 양태에서, Mn, Co, Cr 또는 V는 CMP 단계 직후에(즉, 평탄화된 구조), 부분 완성된 상호 접속부의 평면 표면 중 금속 영역에서만 선택적으로 증착된다. 그와 동시에, 절연체의 근처 표면에는 Mn, Co, Cr 또는 V가 거의 또는 전혀 증착되지 않는다. 이러한 공정은 이웃하는 구리 전도체 간에 절연체를 통한 매우 낮은 누전을 유지하면서 이후에 증착되는 절연체와 구리의 접착을 증가시킨다. 이러한 공정은 전자 이동(electromigration)으로 인해 구리 상호 접속부가 불량으로 되기 전에 수명을 증가시킨다.
일 구체예에서, 본 특허 출원은 집적 회로 상호 접속 구조물을 형성하는 방법을 기술한다. 본 발명의 방법은 전기 절연 영역과 전기 전도성 구리 함유 영역을 포함하고 실질적으로 편평한 표면을 갖는, 부분 완성된 상호 접속 구조물을 제공하는 단계; 망간, 크롬 및 바나듐으로 이루어진 군으로부터 선택되는 금속(M)을 상기 전기 전도성 구리 함유 영역의 적어도 일 부분 위에 또는 그 내부로 증착하는 단계; 상기 증착된 금속 중 적어도 일 부분 위에 절연 필름을 증착하는 단계로서, 상기 증착된 금속 중 적어도 일 부분과 접촉하는 상기 증착된 절연 필름 영역은 실질적으로 산소가 없는 단계; 및 상기 증착된 금속 중 적어도 일 부분과 상기 절연 필름을 반응시켜 배리어 층을 형성하는 단계로서, 상기 전기 전도성 구리 함유 영역은 실질적으로 원소 금속(M)이 없는 단계를 포함한다.
다른 구체예에서, 본 발명의 방법은 하나 이상의 전기 절연 물질로 정해지는 측벽과 전기 전도성 구리 함유 바닥 영역을 포함하는 비아 또는 트렌치를 갖는 부분 완성된 상호 접속 구조물을 제공하는 단계; 망간, 크롬 및 바나듐으로 이루어진 군으로부터 선택되는 금속(M)을 상기 부분 완성된 상호 접속 구조물 위에 증착하는 단계; 증착된 금속과 상기 하나 이상의 전기 절연 물질과의 반응을 통해 제2 절연 측벽 영역을 형성하는 단계; 상기 금속을 상기 바닥 영역으로부터 제거하거나 방산시켜서 상기 전기 전도성 구리 함유 바닥 영역을 노출시키는 단계; 및 상기 비아 또는 트렌치를 구리로 충전시키는 단계를 포함한다.
다른 구체예에서, 망간은 크롬 또는 바나듐으로 대체될 수 있다.
일 구체예에서, 하층(underlayer)으로 망간 질화물을, 계면활성제 촉매로 요오드를 사용하는 CVD 증착 방법에 의하여 트렌치 또는 홀을 구리 또는 구리 망간 합금으로 바닥에서 상부까지 충전(bottom-up filling)시키기 위한 공정이 제공된다. 상기 구리 또는 구리 망간 합금은 적절한 증기 공급원을 사용하여 CVD 방법으로 증착된다. 100㎚보다 적은 트렌치에 정합 증착이 달성될 수 있다. 정합 증착된 망간 질화물 필름은 Cu 확산에 대한 배리어 물성을 나타내고, Cu와 유전성 절연체 간의 접착을 증가시킨다. 망간 질화물 필름의 표면으로부터 흡착된 요오드 원자의 방출은 요오드로 하여금 성장하는 구리 층의 표면에서 부유하는 계면활성제 촉매로서 작용하게 한다. 구리 층이 성장함에 따라, 요오드는 증착 계면에서 계속해서 방출되어 성장하는 구리 층의 정상부까지 '부유하게 되고', 그 다음에 증착될 구리 층을 위한 표면 촉매로서 작용하게 한다. 그 결과, 9:1 이상의 종횡비를 가지며 20㎚보다 더 좁은 트렌치에 순수한 구리 또는 구리-망간 합금이 CVD에 의해 보이드 없이 바닥에서 상부까지 충전되게 된다. 후속되는 어닐링 후에는, 합금 내에 있던 망간이 입자 경계면을 통해 구리로부터 방산되고, 자기-정렬된 층을 형성하여, 구리/절연체 계면에서 접착과 배리어 물성을 추가로 개선하게 된다. 이러한 방법은 더 빠른 속도와 더 긴 수명을 갖는 마이크로전자 장치의 나노스케일 상호 접속부를 제공한다.
구리와 주변 물질 간의 강한 접착을 제공하고, 배선 밖으로 구리의 확산을 방지하는 배리어를 형성하고, 산소와 물이 구리를 부식시키는 것을 방지하고, 및 구리 배선이 이들이 전달하는 전류에 의해 손상되는 것을 방지하는, 물질과 방법이 제공된다.
일 구체예에서, (배선을 포함할) 개방된 트렌치와 홀(이미 형성된 배선과 임의의 레벨의 베선을 연결시킬 비아)을 갖는 부분 완성된 상호 접속 구조물은 하나 이상의 CVD 공정을 거칠 수 있다. CVD는 트렌치와 비아의 벽뿐만 아니라, 비아의 바닥에 이미 형성된 임의의 전도체의 노출된 부분에 망간을 증착하는데 사용될 수 있다. 다음으로, CVD는 망간 코팅된 표면 위에 요오드를 화학 흡착하는데 사용될 수 있다. 마지막으로, 구리 CVD는 요오드가 임의의 심(seam) 또는 보이드(void) 없이 비아와 트렌치를 바닥부터 상부까지 충전시키는 방식으로 수행될 수 있다.
또 다른 구체예에서, 망간 질화물(MnNx, 0<x<1) 층이 형성되고, 그 다음에 상기 망간 질화물 위에 요오드가 화학 흡착되고, 그 다음에 보이드 없는 구리의 촉매에 의해 촉진된 CVD에 의해 비아와 트렌치를 충전시킬 수 있다.
다른 구체예에서, 망간 질화물(MnNx, 0<x<1) 층이 형성되고, 그 다음에 요오드가 화학 흡착되고, 그 다음에 촉매에 의해-촉진된 구리 박층의 CVD가 진행될 수 있다. 그런 다음, 상기 구리 박층의 표면에 요오드가 추가로 화학 흡착되고, 그 다음에 상기 두 화학 흡착 단계로 인한 요오드의 더 큰 함량에 의해 더 효율적으로 촉매화될 수 있는 구리의 추가적인 CVD가 진행될 수 있다.
또 다른 구체예에서, 구리-망간 나노라미네이트(nanolaminate)로 트렌치와 비아를 충전시킬 수 있는 망간 CVD와 구리 CVD의 또 다른 단계가 추가로 수행될 수 있다. 상기 구조물의 가열은 가까운 절연체 표면으로 망간의 확산을 가능하게 하고, 이것은 절연체에 대한 구리의 접착 강도를 증가시키고, 구리, 물 및 산소의 확산에 대한 자기-정렬된 배리어를 형성할 수 있게 한다. 망간이 외부로 확산된 후에, 상호 접속부는 순수하게 되고, 고 전도성인 구리가 망간에 의해 절연체 표면에 강하게 결합될 수 있다.
다른 구체예에서, 망간과 요오드의 최초 증착 이후에, 수소와 같은 임의의 필요한 환원제와 함께 구리 및 망간의 전구체 증기가 CVD 반응기의 증착 영역에 동시에 주입되어, 보이드 없는 구리-망간 합금을 증착할 수 있다.
또 다른 구체예에서, CVD는 Mn, I 및 Cu를 포함하는 박층을 형성하는데 사용될 수 있고, 이것은 Cu를 전해 도금하기 위한 씨드 층으로 작용할 수 있다.
망간 CVD에 적절한 전구체는 수소로 환원되어 망간 금속을 제공하거나 또는 암모니아와 반응하여 저온 및 절연체 표면에서 조밀한 결정핵 생성으로 망간 질화물을 증착할 수 있는, 망간(II) 비스(N,N'-다이알킬아미디네이트)와 같은 망간 아미디네이트를 포함한다.
구리 금속의 CVD를 위한 다수의 전구체가 알려져 있다. 구리(I) N,N'-다이알킬아미디네이트 다이머와 같은 구리 아미디네이트가 특히 적절한데, 왜냐하면 이들의 높은 열 안정성과 높은 반응성은 요오드가 적층된 망간 또는 망간 질화물 표면에 조밀한 결정핵 생성으로 저온에서 수소 환원에 의해 순수한 구리가 증착되도록 하기 때문이다.
본원 발명의 다른 특징과 장점은 하기의 상세한 설명, 첨부되는 도면, 및 청구범위로부터 명백해질 것이다.
도면의 간단한 설명
도 1은 화학적 기계적 연마(CMP) 단계 이후에, 본 발명에 따른 부분 완성된 상호 접속 배선 구조물의 정상부의 개략 단면도이다.
도 2는 금속 증착 후의 도 1의 구조물을 도시한 도면이다.
도 3은 금속 실리케이트 제거 후의 도 2의 구조물을 도시한 도면이다.
도 4는 블랭킷 절연체(blanket insulator)가 증착된 후 도 3의 구조물을 도시한 도면이다.
도 5는 절연체에서 비아와 트렌치의 리소그래피 및 에칭 후 도 4의 구조물을 도시한 도면이다.
도 6은 어닐링 후 도 5의 구조물을 도시한 도면이다.
도 7은 또 다른 금속 증착 후 도 6의 구조물을 도시한 도면이다.
도 8은 어닐링 후 도 7의 구조물을 도시한 도면이다.
도 9는 씨드 층 증착 및 구리로 충전 후 도 8의 구조물을 도시한 도면이다.
도 10은 화학적 기계적 연마 후 도 9의 구조물을 도시한 도면이다.
도 11은 Cu/SiO2/Si 기판에 CVD Mn한 결과의 단면 고 해상도 투과 전자 현미경 사진;
도 12는 500℃에서 어닐링하고 Cu를 에칭한 후, (a) Cu/SiO2/Si 및 (b) Cu/MnSixOy/Si의 표면 원소 분석과 함께 이들의 주사 전자 현미경 사진이다.
도 13은 400℃에서 어닐링 전과 후의 (a) Cu/SiO2/Si 및 (b) Cu/MnSixOy/SiO2/Si 샘플의 커패시턴스-전압 곡선도이다.
도 14는 1 MV/cm 전기장 하에서 250℃에서 어닐링 전과 후의 (a) Cu/SiO2/Si 및 (b) Cu/MnSixOy/SiO2/Si 샘플의 커패시턴스-전압 곡선도이다.
도 15는 저-k(low-k) 절연체 위에 CVD에 의해 형성된 MnSixOy 층의 단면을 도시한 도면이다.
도 16은 구리 표면과 SiO2 표면을 각각 동일한 CVD 조건에 노출시켜, 구리에만 8㎚의 망간을 증착하고 SiO2에는 망간을 전혀 증착하지 않았을 때, 구리 표면과 SiO2 표면의 러더포드 백스캐터링 스펙트럼(RBS)도이다.
도 17은 망간 CVD에 노출된 구리 층 표면 근처의 망간의 분포를 도시한 도면이다.
도 18은 계면에서 실리콘에 대한 망간의 비의 함수로서 실리콘 함유 절연체에 대한 구리-망간 합금의 결합 에너지의 그래프이다.
도 19는 본 발명의 공정 또는 덜 선택적인 공정에 따라 CVD 망간 처리한 절연체 표면의 X-레이 광전자 스펙트럼도이다.
도 20은 일 구체예에 따라 MnNx로 형성된 좁은 홀의 주사 전자 현미경 사진(SEM)이다.
도 21은 일 구체예에 따라 MnNx로 형성되고 Cu로 충전된 좁은 홀의 투과 전자 현미경 사진(TEM)이다.
도 22는 구리 증착 동안에 구리 표면에 요오드가 남아있음을 도시한 X-레이 광전자 스펙트럼(XPS)이다.
도 23은 일 구체예에 따라 MnNx로 형성되고 Cu로 충전된 좁은 트렌치의 주사 전자 현미경 사진이다.
도 24는 MnNx로 형성된 트렌치에 요오드 촉매화된 구리 CVD에 의해 부분 충전된 트렌치를 도시한 도면이다.
도 25는 MnNx가 구리 확산에 대한 배리어임을 나타내는 SEM과 에너지-분산 X-레이 분석(EDX) 데이터를 나타낸 도면이다.
도 26은 일 구체예에 따라 MnNx로 형성되고 Cu-Mn 나노라미네이트로 충전된 좁은 트렌치의 SEM이다.
도 27은 구리-망간 나노라미네이트의 증착 동안에 요오드가 표면에 남아있음을 도시한 도면이다.
도 28은 일 구체예에 따라 MnNx로 형성되고 Cu-Mn 합금으로 충전된 좁은 트렌치의 SEM이다.
도 29는 구리-망간 합금의 증착 동안에 요오드가 표면에 남아 있음을 도시한 도면이다.
도 30은 일 구체예에 따라 MnNx와 Cu로 코팅된 폴리이미드 플라스틱의 SEM이다.
도 31은 일 구체예에 따라 MnNx와 Cu로 코팅된 플라스틱 회로 기판 물질의 SEM이다.
발명의 상세한 설명
마이크로전자 장치를 위한 부분 완성된 멀티-레벨(multi-level) 배선 구조물이 도 1에 도시되어 있다. 이 구조물은 예를 들면 실리카와 같은 절연 영역(10), 및 배선 중 완성된 하부 레벨의 정상부를 형성하고 확산 배리어(25)에 의해 분리되어 있는 예를 들면 구리와 같은 전기 전도성 영역(20)을 포함하는, 실질적으로 편평한 표면을 포함한다. 일 실시 형태에서, 상기 확산 배리어는 망간 실리케이트를 포함할 수 있다. 통상적으로, 이 단계에서의 장치는 CMP에 이어 세정에 의해 가공된다. Mn을 사용하여 본원 발명을 논의하고 있지만, 예를 들면 Co, Cr 또는 V를 포함하는 다른 금속 전구체가 완전히 쉽게 사용될 수 있음은 주목되어야 한다.
다음으로, 도 2에서 도시된 바와 같이, Mn(또는 Co, 또는 Cr, 또는 V) 금속이 상기 표면에 증착된다. Mn은 절연체(10)의 노출된 영역과 반응하여, 도 2에서 참조 부호 (30)으로 표시되는 절연성 MnSixOy 층을 형성하게 된다. 상기 표면(20)의 노출된 Cu 영역에서, Mn이 Cu의 상부로 확산되어, CuMn 합금(40)을 형성하게 된다. 증착 전 상부 표면의 위치는 화살표(45, 45')로 표시되어 있다. 통상적으로, Mn은 가열된 기판 위에 증착된다. 기판의 온도가 충분히 높고(통상적으로 150℃ 이상), Mn의 증착이 충분히 느리면, Mn의 반응과 확산은 증착이 완료될 때까지 완결될 수 있다. 절연체와의 반응과 Cu 내부로의 확산이 증착 동안 완결되지 않는다면, 증착 후 어닐링이 상기 반응과 확산을 완결시킬 수 있다.
Mn은 화학적 및 물리적 방법을 포함하는 임의의 편리한 방법에 의해 증착될 수 있다. 화학적 방법은 화학 기상 증착(CVD)과 원자층 증착(ALD)을 포함한다. 물리적 방법은 스퍼터링과 증발을 포함한다. 기판이 편평하기 때문에, 증착 방법에 의한 단차 피복(step coverage)이 이 단계에서 중요하지는 않다. 따라서, 양호하지 않은 단착 피복을 갖는 물리적 방법이 이 증착 단계에 적합하다. 또한, CVD도 특정 CVD 공정이 양호한 단차 피복을 갖는지 여부에 관계없이, 이 단계에서 사용될 수 있다.
도 3에서 도시된 바와 같이, MnSixOy 층(30)은 Mn 증착 이후에 임의로 제거될 수 있다. 마지막 단계에 형성된 MnSixOy 층(30)은 전기 절연체이지만, 그 누설 전류는 일부 응용 분야에서는 바람직한 값보다 더 높을 수 있다. 그러한 경우, 이 금속 실리케이트 층(30)은 제거되어, 장치 내 누설 전류를 감소시킬 수 있다. 실리케이트 층(30)은 연마, 습식 에칭 또는 건식 에칭과 같은 임의의 편리한 방법에 의해 제거될 수 있다. 상기 제거는 비-선택적이어서, 실리케이트와 동일한 속도로 구리를 제거하여, 편평한 평면을 유지할 수 있다. 대안으로, 실리케이트 층(30)은 도 3에서 설명된 바와 같이, 구리 제거 없이 선택적으로 제거될 수 있다. 그 결과 생성된 평평하지 않은 표면은 다음 단계에서 블랭킷 절연체를 증착하기 위해 정합 방법을 필요로 하게 된다.
대안으로, 도 1의 절연성 표면과 전도성 표면 둘 다에 Mn(또는 Co, 또는 Cr, 또는 V)을 증착시키기보다는, 구리 표면에 망간을 선택적으로 증착하기 위해 표면이 전 처리될 수 있다. "선택적 증착"이 본 명세서에서 사용될 때, 이것은 다른 표면에서는 증착이 거의 또는 전혀 일어나지 않는 반면에, 일 표면에서는 물질이 우선적으로 증착되는 것을 의미한다. 따라서, 구리 표면에 망간을 우선적으로 증착하고 절연체 영역에는 망간의 증착을 줄이거나 또는 없애기 위해, 상기 양 표면은 전처리 될 수 있다. 절연체 표면의 반응 사이트는 망간을 CVD하기 전에 보호제를 사용하여 비활성화시킬 수 있다. 이 비활성화는 증기 상태 또는 용액 상태 중 어느 하나인 알킬실란 화합물과 절연체 표면과의 반응에 의해 수행될 수 있다. 예를 들면, 효과적인 비활성화 화합물은 비스(N,N-다이알킬아미노)다이알킬실란 및 N,N-다이알킬아미노트라이알킬실란과 같이 실리콘에 결합된 다이알킬아마이드기를 포함한다. 이러한 두 형태의 대표적인 반응물은 비스(N,N-다이메틸아미노)다이메틸실란인 (CH3)2Si(N(CH3)2)2와 (N,N-다이메틸아미노)트라이메틸실란인 (CH3)3SiN(CH3)2를 포함한다.
일 구체예에서, 비활성화는 증기 상태 또는 용액 상태 중 어느 하나인 2 이상의 알킬실란 화합물과 절연체 표면과의 반응에 의해 수행되어, 절연체 표면의 반응성을 상승 작용에 의해 줄일 수 있다. "상승 작용에 의한"이 본 명세서에서 사용될 때, 이것은 개개의 보호제의 사용에 의해 얻어지는 비활성화 효과와 비교할 때, 2 이상의 보호제의 사용이 더 큰 비활성화 효과를 이끌어 냄을 의미한다.
일 구체예에서, 절연체에서의 망간 또는 코발트 증착의 완전한 저해는 절연체 표면을 비스(N,N-다이알킬아미노)다이알킬실란과 그 다음에 N,N-다이알킬아미노트라이알킬실란에 연속적으로 노출시켜 수행될 수 있다. 동일한 반응 조건 하에서, 이러한 형태의 비활성화시키는 화합물 중 어떤 것도 세정되고 산화물이 없는 구리 표면과는 반응하지 않는 것으로 밝혀졌다. 따라서, 구리 표면에 망간 또는 코발트의 CVD는 이러한 보호제에 의해 방해받지 않는다.
그 후에, 망간 아미디네이트 증기와 수소 기체가 가열된 기판에 접촉하게 된다. 기판 표면 중 구리로 된 부분(20)에는, 구리-망간 합금의 연속적인 박층(40)이 구리 표면 가까이에 형성된다. 기판 표면 중 SiO2 또는 SiCOH로 절연체로 만들어진 부분(10)에는, 망간이 거의 또는 전혀 증착되지 않는다. 일 구체예에서, 가열된 표면의 온도는 적절한 범위, 통상적으로는 200 내지 350℃, 또는 더 바람직하게는 250 내지 300℃가 될 수 있다.
상기에서 주지된 바와 같이, Co, Cr, 또는 V와 같은 다른 금속들이 구리 표면 위에 선택적으로 증착될 수 있다. 예를 들면, 절연체 표면에는 코발트가 거의 또는 전혀 증착되지 않으면서, 구리 표면에는 코발트 금속이 증착될 수 있다. 이러한 구체예에서, 코발트 아미디네이트 증기와 수소 기체가 가열된 기판과 접촉하게 된다. 기판 표면 중 구리로 된 일 부분(20)에는, 코발트의 연속적인 박층(40)이 구리 표면에 형성되게 된다. 기판 표면 중 SiO2 또는 SiCOH와 같은 절연체로 만들어진 부분(10)에는, 코발트가 거의 또는 전혀 증착되지 않는다. 일 구체예에서, 가열된 표면의 온도는 적절한 범위, 통상적으로는 180 내지 250℃, 또는 더 바람직하게는 200 내지 220℃가 될 수 있다.
도 4에서 도시된 바와 같이, 도 3에서 도시된 구조물(평탄화하거나 평탄화하지 않을 수 있음) 위에는 블랭킷 절연 층(50)이 그 다음에 증착되게 된다. 도 4에서의 구조물은 절연층(10) 위에 실리케이트 층(30)을 포함하지 않음을 주목한다. 플라즈마-촉진 CVD 또는 스핀 코팅을 포함하는 당업계에 알려진 임의의 방법이 상기 절연층을 제조하는데 사용될 수 있다. Si와 O를 포함하는 절연체 조성물이 사용될 수 있다. 일 구체예에서, SiN, SiC, SiCN 등과 같이 Si를 포함하지만 실질적으로는 O가 없는 절연체 조성물이 사용될 수 있다. 일 구체예에서, 절연체 층은 절연성 물질의 수 개의 서브-층의 증착으로 더 높게 구축되어, 개개 층이 전체 절연층에 특정 기능을 추가할 수 있다. 예를 들면, 아래에 있는 망간 도핑된 구리층에 접착을 향상시키는 제1 절연 서브-층(51), 예를 들면 Si3N4가 사용될 수 있다. 일 구체예에서, 서브-층(51)은 실질적으로 산소가 없을 수 있다. 일 구체예에서, 실질적으로 산소가 없는 서브-층(51)은 산소를 포함하는 서브-층(51)의 접착에 의해 얻어지는 것보다 망간 도핑된 구리 층에 대한 접착을 더 향상시킬 수 있다. 그 다음에, 에칭-정지 서브-층(52), 예를 들면 실리콘 카바이드가 서브-층(51) 상부에 증착될 수 있다. 에칭-정지 서브-층(52)은 홀(비아)의 에칭을 위한 적절한 깊이를 형성하는 것을 도울 수 있다. 일 구체예에서, 그 다음에 형성되는 절연 서브-층(53)은 매우 낮은 유전 상수(통상적으로 약 2.5 미만의 k)를 갖는 다공성 유전체일 수 있다. 마지막으로 최종 형성되는 절연 서브-층(54)은 유전 상수가 더 높고(약 2.5 이상의 k) 보다 조밀한 비 다공성 유전체일 수 있고, 이것은 깨지기 쉬운 다공성 유전체 층을 기계적 손상으로부터 보호할 뿐만 아니라, 다공성 유전체의 공극 안으로 물이 들어가는 것을 방지하는 것을 도울 수 있다. 일 구체예에서, 서브-층(53, 54)은 Si와 O를 포함할 수 있다. 서브-층(53)의 또 다른 기능은 서브-층(54)을 통한 트렌치의 바닥을 한정하기 위한 에칭-정지 층으로서 역할을 수 있다. 당업자에게 용이하게 명백한 바와 같이, 특정 절연체 층(50)에 대한 수많은 변형(예를 들면, 두께, 층 조합, 물질 조성 등)은 본 발명의 범주 내에 있다. 간략하게는, 본 출원에서 절연 층(50)에 대한 임의의 언급은 본 명세서에 기재된 서브-층 중 하나 이상을 포함하는 것으로 이해해야 한다.
절연체 층(50)에 홀(비아)(100)과 트렌치(110)를 패터닝하기 위해 리소그래피와 에칭이 사용된다. 그 결과 생성된 구조물의 개략 단면도는 도 5에서 도시되어 있다.
이러한 구조물은 어닐링되어, 도 6에서 도시되는 바와 같이 절연 실리카 층(50)과 CuMn 합금 층(40) 사이의 계면에 MnSixNy 층(60)(서브-층(51)으로 Si3N4을 사용한다고 가정함)을 형성하게 된다. MnSixNy 층(60)은 층(20) 외부로의 Cu 확산에 대한 배리어 역할을 하고, 또한 Cu(20)와 절연체(50) 간의 강한 접착을 제공한다. 또한, MnSixNy는 절연체 층(50)으로부터 산소 또는 물이 Cu 층(20)으로 확산되는 것을 방지하는 역할을 할 수 있다. 어닐링한 후에, Mn-Cu 합금 층(40) 중 대부분의 Mn은 MnSixNy 층(60) 내에 위치하지만; 그러나, 일부 Mn은 어닐링 동안에 층(20)의 상부 표면으로 이동하여, 망간 산화물 층(도시되지 않음)을 형성할 수 있다. Cu 표면에 남아있는 임의의 망간 산화물은 방향성 스퍼터링, 또는 포름산과 같은 증기 또는 액체 산성 용액에 의한 선택적 에칭에 의해 제거될 수 있다. 이는 Cu 층(20)의 상부 표면과 인접한 MnSixNy 층(60) 간의 약간의 후퇴부(65)로 표시되어 있다.
Mn의 또 다른 층이 바람직하게는 CVD 또는 ALD와 같은 정합 방법에 의해 그 다음에 증착된다. 이 단계는 비아와 트렌치의 벽에 층(80)을 형성하는데, 서브-층(54)으로 실리카를, 서브-층(51)으로 실리콘 질화물을 사용하였다면, 정상부 근처에는 MnSixOy로, 바닥 근처에는 MnSixNy로 변화시킬 수 있다. 도 7에서 도시된 바와 같이, 이 단계는 절연체 층(50)의 상부 표면에 MnSixOy의 정상 층(90)을 추가로 형성할 수 있다. 초기에는 CuMn 합금 층(70)이 층(20)의 노출된 구리 표면에 형성될 수 있지만, 그 후 Mn이 확산되어 층(60)이 절연체 표면의 대부분을 형성하게 된다. 이러한 층의 형성이 증착 완료 전에 완결되지 않는다면, 추가적인 어닐링과 가능하게는 산 에칭을 사용하여 구리 층(20)은 실질적으로 Mn 불순물이 없는 도 8에서와 같은 구조물을 형성하게 된다.
일 구체예에서, 망간 질화물 MnNx가 비아(100)와 트렌치(110)의 노출된 표면에 또한 증착될 수 있다. 일부 다른 구체예에서, 망간 질화물 MnNx는 또한 층(70), (80) 및 (90)에 증착될 수 있다. 놀랍게도, 망간 질화물의 사용은 적어도 5가지의 유효 기능을 제공하는 것으로 밝혀졌다. 첫째, 망간 질화물은 절연 물질과 이후에 증착되는 구리 간의 접착 강도를 증가시킬 수 있다. 둘째, 망간 질화물은 구리, 산소 및 물의 확산에 대해 효율적인 배리어 층으로 역할을 할 수 있다. 셋째, 망간 질화물은 하기에서 더 상세하게 논의되는 바와 같이, 요오드 또는 브롬과 같은 표면 촉매의 포획과 방출을 촉진할 수 있다. 이러한 MnNx의 3가지 효과는 망간 금속에 의해 제공되는 것과 유사하다. 추가적인 네 번째 효과로서, 망간 질화물은 순수한 망간 금속보다 표면 위에 더 연속적이고 균일하게 증착하는데, 본 발명자는 MnNx가 Mn보다는 응집에 더 저항성이 있기 때문인 것을 밝혔다. 망간 질화물은 바람직하게는 CVD, ALD 또는 이온화 물리적 증기 증착(IPVD)과 같은 정합 방법에 의해 증착된다. 다섯째, 본 발명자는 MnNx의 CVD와 ALD가 망간 금속의 증착보다는 더 낮은 온도와 더 높은 속도로 수행될 수 있음을 밝혔다. 연속적이고 비 응집성인 망간 금속을 사용하는 것이 바람직하다면, 예를 들면 수소 플라즈마를 사용하는 것과 같이 망간 질화물로부터 질소를 제거함으로써 손쉽게 형성될 수 있다.
다음으로, Cu의 씨드 층이 바람직하게는 CVD, ALD 또는 IPVD와 같은 정합 방법에 의해 형성된다. 그런 다음, 비아와 트렌치는 전해 도금으로 충전되어, 도 9에서 도시되는 구조물을 형성하게 된다. 이 순수한 Cu 층(120)은 어닐링되어, 입자 크기를 증가시키고 저항을 줄이게 된다.
일 대안이 되는 구체예에서, 도 8에서 도시되는 것과 유사한 구조를 갖는 비아와 트렌치, 망간 질화물 층과 같이 망간 함유 층으로 증착된 격벽과 바닥 표면을 갖는 비아와 트렌치, 또는 실리카와 같은 절연체 표면을 갖는 비아와 트렌치와 같은, 비아와 트렌치에서 구리는 촉매 작용에 의해 성장될 수 있다.
일 구체예에서, 비아와 트렌치는 망간 함유 전구체에 노출되어 망간 함유 층을 형성할 수 있다. (예를 들면, CVD와 같은 증기 증착 기술을 통해) 망간 함유 층을 형성한 후에, 요오드화 에틸, 요오드화 메틸, 디-요오드메탄, 분자형 요오드(I2), 브로모에탄, 또는 분자형 브롬(Br2)과 같은 요오드 또는 브롬 함유 전구체가 주입되어 망간 함유 표면에 흡착 또는 화학 흡착될 수 있다. 그 이후에, CVD와 같은 증기 증착 기술을 사용하여, 구리가 촉매 작용에 의해 성장될 수 있다.
이론에 제한되지 않고, 요오드 또는 브롬 함유 전구체는 하기의 이유 때문에 구리의 성장을 위한 촉매로서 작용할 수 있고, 이는 당업자들은 예상할 수 없었던 것이다. 요오드를 예로 들면, 요오드 원자와 망간 원자 간의 결합 강도는 적어도 기체 상태에서는, 요오드와 구리 간의 결합 강도보다 훨씬 더 큰 것으로 알려져 있다(Cu-I의 Do298 = 197 ± 21 kJ/㏖, Mn-I의 Do298 = 282.8 ± 9.6 kJ/㏖, 이 데이터는 문헌[CRC Handbook of Chemistry and Physics]에서 찾을 수 있다). 그러므로, 당업자는 소량의(단일층보다 적게) 요오드 촉매는 망간 원자에 의해 강하게 고정될 것이라고 예상할 것이다. 요오드가 Mn 표면에 부착되고 이후 구리의 연속적인 성장을 개시하도록 하는데에는 이러한 강한 요오드-망간 결합이 바람직할 수 있지만, 망간에 부착된 요오드는 구리 표면을 움직일 수 없고 따라서 그 표면에서는 구리 증착 반응을 촉매할 수 없을 것이다. 따라서, 일 구체예에서, 망간 필름 내 질소와 같은 다른 성분의 존재는 요오드가 구리 필름으로 방출될 수 있을 정도의 충분한 양으로 망간-요오드 결합을 약화시킬 수 있을 것이다. 상기에서 주목된 바와 같이, 그럼에도 불구하고, MnNx-I 결합은 또한 요오드의 초기 화학 흡착 동안에는 기체 상태의 요오드를 포획하기 위하여 충분히 강해야만 한다. 초기에는 화학 흡착을 가능하게 하고 이후에는 Mn 함유 표면으로부터의 방출을 가능하게 하는 물성의 적절한 조합을 발견하는 것은 상당한 노력을 필요로 하고, 본 발명자에게도 쉽게 명백하지 않았다. 예를 들면, 실리콘 다이옥사이드와 티타늄 질화물과 같은 다른 물질은 요오드를 화학 흡착하지 못하는 반면, 코발트와 니켈과 같은 다른 물질들은 요오드를 쉽게 화학 흡착하지만 이들을 방출하지 못한다.
망간 함유 층 위에 요오드 또는 브롬 함유 전구체가 증착된 후에, 구리 층은 CVD, ALD 또는 IPVD와 같은 기술을 사용하여 형성될 수 있다.
일 구체예에서, 망간 함유 층에 요오드 또는 브롬 함유 전구체가 최초로 증착된 후에, 망간 및 구리 함유 전구체는 전구체 혼합물 또는 개별 전구체로 동시에 증착되어 구리-망간 합금을 형성할 수 있다.
다른 구체예에서, 요오드 또는 브롬 함유 전구체가 망간 함유 층에 최초 증착된 후에, 망간, 구리 및 요오드/브롬 함유 전구체는 전구체 혼합물 또는 개별 전구체로 동시에 증착되어, 구리-망간 합금을 형성할 수 있고, 이때 추가적인 요오드/브롬은 구리-망간 합금의 촉매에 의한 성장이 반드시 일어나게 하거나 또는 이를 더 촉진하는 역할을 할 수 있다.
다른 구체예에서, 구리의 전해 도금은 상기에서 기술된 구리 또는 구리-망간 합금의 증착 이후에 수행될 수 있다.
Cu 또는 Cu-Mn 합금이 증착되거나 및/또는 전기 도금된 후에, Cu 또는 Cu-Mn 합금 층(120)은 어닐링되어 입자 크기를 증가시키고 저항을 줄일 수 있다.
마지막으로, 초과량의 구리는 CMP에 의해 제거되어, 도 10에서 도시되는 구조물을 만들게 된다. 이 단계는 완성된 배선 단계를 하나 이상 더 가진 도 1의 구조물에 해당한다
하나 이상의 구체예에서, Mn, Co, Cr 및 V의 군으로부터 선택된 금속 M을 증착하기 위하여, 증기 증착이 사용된다. 식 [M(AMD)m]n의 금속 아미디네이트 화합물이 전구체로 사용될 수 있고, 이때 AMD는 아미디네이트 리간드이고, 통상적으로 m = 2 또는 3 및 n = 1 또는 2이다. m = 2 및 n = 1인 경우에, 이 화합물은 하기의 구조를 가질 수 있다:
Figure pct00001
상기 식 중, R1, R2, R3, R1', R2' 및 R3'는 수소와 같은 하나 이상의 비금속 원자, 탄화수소기, 치환된 탄화수소기, 및 비 금속 원자의 다른 기로 이루어진 기이다. Mn을 증착하기 위한 한 가지 CVD 방법에서, 망간 아미디네이트 증기가 가열된 기판과 접촉된다. 기판이 Cu인 경우, CuMn 합금이 형성된다. 기판이 실리콘과 산소를 포함하는 경우, MnSixOy의 절연 표면 층이 형성된다. 이러한 층을 형성하기 위하여, 가열된 표면의 온도는 충분히 높아야 하고, 통상적으로는 150℃ 이상, 또는 바람직하게는 300℃ 이상이 되어야 한다.
하나 이상의 구체예에서, Mn 함유 전구체는 식 [Mn(AMD)m]n를 갖는 망간 아미디네이트가 될 수 있고, 상기에서 AMD는 아미디네이트이고, m = 2 또는 3이고 n은 1 내지 3의 범위일 수 있다. m = 2, n = 1인 화합물 중 일부는 하기 구조 1을 갖는다:
[구조 1]
Figure pct00002
상기 식 중, R1, R2, R3, R1', R2' 및 R3'는 수소와 같은 하나 이상의 비금속 원자, 탄화수소기, 치환된 탄화수소기, 및 비 금속 원자의 다른 기로 이루어진 기이다. 일부 구체예에서, R1, R2, R3, R1', R2' 및 R3'는 독립적으로 수소, 알킬, 아릴, 알케닐, 알키닐, 트라이알킬실릴, 알킬아마이드 또는 플루오로알킬기 또는 다른 비금속 원자 또는 기로부터 선택될 수 있다.
대표적인 탄화수소기는 C1-C6 알킬, C2-C6 알케닐 및 C2-C6 알키닐기를 포함한다. 이들은 분지형이거나 비 분지형일 수 있다.
"알킬기"는 표시된 개수의 탄소 원자를 갖는 직쇄 또는 분지쇄일 수 있는 포화 탄화수소 쇄 또는 고리형 탄화수소기가다. 예를 들면, C1-C6은 기가 그 안에 1 내지 6개(6을 포함함)의 탄소 원자를 가질 수 있음을 가리킨다. 알킬기의 예는 에틸, 프로필, 아이소프로필, 뷰틸, 및 tert-뷰틸기를 포함하지만, 이들로 제한되지 않는다. 고리형 알킬기의 예는 사이클로프로필, 사이클로프로필메틸, 사이클로뷰틸, 사이클로펜틸, 사이클로헥실, 사이클로헥실메틸, 사이클로헥실에틸, 및 사이클로헵틸기를 포함하지만, 이들로 제한되지 않는다.
"C2-C6 알케닐기"는 2-6개의 탄소 원자 및 적어도 하나 이상의 이중 결합을 함유하는 직쇄 또는 분지쇄 불포화 탄화수소기를 의미한다. C2-C6 알케닐기의 예는 에틸렌, 프로필렌, 1-뷰틸렌, 2-뷰틸렌, 이소뷰틸렌, sec-뷰틸렌, 1-펜텐, 2-펜텐, 이소펜텐, 1-헥센, 2-헥센, 3-헥센, 및 이소헥센으로부터 수소를 제거함으로써 유도되는 기를 포함하지만, 이들로 제한되지 않는다.
"C2-C6 알키닐기"는 2-6개의 탄소 원자 및 적어도 하나 이상의 삼중 결합을 포함하는 직쇄 또는 분지쇄의 불포화 탄화수소기를 의미한다. C2-C6 알키닐기의 예는 아세틸렌, 프로핀, 1-뷰틴, 2-뷰틴, 이소뷰틴, sec-뷰틴, 1-펜틴, 2-펜틴, 이소펜틴, 1-헥신, 2-헥신 및 3-헥신으로부터 수소를 제거함으로써 유도되는 기를 포함하지만, 이들로 제한되지 않는다.
"치환된 탄화수소기"는 할로겐 또는 보론 또는 보롬 함유 기로와 같은 다른 관능기로 추가로 치환될 수 있는 1-6개의 탄소 원자를 포함하는 포화 또는 불포화, 직쇄 또는 분지쇄의 탄화수소를 의미한다.
"할로겐"은 플루오르, 염소, 브롬 또는 요오드의 원자를 의미한다. 할로겐화 탄화수소는 플루오르화, 염소화 또는 브롬화 알킬을 포함한다. 예시적인 플루오르화 탄화수소는 플루오로알킬, 플루오로알케닐, 및 플루오로알키닐기 및 이들의 조합을 포함한다.
"비 금속 원자의 기"는 질소 함유 기 및 실리콘 함유 기를 포함한다. 예시적인 질소 함유 R기는 아민(NR'R")을 포함하고, 상기에서 R'과 R"은 H, C1-C6 알킬, C2-C6 알케닐 또는 C2-C6 알키닐기 및 이들의 조합 중 하나 이상을 포함한다.
예시적인 실리콘 함유 R기는 실릴기(SiR'R"R'")를 포함하고, 상기에서 R', R" 및 R'"는 H, C1-C6 알킬, C2-C6 알케닐 또는 C2-C6 알키닐기 및 이들의 조합 중 하나 이상을 포함한다.
일 구체예에서, R1, R2, R3, R1', R2' 및 R3'는 각각 독립적으로 알킬 또는 플루오로알킬 또는 실릴알킬기 또는 알킬아마이드기이다. 일 구체예에서, Rn기는 1개 내지 4개의 탄소 원자를 포함한다. 다른 구체예에서, Mn 전구체는 n = 2 또는 2 이상인 구조 1의 올리고머이다. 망간 아미디네이트는 일반식 1에서 R1, R2, R1', 및 R2'를 아이소프로필기로 취하고, R3 및 R3'을 n-뷰틸기로 취한 것에 해당하는, 망간 (II) 비스(N,N'-다이아이소프로필펜틸아미디네이트)를 포함할 수 있다.
CVD 방법에서, 비스(N,N'-다이아이소프로필펜틸아미디네이토)망간(II) 증기는 100 내지 500℃, 또는 더 바람직하게는 150 내지 400℃의 온도까지 가열된 표면 위를 흐르게 된다. 노출된 구리 표면에 CuMn 합금이 형성된다. MnSixOy 층이 절연 영역 위에 확산 배리어로 형성된다. 일 구체예에서, MnSixOy 층과 CuMn 층 중 망간의 함량은 1 내지 10㎚의 두께 또는 더 바람직하게는 2 내지 5㎚의 두께를 갖는 망간 금속 필름과 등가이다. 대안으로, 증기는 90℃ 이상의 온도에서 이수소 가스(H2)와 혼합되고, CVD 공정에 사용된다.
망간 아미디네이트는 임의의 편리한 방법에 의해 제조될 수 있다. 예를 들면, 그 전체를 참고 인용하는 WO 2004/046417을 참조한다.
하나 이상의 구체예에서, 금속 전구체는 사이클로펜타다이에닐과 카보닐 리간드를 포함할 수 있는데, 이는 일반식 (Cp)qMr(CO)s에 해당하며, 이때 Cp는 5개 이하의 기로 치환된 사이클로펜타다이에닐 라디칼이고, q, r, 및 s는 임의의 양의 정수일 수 있다. 이러한 화합물은 하기의 구조를 가질 수 있다:
Figure pct00003
.
하나 이상의 구체예에서, Mn 함유 전구체는 식 (Cp)Mn(CO)3를 갖는 망간 사이클로펜타다이에닐 트라이카보닐일 수 있다. 이들 화합물 중 일부는 하기 구조 2를 가진다:
[구조 2]
Figure pct00004
상기 식 중, R1, R2, R3, R4 및 R5기는 수소와 같은 하나 이상의 비금속 원자, 탄화수소기, 치환된 탄화수소기, 및 비 금속 원자의 다른 기로 이루어진 기이고, 이들은 본 명세서에서 전술한 바와 같다. 일 구체예에서, R1, R2, R3, R4 및 R5 기는 독립적으로, 수소, 알킬, 아릴, 알케닐, 알키닐, 트라이알킬실릴 또는 플루오로알킬기 또는 다른 비금속 원자 또는 기로부터 선택될 수 있다. 일 구체예에서, R1, R2, R3, R4 및 R5기는 각각 독립적으로 알킬 또는 플루오로알킬 또는 실릴알킬기 또는 알킬 아마이드기이다. 일 구체예에서, Rn기는 1 내지 4개의 탄소 원자를 포함한다. 이러한 형태의 바람직한 화합물은 상업적으로 입수 가능하고, 상기에서 R1이 메틸기이고 나머지 Rn이 수소인 메틸사이클로펜타다이에닐망간 트라이카보닐인 (MeCp)Mn(CO)3이다.
하나 이상의 구체예에서, 금속 전구체는 식 M(Cp)2를 갖는 두 개의 Cp 리간드를 포함할 수 있고, 상기에서 Cp는 5개 이하의 기로 치환된 사이클로펜타다이에닐 라디칼이다. 이들 화합물은 하기의 구조를 가질 수 있다:
Figure pct00005
.
하나 이상의 구체예에서, Mn 함유 전구체는 식 Mn(Cp)2를 갖는 망간 사이클로펜타다이에닐일 수 있다. 이들 화합물 중 일부는 하기 구조 3을 갖는다:
[구조 3]
Figure pct00006
상기 식 중, R1, R2, R3, R4, R5, R1', R2', R3', R4' 및 R5'기는 수소와 같은 하나 이상의 비금속 원자, 탄화수소기, 치환된 탄화수소기, 및 비 금속 원자의 다른 기로 이루어진 기이고, 이들은 본 명세서에서 전술한 바와 같다. 일 구체예에서, R1, R2, R3, R4, R5, R1', R2', R3', R4' 및 R5'기는 독립적으로, 수소, 알킬, 아릴, 알케닐, 알키닐, 트라이알킬실릴 또는 플루오로알킬기 또는 다른 비금속 원자 또는 기로부터 선택될 수 있다. 일 구체예에서, R1, R2, R3, R4, R5, R1', R2', R3', R4' 및 R5'기는 각각 독립적으로 알킬 또는 플루오로알킬 또는 실릴알킬기 또는 알킬아마이드기이다. 일 구체예에서, Rn기는 1개 내지 4개의 탄소 원자를 포함한다.
일 구체예에서, 망간 전구체인 비스(N,N'-다이아이소프로필펜틸아미디네이토)망간(II)은 그 전체 내용이 참고로서 본 명세서에 포함되는 미국 특허 출원 US 2009/0263965에서 기술된 바와 같이 제조될 수 있거나, 또는 다우 케미컬 컴파니(Dow Chemical Company)로부터 입수할 수 있다. 그 화학식은 하기에 표시되어 있다:
Figure pct00007
요오드화 에틸 또는 원소형 I2와 같은 요오드 전구체는 수 개의 상업적 공급원으로부터 상업적으로 입수가능하다.
Cu 층(씨드 층 또는 완전한 층)은 CVD 또는 ALD와 같은 방법에 의해 정합으로 증착될 수 있다. ALD 방법은 예를 들면 문헌[Zhengwen Li, Antti Rahtu and Roy G. Gordon in the Journal of the Electrochemical Society, volume 153, pages C787- C794 (2006)] 및 문헌[Zhengwen Li and Roy G. Gordon in the journal Chemical Vapor Deposition, volume 12, pages 435-441 (2006)]에 기술되어 있다. CVD 방법은 문헌[Journal of the Electrochemical Society, volume 155, issue 7, pages H496-H503 (2008), Hoon Kim, Harish B. Bhandari, Sheng Xu and Roy G. Gordon]의 논문에 기술되어 있다. 이 참고 문헌에서, 구리 옥시질화물 또는 구리 산화물의 평탄한 박층을 통상의 증기 증착 기술을 사용하여 먼저 증착시킨 다음, 증착된 층은 실온에서 수소 플라즈마를 사용하여 환원시킴으로써 평탄한 구리 필름으로 환원시킨다. 구리 산화물 필름을 구리 금속으로 환원시키는 다른 방법은 다이메틸아민보란 또는 금속 보로하이드라이드와 같은 환원제의 액상 용액과 반응시키는 것이다.
일 구체예에서, 구리 전구체인 구리 N,N'-다이-sec-뷰틸아세트아미디네이트가 사용될 수 있고, 이것은 그 전체 내용이 참고로서 본 명세서에 포함되는 문헌[Inorganic Chemistry, volume 44, pages 1728-1735 (2005)]에 기술된 바와 같이 제조되거나, 또는 다우 케미칼 컴파니로부터 입수할 수 있다. 그 화학식은 하기에 표시되어 있다:
Figure pct00008
.
구리 베타-다이케토네이트, 구리 베타-케토이미네이트, 구리 다이케트이미네이트, 구리 사이클로펜타다이에닐, 구리 알콕사이드 및 구리 아미노알콕사이드를 포함하는 다른 구리 화합물이 요오드-촉매화된 구리의 CVD에 또한 사용될 수 있다. 이들 일반적 분류의 구체적인 예는 1,1,1,5,5,5-헥사플루오로-2,4-펜타디오네이토 구리(I) 비닐트라이메틸실란(때때로 (hfac)CuVTMS으로 알려짐), 1,1,1,5,5,5-헥사플루오로-2,4-펜타디오네이토 구리(I)(3,3-다이메틸-1-부텐) (때때로 (hfac)CuDMB으로 알려짐), 비스(1,1,1,5,5,5-헥사플루오로-2,4-펜타디오네이토) 구리(II)(때때로Cu(hfac)2으로 알려짐), (N(1(다이메틸비닐실록시)-1-메틸에탄노)-2-이미노-4-펜타노에이토)구리(I)(구리 케토미네이트), (N(2(비닐다이메틸실록시)프로필)-2-이미노-4-펜테노에이토) 구리(I), 비스[[2-(4,5-다이하이드로-3H-피롤-일)-1-메틸-비닐]에틸-아미네이트]구리(II)(구리 디케티미네이트) 및 메틸사이클로펜타다이에닐 구리(I) 에틸렌을 포함한다. 또 다른 적절한 구리 전구체는 하기에 표시된 화학식의 구리 (I) 베타-다이케티미네이트이다:
Figure pct00009
.
상기에서 주지된 바와 같이, 전기화학 증착은 당업계에 알려진 공지된 기술에 의하여 트렌치와 비아를 구리로 충전시키는데 사용될 수 있다. 전기화학 증착은 비용상 효율적인 공정으로 보이드 또는 심 없이 순수한 구리를 제공할 수 있다.
진술한 설명에서, 본원 발명은 주로 Mn 금속에 관하여 기술되었다. 그러나, 본원 발명은 코발트, 바나듐과 크롬 금속과 같은 다른 금속도 포함한다. 따라서, 상기 금속은 본 명세서에서 제공된 설명에 대하여 이들 금속을 망간과 상호 교환할 수 있다. 예를 들면,상기에서 기술된 전구체는 구조 [Co(AMD)m]n, [Cr(AMD)m]n 또는 [V(AMD)m]n를 갖는 코발트, 크롬 또는 바나듐 아미디네이트가 될 수 있고, 상기에서 AMD는 아미디네이트이고, m = 2 또는 3이고, n은 1 내지 3의 범위를 가질 수 있다.
실시예
실시예 1
망간을 위한 전구체로 역할을 하는 화합물은 비스(N,N'-다이아이소프로필프로피온아미디네이토)망간(II)이라고 하고, 그 화학식은 하기에 표시되어 있다:
Figure pct00010
이 화합물은 하기의 방법에 의해 합성되었다. 모든 반응과 조작은 비활성 분위기 박스 또는 표준 슐렝크(Schlenk) 기술을 사용하여 순수한 이질소 분위기 하에서 수행하였다. 모든 유리 제품은 반응을 수행하기 전에 150℃의 오븐에서 12시간 동안 보관하였다. 다이에틸 에터는 이노베이티브 테크놀로지(Innovative Technology) 용매 정제 시스템을 사용하여 정제하였고, 어떠한 저장 없이 바로 정제한 것을 사용하였다. 뷰틸 리튬(헥산 중 1.6M), N,N'-다이아이소프로필카보다이이미드 및 염화 망간(II)(무수 비드)을 알드리치로부터 구입하였고, 입수한 대로 사용하였다. 부피 감소 및 증발 단계를 진공 하에서 수행하였다.
비스(N,N'-다이아이소프로필프로피온아미디네이토)망간(II). -30℃에서, 뷰틸리튬 용액(헥산 중 1.6M, 100㎖, 160 m㏖)을 다이에틸 에터 250㎖ 중의 N,N'-다이아이소프로필카보다이이미드(20.2g, 160 m㏖)의 잘 교반된 용액에 적가하였다. 담황색 혼합물을 -30℃에서 4시간 동안 유지시킨 후, 상온으로 가온하였다. 염화망간(10.0g, 79.5 m㏖)을 고형분으로 용액에 첨가하고, 분홍색의 염화 망간 비드가 모두 반응할 때까지 반응 혼합물을 교반하였다(대략 48시간). 그 결과 생성된 탁한 오렌지색 혼합물을 유리 프릿 상의 셀라이트 패드를 통과시켜 여과하여 맑은 오렌지-갈색 용액을 얻었다. 모든 휘발분을 제거하여, 황갈색 고형분을 남겼으며, 이를 120℃, 20 mTorr에서 콘덴서와, 생성물의 융점인 60℃ 이상으로 가열한 수용 플라스크로 진공 증류하였다. 담황색 액상 축합물이 실온으로 냉각됨에 따라 수용 플라스크에서 고화되어, 순수 생성물 27.4g, 65 m㏖ 또는 82%의 수율을 얻었다. 비스(N,N'-다이아이소프로필프로피온아미디네이토)망간(II)은 담황색 결정 고체이고, 공기에 노출시 즉시 흑색으로 변한다.
CVD 실험을 위하여, 액상의 망간 전구체를 90℃의 온도에서 순수 질소 흐름(물과 산소의 농도는 N2의 10-9보다 낮음)으로 증발시켰다. 전구체의 증기압은 이 온도에서 약 0.1mbar인 것으로 평가된다.
실리카 기판은 열로 산화된 실리콘, 또는 ALD 또는 플라즈마-촉진된 CVD로 증착된 실리카 중 어느 하나로 하였다. CVD는 200 내지 400℃의 온도 및 약 5 Torr의 총 압력에서 관상로 내 고온 벽 관상 반응기(직경 36㎜)에서 수행하였다. N2 운반 가스의 유속은 60sccm이었다. 증착된 망간의 양은 러더포드 백스캐터링 분광계(RBS)로 측정하였다.
MnSixOy 형성은 단면 고해상도 투과 전자 현미경(HRTEM)으로 평가하였다. Cu 확산에 의한 배리어로서 MnSixOy의 효율은 네 가지 방식, 즉 광학적 외관, 시트 저항, Cu 실리사이드 형성 및 커패시터의 커패시턴스-전압(CV) 분석으로 테스트하였다. Cu 확산 테스트를 위하여, 8㎚ 두께의 SiO2 층을 215℃에서 ALD에 의해, 이어서 10분 동안 350℃에서 Mn CVD에 의해 HF 에칭된 실리콘 웨이퍼 상에서 성장시켰는데, 두께 2.3㎚의 Mn 금속 필름과 동일한 Mn 금속의 함량을 증착시켰고, 실리카 표면과 반응하여 더 두꺼운 MnSixOy 층을 형성하였다. SiO2의 대조 샘플은 CVD Mn 처리를 생략하였다. 그런 다음, 두께 약 200㎚의 Cu 층을 CVD MnSixOy 또는 SiO2 층의 정상부에 증착시켰다. 순수한 질소 분위기 하의 어닐링을 400, 450 및 500℃의 온도에서 1시간 동안 수행하였다. CV 분석을 위하여, CVD Mn을 300㎚ 열 SiO2에 증착시켰다. Cu 패드(500㎛ 직경의 원형)를 새도우 마스크를 통하여 열 증발에 의해 형성하였다.
SiO2 상에 증착된 얇은 Mn 층(2.3㎚ 두께의 Mn 금속 층에 해당함)은 유의적인 전기 전도성을 갖지 않는데, 이것은 아마도 Mn이 절연체와 반응하여 고 저항성을 갖는 MnSixOy를 형성하기 때문인 것 같다. 따라서, 금속 Mn의 증착은 이 결과로 입증되지 않았다. Mn 금속이 초기에 증착되었는지를 확인하기 위하여, SiO2/Si 기판에 증발된 50㎚ 두께의 Cu 상에 Mn을 증착시켰다. 그 결과 생성된 구조물을 단면 HRTEM으로 조사하였다. 도 11은 CVD Mn 금속이 Cu 층을 통해 확산되고, SiO2와 반응하여, Cu와 SiO2 간에 약 2~5㎚ 두께를 갖는 무정형 MnSixOy 층을 형성하였음을 나타낸다. MnSixOy 층은 Cu 결정입계 부근에서 더 두꺼우며, 또한 Mn 확산이 더 빠르다. 이 결과는 Mn 금속 증착의 분명한 증거가 된다.
이러한 층은 Mn 증착 이후에 강한 접착을 나타낸다. 테이프 접착 테스트 후 어떤 물질도 제거하지 않았다. 이러한 층의 접착력을 4점 굽힘 테스트(4-point bend test)에 의해 보다 정량적으로 테스트하였고, 이는 5 Jm-2보다 컸다. 이 값은 마이크로전자 장치에서 CMP와 이후의 기계 응력을 견디기에 충분히 높다. 대조 실험에서, 후속 Mn 증착 없이 SiO2에 증착된 Cu는 그 낮은 접착력 때문에 테이프에 의해 용이하게 박리되었다.
구리 배리어로서 MnSixOy의 효율은 PVD Cu(200㎚)/CVD Mn(2.3㎚)/ALD SiO2(8㎚)/Si 샘플 구조물을 사용하여 평가하였다. Cu와 ALD SiO2 층 간에 MnSixOy 층을 형성하였다. 이러한 샘플의 반들반들한 Cu 색상과 시트 저항은 질소 하에 400 또는 450℃에서의 어닐링에 의해 변하지 않았다. 500℃ 어닐링 후에, Mn이 없는 대조 샘플은 흑색으로 변하였고, 그 시트 저항은 200 계수만큼 증가하였는데, 이는 Cu가 얇은 ALD SiO2를 통하여 실리콘으로 대량 확산되었기 때문이다. 대조적으로, CVD Mn 샘플은 반들반들한 Cu 색을 유지하였고, 심지어 500℃에서도 단지 약간의 저항 증가만을 나타내었을 뿐이었다.
Cu 확산에 대해 분석하기 위하여, 남아있는 Cu 층을 질산에 용해시킨 다음, 망간 실리케이트와 실리카를 묽은 HF로 제거하였다. 그 다음에, 에칭된 표면을 에너지-분산 X-레이 분광계(EDX)와 주사 전자 현미경(SEM)에 의해 분석하였다. 도 12는 1시간 동안 500℃ 어닐링 후에 SEM 결과를 나타낸다. 소수의 Cu 함유 반점은 실리콘의 결정 방향에 의해 배향된 Cu 실리사이드 결정자인 것으로 보인다. 대조 샘플은 그 표면의 대부분이 Cu 실리사이드로 덮인 것으로 나타났다. 대조 샘플은 EDX 분석에서 실리콘 시그널보다 더 강한 큰 Cu 시그널을 나타낸 바, 이것은 얇은 ALD SiO2가 Cu의 확산을 가능하게 하였음을 확인시켜준다. CVD Mn 처리된 샘플은 대면적 EDAX에 의할 때 Cu를 보이지 않았다. SEM 이미지의 몇몇 소면적은 EDAX에 의해 약간의 Cu를 나타내었는바, 이것은 500℃에서 MnSixOy 배리어의 일부 국소 붕괴를 나타낸다. 이러한 반점은 청정실 환경에서 가공되지 않았던 필름 내 분진 또는 다른 결함으로 인해 생기는 것일 수 있다.
배리어 물성의 전기 테스트는 Cu를 커패시터 전극에 패터닝함으로써 수행되었다. 450℃에서 1시간 동안 어닐링된 샘플에 대한 CV 곡선을 도 13에 나타낸다. 대조 샘플에서 음의 전압으로의 큰 시프트(-4.9V)는 실리카 절연체로 확산되는 Cu 양이온에 의해 야기된다. 대조적으로, MnSixOy에 의해 보호되는 실리카는 단지 매우 작은 시프트(-0.1 V)를 나타낸다. 이 전기 테스트는 다른 테스트보다 소량의 Cu 확산에 더 민감하다. 또한, 이러한 CV 곡선은 SiO2의 커패시턴스가 CVD Mn 처리에 의해 유의적으로 변하지 않았음을 입증한다.
유사한 커패시터의 어닐링을 또한 250℃에서 1 MV/cm의 인가 전압 하에 수행하였다. 비아 온도 응력(BTS) 테스트는 SiO2로의 Cu 확산에 대한 보다 민감한 방법이다. 대조 샘플은 BTS 조건에서 겨우 2분 후에 커패시턴스 거동을 잃었는데(도 14a), 이것은 대량의 Cu가 Si로 확산되어, Si가 반도체로서 작동할 수 없게 됨을 시사한다. 그러나, CVD Mn 처리된 샘플은 유의적인 CV 곡선 변화가 없었다(도 14(b)). 이러한 BTS 테스트 결과는 MnSixOy 층의 양호한 Cu 배리어 물성을 입증한다.
또한, MnSixOy 층은 구리 층을 부식시킬 수 있는 산소와 물에 대해 양호한 배리어인 것으로 밝혀졌다. 금속 실리케이트 층이 구리를 얼마나 잘 보호하였는지 테스트하기 위하여, Applied Materials 사의 저-k 다공성 절연체 층에 상기에서 기술한 바와 같이 망간을 코팅하고, 이어서 구리를 CVD하였다. 구리의 정상부 표면을 문헌 Science, volume 298, pages 402 - 406 (2002)에 기술된 방법에 의해 ALD 실리카 20㎚로 보호하였다. 샘플을 조각으로 절단하여 산소 또는 수증기가 저-k 층으로 확산될 수 있도록 저-k 절연체의 가장자리를 노출시켰다. 300℃에서 24시간 동안 건조 공기에 노출시킨 후에, 샘플은 반들반들한 구리 색을 유지하였다. CVD 망간 처리를 하지 않은 대조 샘플은 동일한 노출에 의해 어두운 구리 산화물로 부식되었다. 이 테스트는 망간 실리케이트 층이 산소에 대한 양호한 배리어라는 것을 나타내었다. 습한 분위기(24시간 동안 85% 습도 및 85℃) 하에서의 유사한 테스트는 망간 실리케이트 층이 수증기에 대한 양호한 배리어라는 것을 나타내었다.
MnSixOy 층의 형성은 Cu/SiO2 계면의 접착력을 증가시켰는데, Mn의 CVD 전의 테이프 접착 테스트에서는 불량이었지만 Mn의 CVD 후에는 합격이었다. 접착 강도는 4점 굽힘 테스트로 측정하였다. 실리콘 웨이퍼 상의 열 SiO2로 Mn을 CVD함으로써 샘플을 제조하였다. 그런 다음, 200℃에서 CVD를 사용하여, 구리 N,N'-다이-sec-뷰틸아세트아미디네이트 증기와 수소(H2)의 반응에 의해 Cu를 형성하였다. 접착 에너지는 10.1±1 Jm-2인 것으로 나타났다. 일반적으로, 5 Jm-2는 내구성 있는 상호 접속부를 제조하기 위한 최소 역치 요건인 것으로 고려되고 있다.
단면 투과 전자 현미경(TEM)을 사용하여 저-k 절연체의 표면 내 MnSixOy 층의 이미지를 만들었다(도 15). 이 이미지는 MnSixOy 층을 어둡고 특징이 없는 밴드로 표시하는데, 이것은 이 층이 무정형 유리질 임을 가리킨다. 40:1 이하의 종횡비를 갖는 홀에서의 CVD Mn과 CuON 증착의 정합성은 단면 SEM과 TEM 연구에 의해 확인하였다.
실시예 2
비스(비스(N,N'-다이아이소프로필펜틸아미디네이토)망간(II) 대신에, 망간 사이클로펜타다이에닐 트라이카보닐 MnCp(CO)3으로 실시예 1을 반복하였다. 유사한 결과를 얻었다.
실시예 3
망간 대신에 크롬으로 실시예 1을 반복하였다. 유사한 결과를 얻었다.
실시예 4
망간 대신에 바나듐으로 실시예 1을 반복하였다. 유사한 결과를 얻었다.
실시예 5
Mn-확산된 Cu와 SiCN 절연 필름 간의 개선된 접착을 얻었다. Mn-확산된 Cu와 SiCN 층 간의 접착 에너지의 정량적 4점 굽힘 테스트를 수행하였다. SiCN 층(BLoKTM, Applied Materials) 위에 구리 50㎚를 증발시켰다. 구리는 접착 에너지가 3 Jm-2 미만으로서 매우 약한 접착을 나타내었다. 다음에, 유사한 Cu/SiCN 층을 350℃에서 10분 동안 CVD Mn으로 처리하였다. 이 공정은 구리 내 망간 불순물 때문에 시트 저항을 0.5 옴/스퀘어(Ω/square)에서 1 옴/스퀘어로 증가시켰다. 그런 다음, 구조물을 질소 분위기에서 400℃에서 1시간 동안 어닐링하였다. 그런 다음 시트 저항이 0.5 옴/스퀘어보다 약간 적은 값으로 복귀하였는데, 이는 망간이 표면 또는 계면으로 확산되었기 때문이다. 구리 필름으로부터의 망간의 외부 확산(out-diffusion)은 SIMS 분석으로 확인하였다. 열 처리 후에, 접착 에너지가 12 Jm-2보다 크게 현저하게 증가하였는데, 이는 망간이 계면으로 확산되어 계면 또는 반응층을 만들었기 때문이다. 접착 에너지는 실시예 1에서 얻어진 10.1±1 Jm-2보다 더 컸다.
실시예 6
Mn 확산된 Cu와 Si3N4 층 간의 더 큰 접착을 관찰하였다. 20㎚의 Cu를 실시예 1에서와 같이 플라즈마-활성화된 CVD에 의해 Si3N4로 미리 코팅된 실리콘 웨이퍼 상으로 CVD로 증착하였다. 그런 다음, 2.3㎚의 Mn을 실시예 1에서 기술된 CVD 공정으로 증착하였다. 그 다음에, 또 다른 20㎚의 Cu를 CVD로 증착하고, 이어서 30㎚의 Si3N4를 플라즈마 활성화된 CVD(PECVD)로 증착하였다. 이러한 층의 접착은 4점 굽힘 테스트 동안에 분리될 수 없을 정도로 매우 강하였다. 대신에, 고 강도 에폭시는 80 Jm-2를 넘는 탈결합 에너지 밀도에서는 불량이었다. 따라서, 8배 이상의 접착력 증가가 실시예 1의 실리카 층보다는 오히려 Si3N4 층을 사용하였을 때 관찰되었다.
CVD Mn 단계 없이 제조된 대조 샘플은 약 7 Jm-2의 훨씬 더 낮은 탈결합 에너지 밀도에서 불량이었다.
이러한 결과는 Si3N4의 캡핑 층에 대한 Cu의 결합이 CVD에 의해 Cu 층에 Mn을 첨가함으로써 크게 강화될 수 있음을 나타낸다. 캡핑 층에 대한 Mn-도핑된 Cu의 훨씬 더 강한 결합은 캡핑된 라인의 정상부를 따라 전자 이동을 억제할 수 있다. 따라서, 이 캡핑 공정은 상호 접속 라인이 전자 이동에 의해 실패하기 전에 상호 접속 라인의 수명을 훨씬 더 크게 한다. Mn, Si 및 N을 포함하는 계면 결합 층은 산소를 포함하는 계면 층보다 Si3N4에 구리 금속을 더 강하게 결합시킨다.
실시예 7
또한, Mn 캡핑 공정은 Cu 라인 간의 절연을 유지할 수 있다. 이 효과를 입증하기 위하여, 폭 70㎚의 SiO2계 절연 라인에 의해 분리된 긴(~ 4㎝) 평행 Cu 상호 접속부로 빗살 테스트 구조물을 제조하였다. 상부 표면은 화학적 기계적 연마에 의해 실질적으로 편평하게 제조하였다. 라인 간의 누설 전류는 2 볼트에서 측정하였을 때 10-12 암페어보다 작았다. 실시예 1에서와 같이 Mn을 5분 동안 CVD하고 20㎚ Si3N4을 PEVCD한 후에는, 누설 전류가 이 낮은 베이스 라인 레벨에서 유지되었다. 라인의 길이를 따라 저항은 그 초기 값으로부터 약간 감소하였는데, 이것은 아마도 CVD 공정 동안 구리 입자의 크기가 성장하였기 때문이다.
실시예 8
실리콘 상의 수 개의 상업적으로 입수가능한 절연층이 있는 기판을 산화된 실리콘 상의 얇은(20㎚) 구리와 함께, CVD 반응기에 넣었다. 이 절연 샘플들은 유전 상수가 2.4 또는 2.2이고 다공성의 저-k SiCOH 절연체뿐만 아니라, 열로 성장된 실리콘 다이옥사이드, 플라즈마-증착된 실리콘 다이옥사이드, 및 유전 상수가 2.7 또는 2.5이고 비-다공성의 저-k 실리콘 카바이드 산화물(SiCOH) 절연체를 포함하였다. 또 다른 기판은 비-다공성의 SiCOH (k=2.5) 영역에 의해 분리된 구리의 영역으로 패터닝하였다. 이 모든 샘플들을 증기 처리하기 전에 화학적 기계적 연마하였다. 기판을 반응기에 넣은 후에, 기판을 250℃까지 가열하면서 정제된 질소로 씻어 주었다. 그런 다음, 구리 표면 위에 있는 구리 산화물을 1 Torr, 250℃에서 1시간 동안 정제된 수소 가스로 환원시켰다. 이 처리는 또한 흡착된 물을 절연체로부터 제거시켜 주었다. 다음에, 반응기를 실온까지 냉각시켰다. 그런 다음, 두 개의 자기 조립 단일층(self-assembled monolayer, SAM) 증기 전처리를 하기와 같이 적용하였다. 기본 전압(약 20 mTorr)까지 반응기에서 가스를 회수하고, 그런 다음 비스(N,N-다이메틸아미노)다이메틸실란인 (CH3)2Si(N(CH3)2)2의 상온인 공급원 유래의 증기(약 14 Torr)로 충전하였고, 그런 다음 1/2시간 동안 90℃까지 가열하였다. 그런 다음, 반응기에서 다시 가스를 회수하여 기본 압력으로 맞추었고, 실온까지 냉각시켰으며, (N,N-다이메틸아미노)트라이메틸실란인 (CH3)3SiN(CH3)2의 증기(약 75 Torr)로 다시 충전하고 1/2시간 동안 90℃까지 가열하였다. 그런 다음, 샘플을 300℃의 망간 증착 온도까지 가열하였다. 망간 전구체인 비스(N,N'-다이아이소프로필펜틸아미디네이토)망간(II)을 액상으로부터 90℃의 온도에서 버블러로 매우 정제된 질소(물과 산소의 농도는 N2의 10-9보다 낮음) 60 sccm 흐름으로 증발시켰다. 이 증기 혼합물을 튜브형 반응기의 한쪽 말단으로 넣기 바로 전에 티(tee)에서 정제된 수소 60 sccm과 혼합하였다. 반응기 튜브는 내부 직경이 36㎜이다. 알루미늄 하프 실린더는 반응기 내에서 기판을 지탱해주었다. 반응기와 진공 펌프 간의 나비형 밸브를 조절하는 압력 센서에 의해 반응기 내 압력을 5 Torr로 유지하였다. 온도를 안정화시킨 후에, CVD 증기 혼합물을 20분 동안 반응기에 통과시켰다. 그런 다음, 반응기를 실온까지 냉각시켰고, 분석을 위해 샘플을 제거하였다.
샘플에 증착된 망간의 함량을 측정하기 위하여 러더포드 백스캐터링 분광계(RBS)를 사용하였다. 구리 기판과 저-k(k=2.5) 기판으로부터 생성된 데이터를 도 16에 도시하였다. 이러한 RBS 데이터 분석은 구리 기판 표면 및 내부에 1 제곱센티미터 당 6.6×1016개의 망간 원자가 증착되었음을 나타내었으며, 이것은 기판이 벌크한(bulk) 망간 금속의 밀도를 갖는다면 8㎚ 두께의 층을 형성할 수 있는 함량이다. 실리콘 다이옥사이드 샘플 또는 k=2.4, 2.5 또는 2.7를 갖는 저-k SiCOH 절연체샘플에서는 RBS에 의할 때 어떤 망간도 검출될 수 없었다(검출 한계는 cm-2 당 <5xl013개 원자). 따라서, 이 공정은 상기 절연체 상의 증착 대비 Cu에서의 증착에서 우선적인 >1000:1의 선택성을 갖는다. 또한, 패터닝된 샘플 중 망간 함유량을 주사 전자 현미경(SEM)에서 X-레이에 의한 에너지 분산 분석(EDAX)으로 측정하였다. 구리 영역에서는 5.08 atomic %의 망간이 발견된 반면에, 절연 영역에서는 어떠한 망간도 검출되지 않았다(<0.01 %). EDAX 결과에 따르면, 선택성은 > 500:1이다. 도 19의 맨 아래 곡선에서 볼 수 있는 바와 같이, X-레이 광전자 분광계(XPS)는 구리에서는 망간이 또한 발견되었지만, 비-다공성 절연체에서는 망간이 발견되지 않았고, 이는 >100:1의 선택성을 나타낸다. RBS는 이러한 분석 방법 중에서 최고의 감도를 갖고 있기 때문에, 본 발명자는 선택성이 1000:1을 초과하는 것으로 결론 내렸다.
k=2.2의 다공성 SiCOH 절연체에서, 저 레벨(cm-2 당 1.2xl014 개의 원자)의 Mn이 상기 절연체에서 검출되었고, 이는 약 500:1의 선택성에 대응된다.
XPS 분석에 의해 결정된 CVD 망간의 구리 기판 상의 분포를 도 17에 도시하였다. 점은 실험값이고, 선은 CVD 공정 동안에 망간의 표면 농도가 일정하고 증착 후에 기판이 고속으로 냉각된다는 가정 하에 확산 방정식을 이론적으로 맞춘 것이다. 이러한 맞춤으로부터 결정된 확산 상수는 3×10-21 m2 s-1이고, 이 값은 300℃에서 단일-결정 Cu로 Mn의 확산에 대해 이전에 기록된 값보다 약 30배 이상 더 큰 값이다.
실시예 9
특허 출원 US2008/0032064에서 기술된 바와 같이, 다공성 SiCOH 절연체(k=2.2 또는 2.4) 샘플을 먼저 ALD SiO2에 의해 공극 실링하였고, 상기 특허 출원은 전체로 참고로 본 명세서에 포함되어 있다. 그런 다음, 이들을 실시예 8에서 기술한 바와 같이 CVD 망간 처리하였다. RBS, EDAX 및 XPS에 의한 분석은 어떠한 망간도 상기 절연체의 실링된 표면에 증착하지 않았음을 나타내었다.
실시예 10
망간이 증착되는 구리와 절연체 간의 접착을 증가시키기 위해 망간이 어느 정도로 필요한지를 알아보기 위하여 추가적인 테스트를 수행하였다. 실리콘 다이옥사이드, 실리콘 질화물 및 실리콘 탄질화물 절연 기판을 사용하였다. 먼저 실시예 1에서와 같이 CVD를 사용하여 절연체에 구리 층을 형성하였고, 그런 다음 실시예 1에서와 같이 망간 CVD를 수행하였고, 그런 다음 제2 CVD 구리 층을 증착하였다. 이 시료들이 스퍼터링된 알루미늄 약 0.1㎛을 받도록 챔버 내에 상기 시료들을 공기 중 유지시킨 다음, 상기 시료들을 고강도 에폭시로 제2 실리콘 웨이퍼 조각에 부착시켰다. 4점 프로브 방법에 의한 접착 테스트는 도 18에 나타낸 결과를 제공하였고, XPS에 의해 결정된 절연체 균열된 표면에 남아있는 실리콘에 대한 망간의 비율과 탈결합 에너지로 그래프화하였다. 이 결과는 구리와 절연체 간의 계면에서 망간의 함량을 증가시키는 것은 이들 물질들 간의 접착 강도를 증가시킴을 나타낸다.
실시예 11
이미 증착된 구리 층과 상기 구리 정상부에 나중에 증착된 실리콘 질화물 간의 계면을 망간이 얼마나 강화시키는지를 알아보기 위하여 추가적인 테스트를 수행하였다. 먼저, 열로 산화된 실리콘 기판 위에 티타늄을 스퍼터링하고, 이어서 스퍼터링된 구리 층을 형성하였다. 공기 중에서 유지시킨 다음, 산화된 구리 표면을 1 Torr에서 1시간 동안 250℃에서 정제된 수소에서 가열시켜 환원시켰다. 그런 다음, 실시예 8에서와 같이 CVD 망간을 적용하였다. 공기 중에서 다시 유지시킨 후에, 샘플을 암모니아 플라즈마로 처리하였고 그런 다음 알루미늄 0.1㎛를 스퍼터링하기 전에 약 20㎚ 두께의 플라즈마-CVD 실리콘 질화물 층을 증착하였다. 실리콘 질화물과 실리콘 질화물이 증착된 구리 간의 계면에 균열이 발생하였다. 상기 캡핑 계면에서 파괴 에너지(fracture energy)를 도 18에 또한 그래프화하였고, 이것은 실시예 10에서 기술된 바와 같은 실리콘 질화물의 기판 정상부에 증착된 구리-망간보다 더 강하게 결합되어 있음을 나타낸다.
비교예 1
실시예 8과의 비교를 위하여 대조 실험을 수행하였다. 실시예 8에서 비스(N,N-다이메틸아미노)다이메틸실란인 (CH3)2Si(N(CH3)2)2와 (N,N-다이메틸아미노)트라이메틸실란인 (CH3)3SiN(CH3)2의 반응을 생략한 것을 제외하고는 실시예 8의 단계를 반복하였다. RBS 분석에 의할 때, 1 제곱센티미터 당 약 3×1015개의 망간 원자가 절연체 표면에서 발견되었다. XPS는 RBS와 같이 정량적으로 원자를 계수하지는 않았지만, 도 19의 맨 위 곡선에 나타낸 바와 같이, 상기 함량의 망간이 XPS에 의해 쉽게 관찰되었다.
비교예 2
실시예 8과의 비교를 위하여 대조 실험을 수행하였다. 실시예 8에서 비스(N,N-다이메틸아미노)다이메틸실란인 (CH3)2Si(N(CH3)2)2와의 반응을 생략하고 단지 (N,N-다이메틸아미노)트라이메틸실란인 (CH3)3SiN(CH3)2와의 반응만을 수행한 것을 제외하고는 실시예 8의 단계를 반복하였다. XPS에 의할 때 절연체 표면에서 망간이 검출되었고, 따라서 도 19에서 위에서부터 두 번째 곡선에서 도시된 바와 같이 완전한 선택성을 얻지 못하였다.
비교예 3
실시예 8과의 비교를 위하여 대조 실험을 수행하였다. (N,N-다이메틸아미노)트라이메틸실란인 (CH3)3SiN(CH3)2와의 반응을 생략하고 단지 비스(N,N-다이메틸아미노)다이메틸실란인 (CH3)2Si(N(CH3)2)2와의 반응만을 수행한 것을 제외하고는, 실시예 8의 단계를 반복하였다. XPS에 의할 때 절연체 표면에 망간이 검출되었고, 따라서 도 19에서 위에서부터 세 번째 곡선에서 도시된 바와 같이 완전한 선택성을 얻지 못하였다.
비교예 1, 2 및 3으로부터의 결론은 비스 (N,N-다이메틸아미노)다이메틸실란인 (CH3)2Si(N(CH3)2)2와 (N,N-다이메틸아미노)트라이메틸실란인 (CH3)3SiN(CH3)2의 양자 모두로 전 처리하는 것은 CVD 망간에 의해 구리 표면을 안정화시키는 동안 절연체에 망간의 증착을 최소화시키는 것을 도와준다는 것이다.
비교예 4
실시예 8과의 비교를 위하여 대조 실험을 수행하였다. 수소인 H2의 흐름을 질소인 N2의 흐름으로 대체한 것을 제외하고는 실시예 8의 단계를 반복하였다. XPS 분석은 절연체 표면에 망간이 존재함을 나타내었다. 비교예 4로부터의 결론은 CVD 동안 수소의 존재는 CVD 망간에 의해 구리 표면을 안정화시키는 동안 절연체 망간의 증착을 최소화시키는 것을 도와준다는 것이다.
실시예 12
구리 표면에 코발트 금속을 CVD로 선택적으로 증착하였고, 반면에 적절하게 전처리된 절연체 표면에는 코발트가 거의 또는 전혀 증착되지 않도록 하였다. 구리와 실리카 기판을 1 Torr에서 1시간 동안 250℃에서 정제된 수소 기체에서 가열함으로써 제조하였고, 그런 다음 실시예 8에서 기술된 바와 같이 실란 증기에 노출시켰다. 문헌["Synthesis and characterization of volatile liquid cobalt amidinates", Dalton Transactions of the Royal Society of Chemistry, pages 2592-2597, 2008]에서 기술된 바와 같이 비스(N-tert-뷰틸-N'-에틸프로피온아미디네이토)코발트(II)를 제조하였으며, 상기 문헌은 전체로서 본 명세서에서 포함되어 있다. 이 액상의 코발트 전구체를 약 0.26 Torr의 증기압을 갖는 온도인 85℃에서 버블러 내에 두었다. 버블러를 통해 고순도 N2를 60sccm으로 통과시킴으로써 상기 증기를 CVD 반응기로 전달하였다. 전구체 증기 흐름이 CVD 반응기 내로 들어오기 바로 전에 공 반응 기체이며 60sccm의 유속을 갖는 H2와 혼합하였다. 기판을 200℃의 온도에서 유지하였다. 구리 표면을 코발트로 완전히 덮기 위해 20분 동안의 증착은 충분하였다. 이러한 코발트로 덮임의 증거는 XPS가 구리의 특징적인 시그널 없이 단지 코발트 시그널만을 표시한 점이다. 실리카 표면에서는 코발트의 XPS 시그널이 검출되지 않았지만, RBS 분석은 1 제곱센터미터 당 1014개보다 적은 코발트 원자를 나타내고 있었다.
실시예 13
실리콘 상의 플라즈마-촉진된 실리카 층을 실시예 1에서 기술된 조건 하에서망간 증착을 위한 기판으로 사용하여 MnSixOy 층을 형성하였다. 그런 다음, 기판 온도 130℃에서 5분 동안, 동일한 망간 전구체와 2 Torr의 부분압을 갖는 암모니아 및 1 Torr의 부분압을 갖는 수소와 반응시킴으로써, CVD 망간 질화물을 증착하여, 그 결과 약 2.5㎚ 두께의 조성 Mn4N으로 코팅을 형성하였다. 원자력 현미경에 의한 RMS 표면 거칠기(root-mean-square surface roughness)는 0.3㎚인 것으로 나왔고, 이것은 기판의 거칠기인 0.2㎚보다 약간 크다. 이 결과는 망간 질화물이 매끄러운 상태를 유지하고 이 낮은 증착 온도에서 응집하지 않음을 나타낸다.
실시예 14
실시예 13에서와 같이 망간 질화물을 증착하였다. 그런 다음, 실온 바로 위(표면에서 수소 원자의 재조합에 의해 약 50℃까지 가열됨)의 기판 온도에서 망간 질화물 층을 수소 플라즈마로 환원시켜, 매끈하고 응집되지 않은 망간 금속 층을 생성하였다.
실시예 15
망간 질화물 CVD의 또 다른 예로서, 90℃의 온도에서 버블러 내에서 액체로서 망간 전구체를 매우 정제된 질소(물과 산소의 농도는 N2의 10-9보다 낮은)의 60sccm 흐름 내로 증발시켰다. 이 증기 혼합물을 튜브형 반응기의 한쪽 말단으로 넣기 바로 전에 매우 정제된 질소 60sccm 및 정제된 암모니아(NH3) 60 sccm과 티(tee)에서 혼합하였다. 반응기 튜브는 내부 직경이 36㎜이다. 알루미늄 하프 실린더는 등온성 반응기 내에서 기판을 지탱해주었다. 반응기 온도는 130℃로 조절하였고, 반응기와 진공 펌프 간의 나비형 밸브를 조절하는 압력 센서에 의해 반응기 내의 전체 압력을 5 Torr로 유지하였다. 이러한 조건 하에서, 약 2.5㎚의 망간 질화물 필름을 5분에 걸쳐 증착하였다.
52:1의 종횡비(직경에 대한 길이의 비)를 갖는 홀이 있는 기판을 이러한 방식으로 MnNx, x ~ 0.25로 코팅하였다. 도 20은 상기 홀의 일부를 통한 단면의 SEM을 표시하고 있다. 홀의 윤곽을 나타내는 밝은 선은 MnNx 필름이고, 이것은 상기 물질이 상기 홀의 내부 표면 전체에 걸쳐 균일하고 정합으로 증착되었음을 나타내고 있다. X-레이 회절은 상기 물질이 Mn4N에 대해 알려진 큐빅(cubic) 구조를 가짐을 나타내었다. 원자력 현미경(AFM)은 Mn4N 필름이 두께의 7%와 등가인 RMS 거칠기를 가져, 매우 매끈한 상태임을 나타내었다.
실시예 16
Mn4N를 실시예 15에서와 같이 증착하였다. Mn4N 필름을 순수한 질소 흐름 하에 반응기 내에 유지하였고 그 동안에 약 50℃까지 냉각시켜 그 표면을 산화로부터 보호하였다. 그런 다음, 요오드 공급원으로 요오드화 에틸 증기(CH3CH2I, 끓는점 72℃)를 사용하여 망간 질화물 필름의 깨끗한 표면에 요오드 원자를 흡착시켰다. 요오드화 에틸은 실온에서 버블러 내에 포함되도록 하였고, 그 증기를 100sccm의 유속과 0.5 Torr의 전체 압력의 질소 운반 가스와 함께 0.05 Torr의 부분압 하에 반응기에 30초 동안 직접적으로 충전하였다. 그런 다음, 40sccm의 매우 정제된 질소 내로 130℃의 온도에서 버블러 내에 액체로부터 증발된 구리 전구체를 사용하여, 동일한 반응기 내에서 CVD 구리를 증착하였다. 180℃의 기판 온도 및 5 Torr의 전체 압력 하에 유지된 반응기 안으로 상기 구리 전구체 증기를 바로 넣기 전에, 상기 구리 전구체 증기를 수소(40 sccm)와 혼합하였다. 이러한 조건 하에, 구리 약 65㎚가 40분 후에 증착되었다.
도 21은 이 공정이 5:1 보다 큰 종횡비를 갖는, 즉 폭이 30㎚보다 작으며, 깊이가 150㎚보다 깊은 트렌치를 구리로 완전히 충전하였음을 나타낸다. 구리 중심선을 따라 어떠한 심 또는 보이드가 관찰되지 않았으며, 이것은 Mn4N에 먼저 흡착된 요오드가 Mn4N로부터 방출되었고, 그런 다음 성장하는 구리 표면에서 부유하는 계면활성제로서 상기 트렌치를 바닥부터 상부까지 채우는 것에 촉매 작용하였음을 제안한다. 도 22는 X-레이 광전자 분광계(XPS)에 의할 때, 증착 이후에 요오드가 구리의 정상부 표면에서만 발견됨을 나타내고 있다. 상기 필름이 정상부에서부터 스퍼터링됨에 따라 표면 오염원으로부터의 산소 및 탄소의 시그널과 함께 요오드의 신호가 함께 사라졌으며, 대부분의 구리 필름에서는 불순물이 검출되지 않았다. 이러한 XPS 데이터는 요오드가 Mn4N로부터 성공적으로 방출되어, 성장하는 구리 표면에서 촉매 작용을 하는 계면활성제로 부유하고 있음을 입증한다. 도 23의 SEM에서 볼 수 있는 바와 같이, 폭이 17㎚만큼 낮고, 깊이가 150㎚보다 크고, 종횡비가 9:1인 심지어 더 좁은 트렌치 또한 이 공정에 의할 때 Cu로 성공적으로 충전되었다. 통상적으로, 촉매 작용에 의한 구리의 CVD는 트렌치의 종횡비가 5:1보다 크면 트렌치를 보이드 없이 충전하는 것을 제공할 수 없다고 여겨졌다. 그러나, 통상의 지식과는 반대로, 실질적으로 보이드 없이 트렌치를 충전시키는 것은 MnNx의 표면으로부터 방출되는 요오드에 의해 촉매화된 구리 CVD에 의해 달성되었다. 도 24에 도시된 바와 같이, 더 넓은 트렌치는 동일한 증착 조건에 의해 구리로 부분 충전되었다. 구리가 트렌치의 측면보다는 바닥에서 더 빨리 성장한다는 사실은 요오드 촉매가 MnNx 층의 표면으로부터 방출되었음을 나타낸다.
도 21의 현미경 사진으로부터의 또 다른 놀랄만한 발견은 심지어 임의의 증착 후-어닐링 없이도, 대형의 구리 입자가 트렌치의 폭에 완전히 걸쳐 있다는 점이다. 이러한 "대나무 구조"는 매우 바람직한데, 왜냐하면 이것은 구리 선이 전자 이동에 의해 불량이 되기 전에 수명을 연장시키기 때문이다. 전자 이동 수명을 연장시키는 또 다른 요소는 주변 물질에 대한 구리의 접착이 강한지 여부이다. 그러므로, 본 출원인은 실시예 16에서 기술된 공정에 따른 Mn4N에서 성장된 편평한의 구리 필름의 접착을 테스트하였다. 증착한 다음에, 구조물을 순수한 질소 가스 환경에서 1시간 동안 350℃에서 어닐링하였다. 이러한 샘플에 대한 4-점 굽힘 테스트는 1 제곱미터 당 6.5줄보다 큰 탈결합 에너지를 나타내었고, 이것은 화학적 기계적 연마에 의한 추가적인 공정을 견뎌낼 만큼 높은 값이다.
구리 확산에 대한 배리어로서 망간 질화물의 효과는 구리 실리사이드를 형성하는 실리콘과 구리의 반응을 조사함으로써 테스트하였다. 이러한 구리의 확산 테스트를 위하여, HF로 에칭된 실리콘 웨이퍼 위에 9㎚ 두께의 SiO2 층을 250℃에서의 원자 층 증착(ALD)으로 성장시켰고, 그 다음에 130℃에서 5분 동안 망간 질화물 CVD하여 2.5㎚의 필름을 형성하였고, 질소 분위기 하에 350℃에서 1시간 동안 증착-후 어닐링하였다. SiO2 대조 샘플은 망간 질화물 CVD 처리를 생략하였다. 그런 다음, 망간 질화물 또는 SiO2 층 정상부에 약 200㎚ 두께의 구리 층을 증착하였다. 그런 다음, 순수한 질소 분위기에서 500℃에서 1시간 동안 샘플을 어닐링하였다. 구리가 실리콘 기판 내로 확산되는지를 알아보기 위하여, 구리 층을 질산에 용해시켰고, 묽은 HF로 망간 질화물과 실리카를 제거하였다. 그런 다음, 에칭된 표면을 에너지-분산 X-레이 분광계(EDX)와 SEM으로 분석하여 도 25에 도시된 결과를 얻었다. 기준 샘플은 샘플 표면의 대부분이 구리 실리사이드 결정화물로 덮여있음을 나타내며, 이것은 구리가 얇은 실리카 층으로 확산되었음을 나타낸다. 망간 질화물로 처리된 샘플은 대면적 EDX에 의할 때 어떠한 Cu도 나타내지 않았으며, 이것은 MnNx 또는 SiO2와 MnNx의 반응 생성물이 구리의 확산에 대해 효과적인 배리어를 형성하였음을 나타낸다.
비교예 5
실시예 16에서 MnNx의 CVD를 생략한 것을 제외하고는, 실시예 16을 반복하였다. 따라서, 요오드화 에틸 증기를 MnNx보다는 노출된 SiO2 표면에 노출시켰다. 실시예 16에서보다 훨씬 더 적게 구리가 증착되었고, 구리가 존재하는 형태는 정합 필름 또는 좁은 트렌치에 충전된 형태보다는 응집된 입자의 형태였다. 이 비교 결과는 실시예 16에 나타낸 MnNx와 비교할 때, SiO2가 요오드를 화학 흡착할 수 없고, 그런 다음 방출시켜 촉매 작용을 하는 계면 활성제로서 작용할 수 없게 함을 나타낸다.
실시예 17
실시예 16에서, 최초 구리 층을 단지 5분 동안만 성장시킨 것을 제외하고는 실시예 16을 반복하였다. 그런 다음, 깨끗한 구리 표면에 요오드 흡착을 위한 추가적인 단계를 적용하였다. 그런 다음, 추가적인 Cu CVD를 40분 동안 수행하였다. 증착된 구리의 총 함량이 실시예 16에서보다 50% 더 커졌다는 차이점이 있을 뿐 유사한 결과가 발견되었으며, 아마도 이것은 공급된 요오드 촉매의 추가적인 함량 때문이다.
실시예 18
망간 질화물을 130℃에서 5분 동안 먼저 증착하여 2.5㎚의 필름을 형성하였다. 그런 다음, 챔버 내로 요오드화 에틸을 50℃에서 30초 동안 0.05 Torr의 압력에서 주입하였다. 그런 다음, 구리를 180℃에서 5분 동안 증착하여 연속적인 층을 형성하였고, 요오드화 에틸 증기를 50℃에서 30초 동안 구리 표면에 다시 노출시켰다. 그런 다음, 망간과 구리 전구체를 질소 50sccm에 의해 챔버에 교대로 이동시켰고, 기판 온도 180℃와 전체 압력 5 Torr에서 수소 50sccm과 혼합하였다. 한 사이클에서, 망간 전구체를 3분 동안 공급하였고, 구리 전구체를 5분 동안 공급하였다. 이 사이클을 트렌치가 구리-망간 나노라미네이트로 완전히 충전될 때까지 반복하였다. Mn/Cu 비는 X-레이 형광(XRF)으로 정량화하였고, 대략 0.5 원자 퍼센트 망간으로 발견되었다. 도 26의 SEM에 도시된 바와 같이, Cu-Mn 나노라미네이트는 좁은 트렌치를 완전히 충전하였다. 도 27에 도시된 바와 같이, XPS에 의할 때, 요오드 촉매는 나노라미네이트 촉매의 정상부에서 발견되었다.
어닐링한 후에, 실시예 18에 따라 제조된 샘플은 SiO2, Si3N4 및 저-k 절연체와 같은 절연체 표면에 더 강한 접착을 나타내고 있다. Cu-Mn과 절연체 간의 계면에서 실리콘에 대한 망간의 비가 약 0.5를 초과할 때, 탈결합 에너지는 1 제곱미터 당 약 15줄보다 더 크게 된다. 이러한 강한 계면은 4점 굽힘 테스트 동안에 파괴될 수 없다. 이러한 매우 강한 접착은 구리 상호 접속부가 전자 이동에 의해 불량이 되기 전에 그 수명을 크게 증가시킬 것으로 예상된다. 이 계면 농도를 달성하기 위하여 구리 내 망간의 함량은 구리 상호 접속부의 크기 및 형태에 의존할 것이다. 0.1% 내지 4% 또는 더 바람직하게는 0.2% 내지 2% 범위의 구리 내 망간의 농도는 절연체 표면에 대해 강한 접착을 얻는데 충분할 수 있다.
실시예 19
제2 요오드 노출을 통해 실시예 18을 반복하였다. 그런 다음, Mn 전구체 증기를 질소 60 sccm으로 이동시켰고 동시에 Cu 전구체 증기를 질소 40 sccm으로 이동시켰다. 이러한 전구체 증기 흐름을 120℃의 온도와 압력 5 Torr에서 수소 100sccm과 함께 혼합하였다. 이 기체 혼합물을 180℃까지 가열된 증착 구역으로 45분 동안 흐르게 하였다. 도 28에서와 같이, 트렌치를 구리-망간 합금으로 완전히 충전하였고, 트렌치의 정상부를 Cu-Mn 합금 약 180㎚로 덮었다. 합금 중 Mn/Cu 비를 XRF로 정량화하였고, 대략 망간 0.4 원자 퍼센트와 구리 99.6 원자 퍼센트로 나타났다. 도 29에 도시된 바와 같이, 요오드 촉매는 구리-망간 합금의 정상부 표면에서 발견되었다.
요오드의 화학 흡착과 이후의 방출의 충분한 조절을 제공하는 망간 질화물의 존재에 대한 제안된 설명은 대부분의 망간이 근처에 어떠한 질소도 갖지 않는다는 실시예 18과 19의 성공적인 결과를 설명하지 못함을 주목해야 한다. 구리 층에 혼합된 망간은 임의의 질소 가까이에 있지 않고, 따라서 요오드에 강하게 결합되고 이것은 구리 표면에서 촉매 활성이 있는 요오드로 이용할 수 없게 할 것이라 예상될 것이다. 그럼에도 불구하고, 본 출원인은 MnNx 또는 Cu 위에 최초 흡착된 요오드가 합금 내 Mn의 존재에도 불구하고 Cu-Mn 합금의 연속적인 CVD 동안에 표면을 부유하고 있음을 입증하였다. Mn이 Cu보다 요오드에 더 강한 결합을 형성한다고 알려진 사실에도 불구하고, 그리고 요오드와 Mn 간에 상호 작용을 약화시키는 질소가 가까이에 없다는 사실에도 불구하고, 본 출원인은 놀랍게도 상기 실시예에서 심지어 요오드를 함유하는 전구체를 사용하여 성공적인 촉매에 의한 구리의 성장을 입증하였다.
실시예 20
Mn 전구체를 비활성 용매인 1-메틸나프탈렌에 0.5 M의 농도로 용해시키고, 얻은 용액을 다이렉트 액체 주입 시스템에 의해 증기화하고, 암모니아 가스와 혼합하고, 반응기 내부로 흘려, MnNx 층을 형성하였다. 그런 다음, MnNx 표면을 실시예 16에서와 같이 요오드화 에틸에 노출시켰다. Cu 전구체를 비활성 용매인 1-메틸나프탈렌에 1M 농도로 용해시키고, 얻은 용액을 다이렉트 액체 주입 시스템에 의해 증기화하고, 수소 가스와 혼합하고, 반응기 내부로 흘려, 얇은 Cu 층을 형성하였다. 그런 다음, Cu의 표면을 실시예 18에서와 같이 요오드화 에틸에 노출시켰다. 그런 다음, Cu와 Mn 전구체 용액이 별개로 측정되고 제어되는 흐름을 DLI 시스템에서 동시에 증기화하고, 그 결과 혼합된 증기를 용매 증기 및 수소 기체와 함께 CVD 반응기에 주입하였다. 실시예 19와 유사한 결과를 얻었다.
실시예 21
실시예 20에서, Mn과 Cu 전구체를 비활성 용매인 1-메틸나프탈렌에 함께 용해시키고, 얻은 용액을 다이렉트 액체 주입 시스템에서 증기화하는 것을 제외하고는, 실시예 20을 반복하였다. 그런 다음, 혼합된 전구체 증기를 용매 증기 및 수소 기체와 함께 Cu-Mn 합금의 동시 증착인 마지막 증착 단계 동안에 CVD 반응기에 주입하였다. 실시예 19 및 20과 유사한 결과를 얻었다. 실시예 20과 21에서 사용된 1-메틸나프탈렌을 디에틸벤젠, 테트라하이드로나프탈렌 및 프리스탄과 같이 끓는 점이 높은 다른 비활성 용매로 대체할 수 있다.
실시예 22
180℃의 증착 온도까지 안정한 다양한 플라스틱 기판을 사용하여 실시예 16을 반복하였다. 증착 전에, 플라스틱 표면을 세정하였고, 석영 엔벨로프가 있는 수은 램프의 광을 주변 공기 하에 5분 동안 노출시켜 산화시켰다. 증착 후, 플라스틱 표면을 약 0.5 옴/스퀘어의 시트 저항을 갖는 전기 전도성 구리 필름으로 덮었다. 도 30에 도시된 바와 같이, 폴리이미드 플라스틱 시트의 매끈한 표면은 매끈한 상태로 유지되었다. 도 31에 도시된 바와 같이, 유리섬유로 강화된 회로 기판의 거친 표면은 정합으로 덮혀졌다. 플라스틱에 강하게 흡착된 구리는 테이프 테스트로 제거할 수 없었다.
실시예 23
이전 실시예 중 하나 이상에 따른 CVD는 소량의 I와 함께 Mn과 Cu를 포함하는 박층을 상기 표면에 형성하는데 사용될 수 있다. Mn, Cu, 및 I를 포함하는 박층은 Cu의 더 두꺼운 층을 전해 도금하기 위한 씨드 층으로 역할을 할 수 있다. 폭이 좁은 트렌치와 폭이 넓은 트레치 둘 다 있는 기판에서, CVD 단계는 폭이 더 넓은 트렌치를 정합 코팅하는 반면에, 폭이 좁은 트렌치를 충전할 것이다. 그런 다음, 연속적인 전해 도금으로 폭이 더 넓은 트렌치를 경제적으로 충전할 수 있다.
실시예 23의 전해 도금 시작 단계에서 소량의(단일층보다 더 적은) 요오드를 구리 표면에 부착한다. 이 요오드는 구리 도금 배쓰에서 용해되고 배쓰를 오염시킬 수 있는 가능성이 있다. 대안으로, 요오드는 도금된 구리 아래에 남아 추후 부식 또는 신뢰성 문제를 일으킬 수 있다. 따라서, 도금하기 전에 구리 표면에서 요오드를 제거하는 것이 도움이 될 수 있다. 하기의 두 개 실시예는 구리 또는 구리-망간 합금의 표면으로부터 남아있는 요오드를 제거하기 위한 신규 방법을 제시한다.
실시예 24
실시예 19에서와 같이 CVD MnNx-CVD Cu-Mn 샘플을 제조하였다. 그런 다음, 샘플을 30% 과산화수소-70% 물 용액에 실온에서 1시간 동안 넣어 두었다. 그런 다음, 이소프로판올로 헹구고 건조시켰다. XPS에 의한 표면 조사는 표면에 요오드가 남아있지 않았음을 나타내었다. 과산화수소를 차아염소산 나트륨(sodium hypochlorite) 또는 하이포아브롬산 나트륨(sodium hypobromite)과 같은 다른 산화제로 대체하여 구리 표면으로부터 요오드를 제거할 수 있다.
실시예 25
실시예 19에서와 같이 CVD MnNx-CVD Cu-Mn 샘플을 제조하였다. 그런 다음, 반응성 이온-에칭 시스템에 샘플을 두었다. 먼저, 150 와트 마이크로웨이브 파워와 50 와트 RF 파워의 산소 플라즈마를 10 mTorr의 압력, 실온에서, 30분 동안 처리하였다. 그런 다음, 150 와트 마이크로웨이브 파워, 50 와트 RF 파워의 수소 플라즈마를 10 mTorr 압력, 실온에서 3분 동안 처리하였다. XPS에 의한 표면 조사는 표면에 요오드가 잔존하지 않았음을 나타내었다.
폭이 좁은 트렌치만 구리로 충전된 경우에는 추후 CMP에 의해 제거될 필요가 있을 수 있는 구리의 함량을 최소화하기 위하여 기판 중 편평한 상부 표면에는 구리의 성장을 막는 것이 바람직할 수 있다. 이러한 선택적인 공정을 실시예 26에서 서술하였다.
실시예 26
실시예 19에서, 두 번째 요오드 노출 후에, 실시예 25의 플라즈마 처리를 적용하여 기판의 상부 편평한 표면으로부터 요오드 촉매를 제거하는 것을 제외하고는, 실시예 19를 반복하였다. 폭이 좁은 트렌치의 측면과 바닥으로부터 요오드가 제거되지 않을 만큼 짧은 시간으로 플라즈마 공정을 제한한다. 그런 다음, 남아있는 트렌치의 슈퍼 필링(superfilling)을 구리-망간 합금의 요오드 촉매에 의한 CVD에 의하여 완료한다. 트렌치 위 일부 범프와 함께, 소량의 구리-망간 합금이 정상부 표면에만 형성된다. 이러한 구리-망간 합금의 작은 덮은 층은 단시간의 CMP 공정에 의해 쉽게 제거된다.
요오드 촉매가 트렌치 측벽의 상부 부분으로부터 제거되면, 트렌치 측벽의 상부 부분으로부터 성장하는 구리가 폐쇄 지점 아래에서 구리의 추가적인 성장과 합류하여 이를 저해하기 전에 바닥부터 상부까지의 성장이 추가로 진행될 수 있다. 따라서, 더 큰 종횡비를 갖는 트렌치와 비아가 보이드 또는 심 없이 충전될 수 있다. 이러한 폭이 더 좁고 깊이가 더 깊은 트렌치를 충전시키기 위한 선택적인 공정을 실시예 27에서 설명한다.
실시예 27
실시예 26에서, 산소 플라즈마와 수소 플라즈마를 더 장시간 적용하여 트렌치 간의 편평한 정상부 표면과 매우 좁은 트렌치의 상부 측벽으로부터 요오드를 제거하는 것을 제외하고는 실시예 26을 반복한다. 10:1보다 높은 종횡비를 갖는 트렌치를 보이드 또는 심 없이 충전한다.
매우 폭이 좁은 트렌치의 측벽 대부분으로부터 요오드 촉매를 제거하고, 추가로 측벽의 상부 부분과 트렌치의 정상부에서 구리의 결정핵 생성 속도를 억제한다면, 극도로 폭이 좁은 트렌치를 보이드 또는 심 없이 충전할 수 있다. 구리의 결정핵 생성을 억제하기 위한 한 가지 접근은 상부 측벽에 있는 구리(존재한다면 망간도 함께)를 알칸티올과 같은 반응물 증기와 반응시키는 것이다. 일단 알칸티올이 구리 표면에 화학 흡착하게 되면, 본 출원인은 CVD에 의한 구리의 추가적인 성장이 상당히 지연되거나 또는 심지어 없어질 수 있음을 발견하였다. 측벽에서 구리 표면의 비활성화에 이어 요오드 제거의 사용을 실시예 28에 설명한다.
실시예 28
20:1보다 큰 종횡비를 갖는 매우 폭이 좁은 트렌치를 갖는 기판을 사용하여 실시예 27을 반복한다. 대부분의 트렌치 벽으로부터 요오드를 플라즈마-활성화 제거한 후에, 표면을 소량의 옥탄티올 증기에 짧게 노출시킨다. 연속적으로, 트렌치의 바닥과 아래쪽 측벽으로부터의 요오드 촉매의 도움으로 CVD 구리-망간을 계속한다. 임의의 보이드 또는 심 없이 트렌치를 구리-망간 합금으로 충전한다.
당업자는 본 발명의 사상 및 범주를 벗어나지 않으면서 다양한 변형과 첨가를 행할 수 있다.

Claims (45)

  1. 집적 회로 상호접속 구조물을 형성하는 방법으로서, 상기 방법은
    a) 전기 절연 표면과 전기 전도성 구리 함유 표면을 포함하고, 부분 완성되며 평탄화된 상호접속 구조물을 제공하는 단계;
    b) 상기 전기 절연 표면 위에 2 이상의 화합물을 포함하는 보호제를 증착하여, 망간, 코발트, 크롬 또는 바나듐을 포함하는 전구체에 대한 상기 전기 절연 표면의 친화도를 상승 작용으로 낮추는 단계; 및
    c) 상기 전기 전도성 구리 함유 표면의 적어도 일 부분에 망간, 코발트, 크롬 및 바나듐으로 이루어진 군으로부터 선택되는 금속을 선택적으로 증착하는 단계를 포함하는, 집적 회로 상호접속 구조물의 형성방법.
  2. 제1항에 있어서, 상기 금속을 선택적으로 증착하는 단계는 CVD 또는 ALD에 의해 수행되는 것인 집적 회로 상호접속 구조물의 형성방법.
  3. 제1항에 있어서, 상기 보호제는 상기 망간을 포함하는 전구체와 상기 절연 표면의 반응성을 낮추는 것인 집적 회로 상호접속 구조물의 형성방법.
  4. 제1항에 있어서, 상기 보호제는 상기 코발트를 포함하는 전구체와 상기 절연 표면의 반응성을 낮추는 것인 집적 회로 상호접속 구조물의 형성방법.
  5. 제1항에 있어서, 상기 보호제는 상기 크롬을 포함하는 전구체와 상기 절연 표면의 반응성을 낮추는 것인 집적 회로 상호접속 구조물의 형성방법.
  6. 제1항에 있어서, 상기 보호제는 상기 바나듐을 포함하는 전구체와 상기 절연 표면의 반응성을 낮추는 것인 집적 회로 상호접속 구조물의 형성방법.
  7. 제1항에 있어서, 상기 보호제는 상기 전기 절연 표면에 선택적으로 증착되는 것인 집적 회로 상호접속 구조물의 형성방법.
  8. 제7항에 있어서, 상기 보호제는 2 이상의 알킬실란을 포함하는 것인 집적 회로 상호접속 구조물의 형성방법.
  9. 제8항에 있어서, 상기 알킬실란은 실리콘에 결합된 하나 이상의 다이알킬아마이드기를 갖는 화합물을 포함하는 것인 집적 회로 상호접속 구조물의 형성방법.
  10. 제9항에 있어서, 상기 실리콘에 결합된 하나 이상의 다이알킬아마이드기를 갖는 화합물은 비스(N,N-다이알킬아미노)다이알킬실란과 (N,N-다이알킬아미노)트라이알킬실란을 포함하는 것인 집적 회로 상호접속 구조물의 형성방법.
  11. 집적 회로 상호접속 구조물을 형성하는 방법으로서, 상기 방법은
    a) 하나 이상의 비아와 트렌치를 갖는 부분 완성된 상호접속 구조물을 제공하는 단계로서, 상기 비아와 트렌치는 하나 이상의 전기 절연 물질로 정해지는 측벽과 전기 전도성 구리 함유 바닥 영역을 포함하는 단계;
    b) 망간, 크롬 및 바나듐으로 이루어진 군으로부터 선택되는 금속의 질화물을 포함하는 층을 상기 부분 완성된 상호접속 구조물에 증착하는 단계; 및
    c) 상기 하나 이상의 비아와 트렌치 안에 구리를 증착하는 단계를 포함하는, 집적 회로 상호접속 구조물의 형성방법.
  12. 제11항에 있어서, 상기 하나 이상의 비아와 트렌치 안에 상기 구리를 증착하기 전에, 상기 금속 질화물을 포함하는 층으로부터 질소를 제거하는 단계를 더 포함하는, 집적 회로 상호접속 구조물의 형성방법..
  13. 제12항에 있어서, 상기 질소를 제거하는 단계는 수소 함유 플라즈마와 상기 구조물의 접촉에 의해 수행되는 것인 집적 회로 상호접속 구조물의 형성방법.
  14. 제11항에 있어서, 상기 구리를 증착하는 단계는 액체 용액으로부터의 전해 도금 또는 무전해 도금을 포함하는 것인 집적 회로 상호접속 구조물의 형성방법.
  15. 제11항에 있어서, 상기 구리를 증착하는 단계는 CVD 또는 ALD에 의한 증기 상태로부터의 증착을 포함하는 것인 집적 회로 상호접속 구조물의 형성방법.
  16. 제11항에 있어서, 상기 금속의 질화물을 포함하는 층은 망간 질화물을 포함하는 것인 집적 회로 상호접속 구조물의 형성방법.
  17. 금속 함유 전구체의 증기로 금속 함유 층을 증착하는 단계로서, 상기 금속은 망간, 크롬 및 바나듐으로 이루어진 군으로부터 선택되는 것인, 금속 함유 층의 증착 단계;
    요오드 또는 브롬 함유 전구체의 증기로 요오드 또는 브롬 함유 물질을 증착하는 단계로서, 상기 요오드 또는 브롬 함유 물질은 상기 금속 함유 층의 위에 또는 그 안에 화학 흡착되는 것인, 요오드 또는 브롬 함유 물질의 증착단계; 및
    구리 함유 전구체의 증기로 구리 함유 물질을 증착하는 단계로서, 상기 요오드 또는 브롬 함유 물질은 구리 함유 물질을 촉매 작용에 의해 증착되도록 하는 것인, 구리 함유 물질의 증착단계를 포함하는 방법.
  18. 제17항에 있어서, 상기 요오드 또는 브롬 함유 물질의 증착단계는 상기 금속 함유 층을 증착하는 단계 이후에 수행되는 것인 방법.
  19. 제17항에 있어서, 상기 요오드 또는 브롬 함유 물질은 상기 금속 함유 층의 표면에 화학 흡착되는 것인 방법.
  20. 제17항에 있어서, 상기 금속 함유 층은 망간 함유 층이고, 상기 금속 함유 전구체는 망간 함유 전구체인 것인 방법.
  21. 제20항에 있어서, 상기 망간 함유 층은 질소를 더 포함하는 것인 방법.
  22. 제17항에 있어서, 상기 금속 함유 층은 크롬 함유 층이고 상기 금속 함유 전구체는 크롬 함유 전구체인 것인 방법.
  23. 제17항에 있어서, 상기 금속 함유 층은 바나듐 함유 층이고 상기 금속 함유 전구체는 바나듐 함유 전구체인 것인 방법.
  24. 제17항에 있어서, 요오드 또는 브롬 함유 전구체의 증기로 추가적인 요오드 또는 브롬 함유 물질을 상기 구리 함유 물질 위에 증착하는 단계; 및
    구리 함유 전구체의 증기로 추가적인 구리 함유 물질을 증착하는 단계로서, 상기 추가적인 요오드 또는 브롬 함유 물질은 추가적인 구리 함유 물질을 촉매 작용에 의해 증착되도록 하는 것인, 추가적인 구리 함유 물질의 증착단계를 더 포함하는 방법.
  25. 제24항에 있어서, 상기 추가적인 구리 함유 물질은 구리와 망간을 포함하는 것인 방법.
  26. 제24항에 있어서, 상기 추가적인 구리 함유 물질은 망간 함유 전구체의 증기로부터 동시에 증착되는 것인 방법.
  27. 제20항에 있어서, 상기 망간 함유 전구체는 망간 아미디네이트를 포함하는 것인 방법.
  28. 제27항에 있어서, 상기 망간 아미디네이트는 하기의 일반 구조를 갖는 것인 방법:
    Figure pct00011

    상기 식 중, R1, R2, R3, R1', R2' 및 R3'는 수소, 탄화수소기, 치환된 탄화수소기, 및 비 금속성 원자의 다른 기로 이루어진 군으로부터 선택된다.
  29. 제28항에 있어서, 상기 R1, R2, R3, R1', R2' 및 R3'는 독립적으로 수소, 알킬, 아릴, 알케닐, 알키닐, 트라이알킬실릴, 알킬아마이드 및 플루오로알킬기로 이루어진 군으로부터 선택되는 것인 방법.
  30. 제29항에 있어서, 상기 망간 아미디네이트는 하기의 구조를 갖는 것인 방법:
    Figure pct00012
    .
  31. 제17항에 있어서, 상기 구리 함유 전구체는 구리 아미디네이트를 포함하는 것인 방법.
  32. 제31항에 있어서, 상기 구리 아미디네이트는 하기의 일반 구조를 갖는 것인 것인 방법:
    Figure pct00013

    상기 식 중, R1, R2, R3, R1', R2' 및 R3'는 수소, 탄화수소기, 치환된 탄화수소기, 및 비 금속성 원자의 다른 기로 이루어진 군으로부터 선택된다.
  33. 제32항에 있어서, 상기 R1, R2, R3, R1', R2' 및 R3'는 독립적으로 수소, 알킬, 아릴, 알케닐, 알키닐, 트라이알킬실릴, 알킬아마이드 및 플루오로알킬기로 이루어진 군으로부터 선택되는 것인 방법.
  34. 제33항에 있어서, 상기 구리 아미디네이트는 하기의 구조를 갖는 것인 방법:
    Figure pct00014
    .
  35. 제17항에 있어서, 상기 구리는 하나 이상의 비아와 트렌치를 갖는 부분 완성된 상호접속 구조물 위에 증착되고, 상기 비아와 트렌치는 하나 이상의 전기 절연 물질로 정해지는 측벽과 전기 전도성 구리 함유 바닥 영역을 포함하는 것인 방법.
  36. 제35항에 있어서, 상기 증착된 구리가 상기 비아와 트렌치를 실질적으로 충전하는 것인 방법.
  37. 제17항에 있어서, 상기 증착된 구리는 약 0.1% 내지 약 4% 범위의 망간 농도를 갖는 것인 방법.
  38. 제17항에 있어서, 상기 증착된 구리는 약 0.2% 내지 약 2% 범위의 망간 농도를 갖는 것인 방법.
  39. 제17항에 있어서, 상기 구리 함유 물질의 표면 중 적어도 일 부분으로부터 상기 요오드 또는 브롬을 제거하는 단계를 더 포함하는 방법.
  40. 제39항에 있어서, 상기 요오드 또는 브롬을 제거하는 단계는 산화 공정을 포함하는 것인 방법.
  41. 제40항에 있어서, 상기 산화 공정은 과산화수소, 차아염소산 나트륨, 하이포아브롬산 나트륨, 오존, 산소 플라즈마, 및 이들의 혼합으로 이루어진 군으로부터 선택되는 산화제에 상기 구리 함유 물질의 표면 중 적어도 일 부분을 노출시키는 단계를 포함하는 것인 방법.
  42. 제40항에 있어서, 상기 요오드 또는 브롬을 제거하는 단계는 환원 단계를 더 포함하는 것인 방법.
  43. 제42항에 있어서, 상기 환원 단계는 수소 플라즈마를 포함하는 것인 방법.
  44. 제39항에 있어서, 구리의 증착을 줄이기 위하여, 상기 요오드 또는 브롬을 제거한 후에 상기 구리 함유 물질의 표면 중 적어도 일 부분을 개질하는 단계를 더 포함하는 방법.
  45. 제44항에 있어서, 상기 개질하는 단계는 상기 구리 표면과 알칸티올의 반응을 포함하는 것인 방법.
KR1020127013168A 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층 KR101730203B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US25460109P 2009-10-23 2009-10-23
US61/254,601 2009-10-23
US38586810P 2010-09-23 2010-09-23
US61/385,868 2010-09-23
PCT/US2010/053391 WO2011050073A1 (en) 2009-10-23 2010-10-20 Self-aligned barrier and capping layers for interconnects

Related Child Applications (2)

Application Number Title Priority Date Filing Date
KR1020167027439A Division KR101770537B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층
KR1020167027441A Division KR101770538B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층

Publications (2)

Publication Number Publication Date
KR20120085834A true KR20120085834A (ko) 2012-08-01
KR101730203B1 KR101730203B1 (ko) 2017-04-25

Family

ID=43414724

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020167027439A KR101770537B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층
KR1020167027441A KR101770538B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층
KR1020127013168A KR101730203B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020167027439A KR101770537B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층
KR1020167027441A KR101770538B1 (ko) 2009-10-23 2010-10-20 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층

Country Status (8)

Country Link
US (4) US8569165B2 (ko)
EP (1) EP2491579B1 (ko)
JP (1) JP5809153B2 (ko)
KR (3) KR101770537B1 (ko)
CN (2) CN102859662B (ko)
AU (1) AU2010310750B2 (ko)
SG (1) SG10201503679UA (ko)
WO (1) WO2011050073A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170013327A (ko) * 2014-05-30 2017-02-06 어플라이드 머티어리얼스, 인코포레이티드 개선된 인터커넥트 성능을 위한 보호 비아 캡
KR20170081248A (ko) * 2014-11-07 2017-07-11 어플라이드 머티어리얼스, 인코포레이티드 선택적 코발트 층을 열적으로 형성하기 위한 방법들
WO2023163466A1 (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법

Families Citing this family (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
JP5507909B2 (ja) * 2009-07-14 2014-05-28 東京エレクトロン株式会社 成膜方法
CN102859662B (zh) 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
TWI564423B (zh) 2010-11-02 2017-01-01 宇部興產股份有限公司 (醯胺胺基烷)金屬化合物、及利用該金屬化合物之含金屬之薄膜之製造方法
US8648465B2 (en) 2011-09-28 2014-02-11 International Business Machines Corporation Semiconductor interconnect structure having enhanced performance and reliability
JP2013104100A (ja) * 2011-11-14 2013-05-30 Taiyo Nippon Sanso Corp 金属薄膜の成膜方法および金属薄膜成膜用原料
JP5795520B2 (ja) * 2011-11-14 2015-10-14 大陽日酸株式会社 金属薄膜材料および金属薄膜の成膜方法
US9048294B2 (en) * 2012-04-13 2015-06-02 Applied Materials, Inc. Methods for depositing manganese and manganese nitrides
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration
JP6041527B2 (ja) * 2012-05-16 2016-12-07 キヤノン株式会社 液体吐出ヘッド
US8969197B2 (en) 2012-05-18 2015-03-03 International Business Machines Corporation Copper interconnect structure and its formation
US9054109B2 (en) 2012-05-29 2015-06-09 International Business Machines Corporation Corrosion/etching protection in integration circuit fabrications
JPWO2013191065A1 (ja) * 2012-06-18 2016-05-26 東京エレクトロン株式会社 マンガン含有膜の形成方法
US8791005B2 (en) 2012-06-18 2014-07-29 International Business Machines Corporation Sidewalls of electroplated copper interconnects
US8765602B2 (en) 2012-08-30 2014-07-01 International Business Machines Corporation Doping of copper wiring structures in back end of line processing
US9373579B2 (en) * 2012-12-14 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Protecting layer in a semiconductor structure
JP6030439B2 (ja) * 2012-12-27 2016-11-24 東京エレクトロン株式会社 マンガン含有膜の形成方法、処理システム、および電子デバイスの製造方法
JP2014141739A (ja) * 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9343400B2 (en) * 2013-03-13 2016-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene gap filling process
US9184093B2 (en) 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
US9064937B2 (en) 2013-05-30 2015-06-23 International Business Machines Corporation Substrate bonding with diffusion barrier structures
TWI609095B (zh) * 2013-05-30 2017-12-21 應用材料股份有限公司 用於氮化錳整合之方法
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9159610B2 (en) * 2013-10-23 2015-10-13 Globalfoundires, Inc. Hybrid manganese and manganese nitride barriers for back-end-of-line metallization and methods for fabricating the same
US9257330B2 (en) * 2013-11-27 2016-02-09 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation
US9275952B2 (en) * 2014-01-24 2016-03-01 International Business Machines Corporation Ultrathin superlattice of MnO/Mn/MnN and other metal oxide/metal/metal nitride liners and caps for copper low dielectric constant interconnects
US9343357B2 (en) * 2014-02-28 2016-05-17 Qualcomm Incorporated Selective conductive barrier layer formation
US9263327B2 (en) * 2014-06-20 2016-02-16 Globalfoundries Inc. Minimizing void formation in semiconductor vias and trenches
US9728502B2 (en) * 2014-11-10 2017-08-08 Samsung Electronics Co., Ltd. Metal oxysilicate diffusion barriers for damascene metallization with low RC delays and methods for forming the same
US9711452B2 (en) 2014-12-05 2017-07-18 International Business Machines Corporation Optimized wires for resistance or electromigration
EP3067439B1 (en) 2015-03-13 2018-05-09 IMEC vzw Electroless metal deposition on a Mn or MnNx barrier
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
JP2016219660A (ja) * 2015-05-22 2016-12-22 ソニー株式会社 半導体装置、製造方法、固体撮像素子、および電子機器
US9972529B2 (en) * 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US10273577B2 (en) 2015-11-16 2019-04-30 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US10163629B2 (en) 2015-11-16 2018-12-25 Applied Materials, Inc. Low vapor pressure aerosol-assisted CVD
US9449871B1 (en) * 2015-11-18 2016-09-20 International Business Machines Corporation Hybrid airgap structure with oxide liner
US9875907B2 (en) 2015-11-20 2018-01-23 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9859128B2 (en) 2015-11-20 2018-01-02 Applied Materials, Inc. Self-aligned shielding of silicon oxide
US9711456B2 (en) 2015-12-19 2017-07-18 International Business Machines Corporation Composite manganese nitride/low-K dielectric cap
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9806018B1 (en) 2016-06-20 2017-10-31 International Business Machines Corporation Copper interconnect structures
US9881798B1 (en) 2016-07-20 2018-01-30 International Business Machines Corporation Metal cap integration by local alloying
US9929046B2 (en) 2016-07-21 2018-03-27 International Business Machines Corporation Self-aligned contact cap
EP3282037B1 (en) 2016-08-09 2022-12-07 IMEC vzw Formation of a transition metal nitride
US10229851B2 (en) 2016-08-30 2019-03-12 International Business Machines Corporation Self-forming barrier for use in air gap formation
US10049974B2 (en) 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
CN106340488A (zh) * 2016-11-30 2017-01-18 上海华力微电子有限公司 铜互连结构的制备方法
TWI739984B (zh) * 2017-01-31 2021-09-21 美商應用材料股份有限公司 就圖案化應用進行選擇性沉積之方案
US10074559B1 (en) 2017-03-07 2018-09-11 Applied Materials, Inc. Selective poreseal deposition prevention and residue removal using SAM
US10103056B2 (en) * 2017-03-08 2018-10-16 Lam Research Corporation Methods for wet metal seed deposition for bottom up gapfill of features
US10643838B2 (en) * 2017-06-20 2020-05-05 Applied Materials, Inc. In-situ formation of non-volatile lanthanide thin film precursors and use in ALD and CVD
CN112005343A (zh) 2018-03-02 2020-11-27 朗姆研究公司 使用水解的选择性沉积
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US11075113B2 (en) 2018-06-29 2021-07-27 Taiwan Semiconductor Manufacturing Co., Ltd. Metal capping layer and methods thereof
KR20210127188A (ko) 2019-02-21 2021-10-21 코닝 인코포레이티드 구리-금속화된 쓰루 홀을 갖는 유리 또는 유리 세라믹 물품 및 이를 제조하기 위한 공정
CN114008238A (zh) * 2019-06-17 2022-02-01 田中贵金属工业株式会社 由有机锰化合物构成的化学蒸镀用原料和使用该化学蒸镀用原料的化学蒸镀法
CN110804731B (zh) * 2019-11-04 2020-11-06 江南大学 一种原子层沉积技术生长MnxN薄膜的方法
CN113130384A (zh) * 2020-01-16 2021-07-16 中芯国际集成电路制造(天津)有限公司 半导体结构的形成方法
CN115515910A (zh) 2020-04-14 2022-12-23 康宁公司 制造玻璃制品以提供经由形成金属氧化物层而增加金属对玻璃基板的粘合的方法,以及包括金属氧化物层的诸如玻璃插入物的玻璃制品
US11251368B2 (en) 2020-04-20 2022-02-15 International Business Machines Corporation Interconnect structures with selective capping layer
KR102366555B1 (ko) * 2021-01-05 2022-02-23 주식회사 이지티엠 핵성장 지연을 이용한 영역 선택적 박막 형성 방법
JP2024506896A (ja) 2021-02-08 2024-02-15 マクダーミッド エンソン インコーポレイテッド 拡散バリア形成のための方法及び湿式化学組成物
US11859277B2 (en) * 2021-05-21 2024-01-02 Applied Materials, Inc. Catalyst enhanced molybdenum deposition and gap fill

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3865708A (en) 1969-12-22 1975-02-11 Foxboro Co Apparatus for measuring ionic concentration
US4323608A (en) 1980-06-30 1982-04-06 Denny Russell W Label
US5128008A (en) * 1991-04-10 1992-07-07 International Business Machines Corporation Method of forming a microelectronic package having a copper substrate
US5417735A (en) * 1993-12-23 1995-05-23 Mcgarry; Dennis L. Interdiffused chromium/nickel corrosion-resistant coating for fiberglass spinner bores
US6077774A (en) 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US6060534A (en) 1996-07-11 2000-05-09 Scimed Life Systems, Inc. Medical devices comprising ionically and non-ionically crosslinked polymer hydrogels having improved mechanical properties
US6951682B1 (en) 1998-12-01 2005-10-04 Syntrix Biochip, Inc. Porous coatings bearing ligand arrays and use thereof
KR100383759B1 (ko) 2000-06-15 2003-05-14 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
US6541374B1 (en) 2000-12-18 2003-04-01 Novellus Systems, Inc. Method of depositing a diffusion barrier for copper interconnection applications
US6413815B1 (en) 2001-07-17 2002-07-02 Macronix International Co., Ltd. Method of forming a MIM capacitor
US20030143837A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Method of depositing a catalytic layer
US7138014B2 (en) * 2002-01-28 2006-11-21 Applied Materials, Inc. Electroless deposition apparatus
US7446708B1 (en) 2002-08-26 2008-11-04 Kyocera Wireless Corp. Multiband monopole antenna with independent radiating elements
US20040067192A1 (en) * 2002-10-07 2004-04-08 The Procter & Gamble Company Conversion of sodium bromide to anhydrous hydrobromic acid and sodium bisulfate
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7534967B2 (en) * 2003-02-25 2009-05-19 University Of North Texas Conductor structures including penetrable materials
US7060619B2 (en) * 2003-03-04 2006-06-13 Infineon Technologies Ag Reduction of the shear stress in copper via's in organic interlayer dielectric material
US7026714B2 (en) 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US6875693B1 (en) * 2003-03-26 2005-04-05 Lsi Logic Corporation Via and metal line interface capable of reducing the incidence of electro-migration induced voids
US7311946B2 (en) * 2003-05-02 2007-12-25 Air Products And Chemicals, Inc. Methods for depositing metal films on diffusion barrier layers by CVD or ALD processes
EP1473761A1 (en) * 2003-05-02 2004-11-03 Air Products And Chemicals, Inc. Method for depositing metal films
US7115304B2 (en) * 2004-02-19 2006-10-03 Nanosolar, Inc. High throughput surface treatment on coiled flexible substrates
JP4478038B2 (ja) 2004-02-27 2010-06-09 株式会社半導体理工学研究センター 半導体装置及びその製造方法
DE102004019241A1 (de) 2004-04-16 2005-11-03 Cellmed Ag Injizierbare vernetzte und unvernetzte Alginate und ihre Verwendung in der Medizin und in der ästhetischen Chirurgie
KR101184529B1 (ko) 2004-11-08 2012-09-20 텔 에피온 인크 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층
US7879710B2 (en) * 2005-05-18 2011-02-01 Intermolecular, Inc. Substrate processing including a masking layer
EP1909320A1 (en) 2006-10-05 2008-04-09 ST Microelectronics Crolles 2 SAS Copper diffusion barrier
JP4236201B2 (ja) 2005-08-30 2009-03-11 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP4272191B2 (ja) 2005-08-30 2009-06-03 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法
JP2007103546A (ja) 2005-10-03 2007-04-19 Nec Electronics Corp 半導体装置およびその製造方法
TW200729394A (en) * 2005-12-07 2007-08-01 Nxp Bv A method of forming a layer over a surface of a first material embedded in a second material in a structure for a semiconductor device
CN102199119B (zh) 2005-12-20 2014-07-16 西巴控股有限公司 肟酯光引发剂
US8217518B2 (en) * 2006-03-08 2012-07-10 Stmicroelectronics Asia Pacific Pte., Ltd. Enhancing metal/low-K interconnect reliability using a protection layer
JP4741965B2 (ja) 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2007308789A (ja) * 2006-04-19 2007-11-29 Tokyo Electron Ltd 成膜装置及び成膜方法
JP2008013848A (ja) 2006-06-08 2008-01-24 Tokyo Electron Ltd 成膜装置及び成膜方法
US7772128B2 (en) * 2006-06-09 2010-08-10 Lam Research Corporation Semiconductor system with surface modification
JP4810319B2 (ja) * 2006-06-09 2011-11-09 キヤノン株式会社 加工装置及びデバイス製造方法
WO2008008319A2 (en) 2006-07-10 2008-01-17 President And Fellows Of Harvard College Selective sealing of porous dielectric materials
TWI370515B (en) * 2006-09-29 2012-08-11 Megica Corp Circuit component
US8440272B2 (en) * 2006-12-04 2013-05-14 Megica Corporation Method for forming post passivation Au layer with clean surface
KR101797880B1 (ko) * 2007-04-09 2017-11-15 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 구리 배선용 코발트 질화물층 및 이의 제조방법
DE102007035837A1 (de) 2007-07-31 2009-02-05 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit einer Kornorientierungsschicht
US7884475B2 (en) 2007-10-16 2011-02-08 International Business Machines Corporation Conductor structure including manganese oxide capping layer
US20090117731A1 (en) * 2007-11-01 2009-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor interconnection structure and method for making the same
JP2009164391A (ja) * 2008-01-08 2009-07-23 Renesas Technology Corp 半導体装置および半導体装置の製造方法
US7555191B1 (en) * 2008-01-30 2009-06-30 Joshua John Edward Moore Self-locking unidirectional interposer springs for optical transceiver modules
US7651943B2 (en) 2008-02-18 2010-01-26 Taiwan Semicondcutor Manufacturing Company, Ltd. Forming diffusion barriers by annealing copper alloy layers
JP5820267B2 (ja) 2008-03-21 2015-11-24 プレジデント アンド フェローズ オブ ハーバード カレッジ 配線用セルフアライン(自己整合)バリア層
IN2012DN00452A (ko) * 2009-07-30 2015-05-15 Megica Corp
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US9190323B2 (en) * 2012-01-19 2015-11-17 GlobalFoundries, Inc. Semiconductor devices with copper interconnects and methods for fabricating same
US9076661B2 (en) * 2012-04-13 2015-07-07 Applied Materials, Inc. Methods for manganese nitride integration

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170013327A (ko) * 2014-05-30 2017-02-06 어플라이드 머티어리얼스, 인코포레이티드 개선된 인터커넥트 성능을 위한 보호 비아 캡
KR20170081248A (ko) * 2014-11-07 2017-07-11 어플라이드 머티어리얼스, 인코포레이티드 선택적 코발트 층을 열적으로 형성하기 위한 방법들
WO2023163466A1 (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법

Also Published As

Publication number Publication date
US20140045331A1 (en) 2014-02-13
US20170012001A1 (en) 2017-01-12
SG10201503679UA (en) 2015-06-29
KR20160119279A (ko) 2016-10-12
KR101730203B1 (ko) 2017-04-25
US9390971B2 (en) 2016-07-12
CN102859662B (zh) 2015-11-25
EP2491579A1 (en) 2012-08-29
US20150325474A1 (en) 2015-11-12
WO2011050073A1 (en) 2011-04-28
JP2013508979A (ja) 2013-03-07
US8569165B2 (en) 2013-10-29
AU2010310750A1 (en) 2012-06-07
KR101770538B1 (ko) 2017-08-22
AU2010310750B2 (en) 2015-02-26
US20110163062A1 (en) 2011-07-07
CN102859662A (zh) 2013-01-02
KR20160119874A (ko) 2016-10-14
JP5809153B2 (ja) 2015-11-10
CN105304479A (zh) 2016-02-03
CN105304479B (zh) 2018-06-01
US9112005B2 (en) 2015-08-18
KR101770537B1 (ko) 2017-08-22
EP2491579B1 (en) 2019-03-13

Similar Documents

Publication Publication Date Title
KR101770537B1 (ko) 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층
JP5820267B2 (ja) 配線用セルフアライン(自己整合)バリア層
US7728436B2 (en) Method for selective deposition of a thin self-assembled monolayer
US6541374B1 (en) Method of depositing a diffusion barrier for copper interconnection applications
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
TWI374482B (ko)
KR20100016311A (ko) 구리 배선용 코발트 질화물층 및 이의 제조방법
KR20080101893A (ko) 금속막의 형성 방법
KR101069630B1 (ko) 흡착억제제를 이용한 반도체 소자의 금속배선 형성방법
AU2013204553A1 (en) Self-aligned barrier and capping layers for interconnects

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant