KR101184529B1 - 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층 - Google Patents

캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층 Download PDF

Info

Publication number
KR101184529B1
KR101184529B1 KR1020077012745A KR20077012745A KR101184529B1 KR 101184529 B1 KR101184529 B1 KR 101184529B1 KR 1020077012745 A KR1020077012745 A KR 1020077012745A KR 20077012745 A KR20077012745 A KR 20077012745A KR 101184529 B1 KR101184529 B1 KR 101184529B1
Authority
KR
South Korea
Prior art keywords
gcib
capping
accelerated
forming
dielectric
Prior art date
Application number
KR1020077012745A
Other languages
English (en)
Other versions
KR20070085814A (ko
Inventor
로버트 엠 게프켄
존 제이 하우탈라
스티븐 알 셰어맨
아더 제이 런
Original Assignee
텔 에피온 인크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 텔 에피온 인크 filed Critical 텔 에피온 인크
Publication of KR20070085814A publication Critical patent/KR20070085814A/ko
Application granted granted Critical
Publication of KR101184529B1 publication Critical patent/KR101184529B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0635Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

집적 회로용 인터커넥트 구조에서 사용되는 구리 인터커넥트 배선층 표면 상의 캡핑층 및 가스 클러스터 이온 빔 프로세스를 적용한 집적 회로용의 개선된 일체화 인터커넥트 구조를 형성하는 방법이 개시되어 있다. 감소된 구리 확산과 개선된 일렉트로마이그레이션(electromigration) 수명 효과 및 선택적인 금속 캡핑 기술의 사용 및 이들에 수반되는 수율 문제가 회피된다.

Description

캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층{COPPER INTERCONNECT WIRING AND METHOD OF FORMING THEREOF}
<관련 특허 출원에 대한 교차 참조>
본 출원은 2004 년 11 월 8 일자로 출원한, 발명의 명칭이 "구리 인터커넥트 배선 및 그 형성 방법(Copper Interconnect Wiring and Method of Forming Thereof)"인 미국 가출원 제60/625,831호의 우선권을 주장하며, 상기 가출원의 내용은 참조에 의해서 본 출원에 합체된다.
본 발명은 전체적으로 구리 인터커넥트(interconnect) 배선층 표면 상의 캡핑층(capping layer) 및 가스 클러스터 이온 빔(GCIB; Gas-Cluster Ion-Beam) 프로세스를 적용하여 반도체 집적 회로의 인터커넥트 구조를 형성하는 개선된 방법에 관한 것이다.
고밀도와 고성능에 대한 계속되는 "무어의 법칙(Moore's Law)"의 진행은 산업계와 우리 사회에 대해서 현저한 생산성의 증가를 가져왔다. 그러나, 이 진행으로부터 초래되는 문제점은 더 작은 크기의 인터커넥트 배선이 더 높은 전류를 부담하게 될 필요가 있다는 것이다. 이와 같은 작은 배선에서의 전류 밀도와 온도가 너무 높아지게 되면, 일렉트로마이그레이션(electro-migration)이라고 불리는 효과에 의해서 인터커넥트 배선이 파손될 수 있다. 고전류 밀도의 인터커넥트 배선에서 발생하는, 소위 "전자풍(electron wind)" 효과는 금속 원자들이 원래의 이들의 격자 위치에서 벗어나도록 하여, 배선에서의 개방 회로(open circuit) 또는 이들 확산된 원자들이 몰린 영역에서의 돌출 단락(extrusion short)을 발생시킨다. 배선 재료로서 구리(copper)를 도입하여 알루미늄(aluminum)을 대체함으로써 일렉트로마이그레이션 수명이 현저하게 개선되었으나, 계속되는 인터커넥트 배선에서의 크기 축소(scaling)는 미래에 일렉트로마이그레이션 수명에서의 추가적인 개선이 필요하게 될 것임을 보여 주고 있다.
입계를 따른 알루미늄 원자들의 확산에 의해서 파손되는 알루미늄 배선과는 달리, 구리 인터커넥트 일렉트로마이그레이션의 파손 양상은 표면 및 계면을 따른 확산에 의해서 제어된다. 특히, 종래의 구리 배선 인터커넥트를 설계하기 위해서는, 전형적으로, 주위의 유전체로의 구리의 이동을 방지하기 위해서 구리 배선의 상면(top surface)에 양호한 확산 배리어 특성을 가져야 하는 피복 유전체 캡핑층을 가지고 있다. 가장 일반적으로 사용되고 있는 두 개의 유전체 캡핑 재료로는, 종래 플라즈마 개선 화학 증착법(PECVD, plasma-enhanced chemical vapor deposition)에 의해서 증착되었던 질화 규소(silicon nitride) 및 질화 규소 탄소(silicon carbon nitride)를 들 수 있다. 유감스럽게도, 이들 PECVD법으로 증착된 캡핑 재료는 구리와 함께 결함이 있는 계면을 형성하여 구리 배선의 상면을 따른 구리의 이동을 증가시키고, 따라서 일렉트로마이그레이션 파손을 증가시켰다. 구리 배선 구조의 다른 표면은 전형적으로 구리와 함께 강력한 계면을 형성하여 구 리의 확산을 제한하는 배리어층 또는 이중충(bi-layer)(전형적으로는, 금속성인, 예를 들면, TaN/Ta, TaN/Ru, 또는 Ru 등)을 가지고 있으며, 따라서 일렉트로마이그레이션 효과가 억제되었다. 이하, 이와 같은 배리어층 또는 이중층을 "배리어층(barrier layer)"라고 한다.
선택적으로 증착된 금속 캡(cap)을 사용하여 구리 배선의 상면을 캡핑(capping)함으로써 구리 배선의 일렉트로마이그레이션을 개선하고자 하는 시도가 있었다. 실제로, 선택적인 텅스텐(tungsten) 또는 선택적인 인화 코발트 텅스텐(CoWP, cobalt tungsten phosphide) 금속층 중의 하나로 상부 구리 계면을 캡핑하는 경우에, 구리 일렉트로마이그레이션 수명에서의 엄청난 개선이 있었음이 보고되고 있었다. 불행하게도, 선택적으로 금속을 캡핑하는 해결책을 사용하는 모든 방법은 인접한 절연면 상에도 또한 일부 금속이 증착될 가능성이 있었으며, 따라서 인접한 금속 배선(line) 사이에 원하지 않는 누전(leakage)이나 단락(short)을 초래하였다. 본 발명은 이들 문제점들 중의 많은 문제점을 해결하기 위해서 가스 클러스터 이온 빔(GCIB) 프로세스를 사용한다.
도 1은 구리 이중 다마신 집적 프로세스(copper dual damascene integration process)에서 일반적으로 사용되고 있는 종래 기술의 질화 규소 캡핑 구리 인터커넥트의 배선 설계(scheme)(300)를 개략적으로 설명하는 도면이다. 본 설계는 제1 구리 배선층(302)과, 제2 구리 배선층(304), 및 이들 두 개의 구리층을 접속하고 있는 구리 비아 구조(306)를 포함하고 있다. 양 배선층(302, 304) 및 비아 구조(306)의 측벽 및 저부는 모두 배리어층(312)으로 라이닝되어 있다. 배리어층(312)은 인접한 절연체 구조 내로의 구리의 확산을 방지하는 우수한 확산 배리어 특성을 제공하며, 또한, 계면을 따르는 일렉트로마이그레이션을 억제하는 구리와의 우수한 저확산 계면을 제공한다. 제1 레벨간 유전체층(308) 및 제2 레벨간 유전체층(310)은 구리 배선 사이에서 절연을 제공한다. 각각의 제1 구리 배선층(302)의 상면 및 제2 구리 배선층(304)의 상면은, 각각, 전형적으로 질화 규소 또는 질화 규소 탄소로 이루어지는 절연 배리어막(314, 316)으로 피복되어 있다. 이들 절연 배리어막(314, 316)은 통상적으로 PECVD에 의해서 증착되었으며, 노출된 구리면(copper surface)과 함께 형성되는 계면은 상당한 결함이 있었으며, 또한 구리 원자가 신속하게 이동(migration)하는 확산 경로를 제공하였다. 본 종래 기술의 배선 설계에서는, 이들 계면을 따라서, 구리 일렉트로마이그레이션 중에 거의 대부분의 바람직하지 않은 재료 이동이 발생하였다. 종래의 이와 같은 이중 다마신 구리 인터커넥트에서는, 각각의 인터커넥트 레벨에서, 레벨간 유전체층 내에 트렌치(trench) 및 비아를 형성하고, 후속하는 구리의 증착에 의해 인터커넥트 배선 및 비아를 형성한 이후에, 화학적 기계적 연마법(CMP, chemical mechanical polishing)을 사용하여 수행되는 평탄화(planarization) 단계가 전형적으로 뒤따르게 된다. CMP 및 포스트 CMP 브러쉬 세정 프로세스 모두에서 부식 방지제가 사용되며, 이들 부식 방지제 및 다른 오염 물질들은 캡핑층을 증착하기 전에 현장(in-situ) 세정을 통해 구리면으로부터 제거되어야 한다. 사후(ex-situ) 세정 프로세스를 사용하게 되면 구리면이 부식 및 산화에 약하게 될 가능성이 있다. PECVD 반응기(reactor)는 전형적으로 절연체 캡핑층 증착에 앞서 구리면의 현장 세정을 효과적으로 수행하도록 구성되어 있지 않다. 도 1에는 도시되어 있지 않지만, 배선 설계(300)는, 전형적으로 집적 회로를 완성하기 위해서, 전기적인 인터커넥트를 필요로 하는 수동 및/또는 능동 요소를 포함하는 반도체 기판 상에 형성되었다.
도 2는 종래 기술의 선택적인 금속 캡핑 구리 인터커넥트의 배선 설계(400)를 나타내고 있는 도면이다. 본 설계에는 제1 구리 배선층(402)과, 제2 구리 배선층(404), 및 이들 두 개의 구리층을 접속하고 있는 구리 비아 구조(406)를 포함하고 있다. 양 배선층(402, 404) 및 비아 구조(406)의 측벽 및 저부는 모두 배리어층(412)으로 라이닝되어 있다. 배리어층(412)은 인접한 절연체 구조 내로의 구리의 확산을 방지하는 양호한 확산 배리어 특성을 제공하며, 또한, 계면을 따르는 일렉트로마이그레이션을 억제하는 구리와의 우수한 저확산 계면을 제공한다. 제1 레벨간 유전체층(408) 및 제2 레벨간 유전체층(410)은 구리 배선 사이에서 절연을 제공한다. 각각의 제1 구리 배선층(402)의 상면 및 제2 구리 배선층(404)의 상면은, 각각, 전형적으로 화학 기상 증착법(CVD, chemical vapor deposition) 또는 무전해 기법에 의해서 증착되는 선택적인 텅스텐 또는 선택적인 CoWP로 이루어지는 선택적으로 증착된 금속층(414, 416)으로 캡핑되어 있다. 종래의 이와 같은 이중 다마신 구리 인터커넥트에서는, 각각의 인터커넥트 레벨에서, 레벨간 유전체층 내에 트렌치 및 비아를 형성하고, 후속하는 구리의 증착에 의해 인터커넥트 배선 및 비아를 형성한 이후에, 화학적 기계적 연마법(CMP)을 사용하여 수행되는 평탄화 단계가 전형적으로 뒤따르게 된다. CMP 및 포스트 CMP 브러쉬 세정 프로세스 모두에서 부식 방지제가 사용되며, 이들 및 다른 오염 물질들은 캡핑층을 증착하기 전에 구리면으로부터 제거되어야 한다. 구리층의 상부 구리 계면이 텅스텐 또는 CoWP 금속층 중의 하나로 캡핑된 경우에는, 구리 일렉트로마이그레이션 수명에 있어서 상당한 개선이 있었음이 보고되고 있다. 불행하게도, 선택적으로 금속을 캡핑하는 해결책을 사용하는 모든 방법은 인접한 절연체면 상에, 예를 들어서 도시한, 불필요한 금속(418)이 증착될 가능성이 있었으며, 따라서 인접한 금속 배선 사이에서의 전기적인 누전이나 단락을 초래할 수 있었다. 선택적인 금속 증착 기법이 일렉트로마이그레이션을 매우 크게 개선하는데 기여한다는 희망을 주고 있으나, 원하지 않는 금속의 증착으로 인해 반도체 다이(die)에서의 수율 손실에 대한 가능성이 높기 때문에 제조 단계에서는 광범위하게 채택되지 않고 있다. 도 2에는 도시되어 있지 않지만, 배선 설계(400)는, 집적 회로를 완성하기 위해서, 전형적으로 전기적인 인터커넥트를 필요로 하는 능동 및/또는 수동 요소를 포함하는 반도체 기판 상에 형성되었다. 표면 처리용의 가스 클러스터 이온 빔을 사용하는 것은 종래 기술에서 공지되어 있다(데구치(Deguchi) 등의 미국 특허 제5,814,194 호 참조). 본원에서 사용되는 용어로서, 가스 클러스터(gas-cluster)는 표준 온도 및 기압 조건 하에서 기체상인 재료가 나노 크기로 응집한 것을 가리킨다. 이와 같은 가스 클러스터는 전형적으로 수 개로부터 수천 개의 분자가 엉성하게 결합되어 가스 클러스터를 형성하는 응집체로 구성되어 있다. 가스 클러스터는 전자 충격(electron bombardment) 또는 다른 수단에 의해서 이온화될 수 있어, 이들을 제어 가능한 에너지의 지향 빔(directed beam)으로 형성할 수 있다. 이와 같은 이온은, 각각, 전형적으로 q·e(여기서, e는 전하를, q는 가스 클러스터 이온의 대전 상태를 나타내는 1 이상의 정수임)의 양전하를 띄고 있다. 이온화되지 않은 가스 클러스터 또한 가스 클러스터 이온 빔 내에 존재할 수 있다. 종종 가스 클러스터 이온의 사이즈가 더 커지면 가장 유용해지는데, 그 이유는 1 분자당에서는 적당한 에너지를 가지지만 1 가스 클러스터 이온당에서는 상당한 에너지를 운반할 수 있는 능력 때문이다. 충돌시에, 가스 클러스터는 각각의 개별적인 분자가 전체 가스 클러스터 이온 에너지의 매우 작은 부분만을 가진 채로 분해된다. 그 결과, 대형 가스 클러스터 이온의 충돌 효과는 상당해지지만, 그 효과는 매우 얕은 표면 영역에만 한정된다. 이는 종래 기술의 모노머(monomer) 이온 빔 프로세스의 특징인 깊은 표면 하에서의 손상을 생성할 가능성없이, 가스 클러스터 이온이 다양한 표면 개질 프로세스에 유용하게 되도록 한다. 이와 같은 GCIB의 생성 및 가속을 위한 수단은, 전술한 참조 문헌(미국 특허 제5,814,194 호)에 기술되어 있다. 현재로서 사용 가능한 가스 클러스터 이온 소스는 광범위한 분포 크기, N(여기서, N은 각각의 가스 클러스터 이온 내에서의 분자의 수를 나타내며, 본 발명의 설명에서는, 아르곤과 같이 단원자로 이루어진 가스의 경우에는, 상기 단원자 가스의 원자를 분자로 지칭하며, 이와 같은 단원자 가스의 이온화된 원자는 분자상 이온, 또는 간단하게 모노머 이온(monomer ion)으로 언급하기로 한다)을 갖는 가스 클러스터 이온을 생성한다. GCIB를 사용하여 표면에 충격(bombardment)을 가함으로써 많은 유용한 표면 처리 효과를 달성할 수 있다. 이들 처리 효과에는 세정(cleaning), 평활화(smoothing), 에칭(etching), 도핑(doping), 및 막 형성 또는 성장 등이 포함되며, 이들만으로 제한되지는 않는다. 알렌(Allen) 등의 미국 특허 제6,537,606 호는 초기의 불균일한 박막의 공간적인 균일성을 개선하는 교정 에칭(corrective etching)을 위해 GCIB를 사용하는 방법을 개시하고 있다. 미국 특허 제6,537,606 호의 전체 내용은 참조에 의해서 본원에 합체된다.
고형 타깃의 표면 상에 에너지를 갖는 가스 클러스터를 충돌시키면, 타깃 표면 내부로의 클러스터 원자의 침투는 전형적으로 매우 얕은데(shallow), 그 이유는 침투 깊이가 각각의 개별적인 구성 원자의 낮은 에너지에 의해서 제한되고 또한 가스 클러스터 이온의 충돌 중에 발생하는 과도적인 열 효과(thermal effect)에 주로 의존하기 때문이다. 가스 클러스터는 충돌에 의해서 분리되며, 이후에 개별적인 가스 원자는 반동(recoil)이 자유롭게 되어 타깃의 표면으로부터 이탈할 가능성이 있게 된다. 개별적인 가스 원자의 이탈에 의해 사라지는 에너지를 제외하고, 에너지를 갖는 클러스터의 충돌 이전의 전체 에너지는 타깃 표면 상의 충돌 영역 내로 축적되게 된다. 타깃의 충돌 영역의 치수는 클러스터의 에너지에 따라 달라지지만, 충돌하는 클러스터의 단면 치수 정도이며, 또한, 예를 들어, 1000 개의 원자로 이루어지는 클러스터에 대해서 직경으로 대략 30 옹스트롬(Angstrom) 정도로 작다. 클러스터에 의해 운반되는 전체 에너지의 대부분이 타깃 상의 작은 충돌 영역 내로 축적되기 때문에, 충돌 지점에서의 타깃 재료 내에서는 매우 심한 열적 과도 현상(thermal transient)이 발생한다. 이 열적 과도 현상은 타깃 내로의 보다 깊은 전도에 의해서 충돌 영역으로부터 에너지 손실이 일어나게 됨에 따라서 신속하게 소산된다. 열적 과도 현상의 지속 시간은 타깃 재료의 전도율에 의해서 결정되지만, 전형적으로는 10-6 초 이하이다.
가스 클러스터의 충돌 지점 근처에서는, 타깃 표면의 대부분이 순간적으로 수백 켈빈(Kelvin) 온도 내지 수천 켈빈 온도에 도달하게 된다. 일례로서, 10 keV의 전체 에너지를 갖는 가스 클러스터의 충돌은, 여기된, 표면 하에서 약 100 옹스트롬까지 연장되는 대략 반구 형상의 영역에 걸쳐서 약 2000 켈빈 온도의 일시적인 온도 상승을 생성할 수 있다고 추정되고 있다. 이 고온의 열적 과도 현상은 제조 공정 중의 제품과 가스 클러스터 이온 빔의 구성 요소의 혼합 및 반응을 촉진하며, 따라서 일렉트로마이그레이션 수명을 개선하게 된다.
에너지를 갖는 가스 클러스터가 충돌한 지점 아래의 타깃 체적 내에서 과도한 온도 상승을 시작한 이후에, 이 온도 상승의 영향을 입은 영역은 신속하게 냉각된다. 이 프로세스 중에, 가스 클러스터를 구성하는 요소의 일부는 이탈하지만, 나머지는 뒤에 남아 있어 표면과 결합하게 된다. 또한, 원래의 표면 재료의 일부는 스퍼터링 또는 유사한 효과에 의해서 제거될 수 있다. 일반적으로, 가스 클러스터를 구성하는 요소가 더욱 휘발성(volatile)일수록 또한 비활성(inert)일수록 이탈할 가능성이 높지만, 덜 휘발성일수록 또한 더욱 화학적으로 반응성이 높은 구성 요소일수록 표면 내로 결합될 가능성이 높다. 실제의 프로세스는 매우 복잡할 수도 있지만, 가스 클러스터의 충돌 지점 및 이를 둘러싸고 있는 영향을 받은 영역을, 가스 클러스터 원자가 간단히 기판면과 반응하고 혼합되며, 또한 가스 클러스터 재료가 표면으로부터 이탈되거나 또는 영향을 받은 영역의 깊이까지의 표면 내로 주입되는 "멜트 존(melt zone)"이라고 간주하는 것이 편리하다. "주입(infusion)" 또는 "주입하는(infusing)"이라는 용어는, 본원 발명의 발명자들에 의해서, 매우 다른 결과를 낳는 매우 다른 프로세스인 이온 "임플란테이션(implantation)" 또는 "임플랜팅(implanting)"과 구별하기 위해서, 상기 프로세스를 언급하는데 사용된다. 예를 들어, 아르곤(argon) 및 제논(xenon)과 같은 에너지를 갖고 있는 가스 클러스터 이온 내의 영족 가스(noble gas, 비활성 가스)는 휘발성이며 또한 비반응성이므로 상기 영향을 받은 영역으로부터 이탈할 가능성이 높은 반면에, 예를 들어, 탄소, 붕소(boron), 플루오르(fluorine), 황, 질소, 산소, 게르마늄(germanium), 및 규소(silicon)와 같은 재료들은 덜 휘발성을 나타낸거나 및/또는 화학적인 결합을 더 잘 형성할 수 있으므로, 상기 영향을 받은 영역 내에 남아 있을 가능성이 더 높아, 기판의 표면에 결합하게 된다.
예를 들어, 아르곤 및 제논과 같은 영족 비활성 가스(noble inert gas)는 덜 휘발성이고 또한 화학적으로 더 반응성이 높아 혼합 클러스터를 형성하는 원소를 포함하는 가스와 혼합될 수 있다. 이와 같은 가스 클러스터는 가스 클러스터 이온 빔을 생성하기 위한 소스 가스(source gas)로서 적절한 소스 가스 혼합물을 사용함으로써, 또는 가스 클러스터 이온 생성 소스로 두 개 또는 그 이상의 가스(또는 가스 혼합물)을 공급하고 이들이 소스 내에서 혼합되도록 함으로써, 후술하게 되는 기존의 가스 클러스터 이온 빔 프로세싱 장비를 사용하여 형성될 수 있다. 최근의 출판물에서, 볼랜드(Borland) 등("USJ and strained-Si formation using infusion doping and deposition", Solid State Technology, May 2004, p. 53)은, GCIB 주입을 통해서 기판 재료로부터 표면 상에 증착된 층까지 매끄럽게 천이하는 계단적(graded) 표면층을 생성할 수 있음을 보여 주고 있다.
따라서 본 발명의 일 목적은, 선택적인 금속 증착 캡을 사용할 필요없이, 바람직하지 않은 일렉트로마이그레이션 효과에 대한 감수성을 감소시키기 위해서 인터커넥트 구조 내의 구리 배선을 캡핑하기 위한 방법을 제공하는 것이다.
본 발명의 다른 목적은, 인접한 유전체 재료의 절연(insulating) 또는 누전(leakage) 특성에 악영향을 미치지 않고, 인터커넥트 구조 내에서의 구리 인터커넥트를 효과적으로 캡핑하기 위한 방법을 제공하는 것이다.
본 발명의 또 다른 목적은, 프로세스 수율이 높고 또한 일렉트로마이그레이션 효과로 인한 파손의 감수성이 감소된 회로용의 다레벨 구리 인터커넥트를 형성하기 위한 방법을 제공하는 것이다.
본 발명의 또 다른 목적은, 프로세스 수율이 높고 또한 일렉트로마이그레이션 파손에 대한 감수성이 감소된 것인 집적 회로용의 개선된 캡핑 구리 인터커넥트층을 제공하는 것이다.
다른 목적 및 기타 목적과 함께, 본 발명을 더욱 양호하게 이해시키기 위해서, 첨부한 도면과 발명의 상세한 설명을 참고하기로 한다.
도 1은 종래 기술의 질화 규소 캡핑 구리 인터커넥트 배선 설계를 나타내고 있는 개략도.
도 2는 종래 기술의 선택적인 금속 캡핑 구리 인터커넥트 배선 설계를 나타내고 있는 개략도.
도 3은 종래 기술의 GCIB 장치의 기본 요소를 나타내고 있는 개략도.
도 4a, 도 4b, 도 4c, 도 4d, 및 도 4e는 본 발명의 제1 실시예에 따른 GCIB 주입에 의한 구리 인터커넥트 캡핑 프로세스를 나타내고 있는 개략도.
도 5a, 도 5b, 도 5c, 도 5d, 도 5e, 도 5f, 도 5g, 도 5h, 도 5i, 도 5j, 도 5k, 및 5l은 본 발명의 제2 실시예에 따른 GCIB 주입 및 증착을 사용하는 것에 의한 구리 인터커넥트 캡핑 프로세스를 나타내고 있는 개략도.
도 6a, 도 6b, 도 6c, 도 6d, 도 6e, 도 6f, 및 도 6g는 본 발명의 제3 실시예에 따른 GCIB 주입 및 증착을 사용하는 것에 의한 구리 인터커넥트 캡핑 프로세스를 나타내고 있는 개략도.
도 3은 종래 기술에서 공지된 형태의 GCIB 처리 장치(100)용의 전형적인 구조의 기본 요소를 개략적으로 나타낸 도면으로서, 진공 용기(102)는 소스 챔버(104)와, 이온화/가속 챔버(106), 및 프로세스 챔버(108)의 세 개의 연통 챔버로 분할되어 있다. 이 세 개의 챔버는, 각각, 진공 펌프 시스템(146a, 146b, 146c)에 의해서 적절한 동작 압력으로 진공 처리된다. 제1 가스 저장 실린더(111) 내에 저장된 제1 압축성 소스 가스(112)(예를 들면, 아르곤이나 질소 또는 미리 혼합된 가스 혼합물)는 제1 가스 차단 밸브(115)를 통해서 및 제1 가스 측정 밸브(113) 및 가스 공급 튜브(114)를 통해서 대기 챔버(116) 내로 가압하에 도입된다. 선택적인 제2 가스 저장 실린더(230) 내에 저장된 선택적인 제2 압축성 소스 가스(232)(예를 들면, 이산화 탄소, 산소, 또는 미리 혼합된 가스 혼합물)는 제2 가스 차단 밸브(236)를 통해서 및 제2 가스 측정 밸브(234)를 통해서 가압하에 도입된다. 양 소스 가스를 사용하는 경우에, 이들은 가스 공급 튜브(114) 및 대기 챔버(116) 내에서 혼합된다. 대기 챔버(116) 내에서의 가스 또는 가스 혼합물은 적절한 형상을 가진 노즐(110)을 통해 실질적으로 더 낮은 압력의 진공 내로 분출된다. 초음속의 가스 제트(118)가 생성된다. 제트에서의 팽창으로 인해 초래되는 냉각은 가스 제트(118)의 일부를 가스 클러스터로 응축시키며, 이 가스 클러스터는 각각 수 개 내지는 수천 개의 약하게 결합된 원자 또는 분자로 이루어져 있다. 가스 스키머(skimmer) 장치(120)는 부분적으로 가스 클러스터 제트로부터 가스 클러스터 제트로 응축되지 못한 가스 분자들을 분리하여, 이와 같은 높은 압력이 바람직하지 못한 하류측 영역(예를 들면, 이온화 장치(122), 고전압 전극(126), 및 프로세스 챔버(108))에서의 압력을 최소화한다. 적절한 압축성 소스 가스(112)에는 아르곤, 질소, 이산화 탄소, 산소, 및 다른 가스 및/또는 가스 혼합물이 포함되나, 이들로만 제한되지는 않는다.
가스 클러스터를 포함하는 초음속 가스 제트(118)가 형성된 이후에, 가스 클러스터는 이온화 장치(122) 내에서 이온화된다. 이 이온화 장치(122)는, 전형적으로, 가스 제트(118)가 이온화 장치(122)를 통과하는 영역에서, 하나 이상의 백열광 필라멘트(124)로부터 열전자를 생성하여 상기 가스 제트 내의 가스 클러스터와 충돌하도록 이 전자를 가속하고 지향시키는 전자 충돌형 이온화 장치이다. 전자의 충돌은 가스 클러스터로부터 전자를 방출시켜, 가스 클러스터의 일부가 확실하게 이온화되도록 한다. 일부 가스 클러스터는 방출된 2 개 이상의 전자를 가질 수도 있으며, 다중으로 이온화될 수도 있다. 적절하게 바이어스된 고전압 전극 세트(126)는 이온화 장치로부터 가스 클러스터 이온을 추출하여, 빔을 형성하며, 이후에 소정의 에너지(전형적으로 수백 V 내지 수천 kV까지의 가속 전위)로 이들을 가속하고, 이들을 집중시킴으로써 GCIB(128)를 형성한다. 필라멘트의 전원 공급 장치(136)는 이온화 장치의 필라멘트(124)를 가열하기 위해 필라멘트 전압(Vf)을 제공한다. 양극 전원 공급 장치(134)는 필라멘트(124)로부터 방출된 열전자를 가속하기 위한 양극 전압(VA)을 제공하여, 열전자로, 가스 제트(118)를 포함하는 가스 클러스터를 조사하게 하여 이온을 생성하도록 한다. 추출용 전원 공급 장치(138)는 고전압 전극을 바이어스하기 위한 추출용 전압(VE)을 제공하여, 이온화 장치(122)의 이온화 영역으로부터 이온을 추출하여, GCIB(128)를 형성한다. 가속 전원 공급 장치(140)는 이온화 장치(122)에 대해서 고전압 전극을 바이어스하기 위한 가속 전압(VAcc)을 제공하여, 전체 GCIB 가속 전위가 VAcc와 동일하게 되도록 한다. 고전압 전극을 포커싱 전압(예를 들면, VL1 및 VL2)으로 바이어스하여 GCIB(128)을 집중시키는, 하나 이상의 렌즈 전원 공급 장치(예를 들면, 도면 부호 142 및 144)가 제공될 수도 있다.
반도체 웨이퍼 또는 GCIB 프로세스에 의해서 처리될 수 있는 다른 워크피스일 수도 있는 워크피스(152)는, GCIB(128)의 경로 내에 배치될 수 있는 워크피스 홀더(150) 상에 고정 유지된다. 대부분의 적용 형태에서, 대형 워크피스는 공간적으로 균일한 결과를 갖도록 처리된다고 간주되기 때문에, 공간적으로 균질한(homogeneous) 결과를 생성하기 위해서는 큰 영역에 걸쳐서 GCIB(128)를 균일하게 스캐닝(scanning)하는 스캐닝 시스템이 바람직하다.
GCIB(128)는 정지해 있고, GCIB 축(129)을 가지고 있으며, 워크피스(152)는 GCIB(128)에 의해서 기계적으로 스캐닝되어, 워크피스(152)의 표면에 걸쳐서 GCIB(128)의 효과를 분산시키고 있다.
X 스캔 액추에이터(202)는 X 스캔 모션(208) 방향(지면의 평면 안과 밖 방향)으로의 워크피스 홀더(150)의 선형(linear) 운동을 제공한다. Y 스캔 액추에이터(204)는 전형적으로 X 스캔 모션(208)에 대해서 직교하는 Y 스캔 모션(210) 방향으로 워크피스 홀더(150)의 선형 운동을 제공한다. X 스캐닝 및 Y 스캐닝 모션의 조합은 GCIB(128)를 통하여 래스터(raster) 형식의 스캐닝 모션으로 워크피스 홀더(150)에 의해서 유지된 워크피스(152)를 이동시켜, 워크피스(152)를 처리하기 위한 GCIB(128)에 의해서 워크피스(152)의 표면에 균일하게 (또는 다르게는 프로그램에 의해) 조사하도록 한다. 워크피스 홀더(150)는 GCIB(128)의 축에 대해서 각도를 두고 워크피스(152)를 배치하고 있으므로, GCIB(128)는 워크피스(152) 표면에 대해서 경사진 빔 입사각을 가지고 있다. 빔의 입사각(206)은 90 도 일수도 있고, 또는 다른 각도일 수도 있지만, 전형적으로는 90 도 또는 거의 90 도이다. Y 스캐닝 중에, 워크피스(152) 및 워크피스 홀드(150)는 도시된 위치로부터, 각각 도면 부호 152A 및 150A로 표시된 다른 위치 "A"로 이동한다. 두 위치 사이의 이동에 있어서, 워크피스(152)는 GCIB(128)를 관통하여 스캔되고, 양 극단 위치에서, GCIB(128)의 경로에서 완전하게 벗어나서 이동한다(오버 스캔, over-scan). 도 3에서는 명확하게 도시되어 있지 않지만, 유사한 스캐닝 및 오버 스캔은 (통상적으로) 직교하는 X 스캔 모션(208) 방향(지면의 평면의 안과 밖 방향)으로도 수행된다.
빔 전류 센서(218)는 워크피스 홀더(150)가 GCIB(128)의 경로에서 벗어나서 스캐닝될 때에 GCIB(128)의 샘플을 인터셉트하도록 GCIB(128)의 경로에 워크피스 홀더(150)를 넘어서 배치되어 있다. 빔 전류 센서(218)는 전형적으로 패러데이 컵(faraday cup) 등이며, 빔 진입 개구를 제외하고는 폐쇄되어 있고, 또한, 전형적으로, 전기적으로 절연성인 마운트(212)를 사용하여 진공 용기(102)의 벽에 고정되어 있다.
마이크로컴퓨터 기반의 컨트롤러일 수도 있는 컨트롤러(220)는 전력 케이블(216)을 통해 X 스캔 액추에이터(202) 및 Y 스캔 액추에이터(204)와 접속하고, X 스캔 액추에이터(202) 및 Y 스캔 액추에이터(204)를 제어하여 워크피스(152)가 GCIB(128) 내로 들어가거나 벗어나게 하며, 또한 GCIB(128)에 대해서 균일하게 워크피스(152)를 스캔하여 GCIB(128)에 의한 워크피스(152)의 소정의 처리를 달성한다. 컨트롤러(220)는 도선(214)에 의해서 빔 전류 센서(218)가 수집한 샘플 빔 전류를 수신하고, 이에 의해서 GCIB를 감시하여, 소정의 원하는 조사량이 전달되었을 경우에 GCIB(128)로부터 워크피스(152)를 제거함으로써 워크피스(152)에서 수신되는 GCIB의 조사량을 제어한다.
도 4a는 본 발명의 제1 실시예에 따른 GCIB 주입을 사용하여 캡핑된 구리 인터커넥트 배선 설계(500)를 나타내는 개략도이다(예를 들면, 두 개의 구리 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지는 않는다). 본 개략도는, 각각, 통상의 기법을 사용하여 형성될 수도 있는, 제1 구리 배선층(502)과, 제2 구리 배선층(504), 및 두 개의 구리 배선층을 접속하는 구리 비아 구조(506)를 지지하는 기판(501)을 나타내고 있다. 기판(501)은 전형적으로 전기적인 인터커넥트를 필요로 하는 능동 및/또는 수동 요소를 포함하는 (가능하게는 낮은 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(502, 504) 및 비아 구조(506)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수도 있는 TaN/Ta 또는 다른 통상의 배리어층(512)으로 라이닝되어 있다. 제1 레벨간 유전체층(508) 및 제2 레벨간 유전체층(510)은 구리 배선층 및 다른 구성 요소 사이에서 전기적인 절연을 제공하며, 또한 통상의 기법을 사용하여 형성될 수도 있다. 제1 구리 배선층(502)의 상면과 제1 레벨간 유전체층(508)의 상면 및 제2 구리 배선층(504)의 상면과 제2 레벨간 유전체층(510)의 상면은 모두 GCIB 프로세스에 의해서 캡핑되어 캡핑막(514, 516, 518)을 형성하고 있다. 각각의 인터커넥트 레벨의 상면에 별도의 GCIB 캡핑 프로세스가 수행되는 것이 바람직하다. 통상의 이중 다마신 구리 인터커넥트에서는, 레벨간 유전체층 내에 트렌치(trench) 및 비아를 형성하고, 후속하는 구리의 증착에 의해 인터커넥트 배선 및 비아를 형성한 이후에, 화학적 기계적 연마법(CMP)을 사용하여 노출된 구리 및 레벨간 유전체층 재료면 상에서 평탄화(planarization) 단계가 전형적으로 뒤따르게 된다. CMP 및 포스트 CMP 브러쉬 세정 프로세스 모두에서 연마될 표면 상에 부식 방지제가 사용되며, 캡핑층을 형성하기 바로 전에 현장 세정(본 명세서에서 사용하는 바와 같이, "현장(in-situ)"이란 세정 및 캡핑 단계 사이에서 대기 압력으로 되돌아가는 일 없이, 또한 세정 단계 및 캡핑 단계 사이에서 세정된 표면의 재오염 기회가 감소되도록, 캡핑 증착이 수행된 동일하 감압된 분위기에서 세정이 이루어지는 것을 의미한다)을 통해 구리 및 유전체 표면으로부터 (다른 오염 물질과 함께) 제거되는 것이 바람직하다. PECVD 반응기는 전형적으로 절연체 캡핑층 증착에 앞서 구리면의 현장 세정을 효과적으로 수행하도록 구성되어 있지 않다. PECVD 시스템과는 달리, 예를 들면, 처리 장치(100)와 같은 GCIB 프로세스 시스템은 즉시, 또 전형적으로 순서대로 현장 세정 및 캡핑을 달성할 수 있도록 구성되어 있다. 이하에서 설명하는 것과 같이, GCIB 프로세스에 의해서, 바람직하게는 제위치에서 수행될 수도 있는, 노출된 구리 및 레벨간 유전체 표면의 세정 이후에, GCIB 주입 프로세스를 사용하여 평탄화된 표면을 (구리 및 노출된 레벨간 유전체를 동시에) 캡핑한다.
도 4b는 배선 설계(500)의 초기 단계(500B)를 나타내는 도면이다. 도시된 단계에서, 인터커넥트 레벨은 기판(501) 상에 형성된다. 인터커넥트 레벨은 통상의 기법을 사용하여 기판 상에 증착되어진 제1 레벨간 유전체(508)를 포함한다. 통상의 트렌치 및 비아는 제1 레벨간 유전체(508) 내에 형성되어 있으며, 통상의 배리어층(512)으로 라이닝되어 있다. 구리는 통상의 프로세스를 이용하여 트렌치와 비아 내에 증착되어 있다. 상기 구조의 상면은 통상의 프로세스를 사용하여 평탄화 및 세정되어 있다. 제1 구리 배선층(502) 및 제1 레벨간 유전체층(508)의 상면에는 잔류 오염 물질(503)이 남아 있다. 이 단계에서, 및 각각의 후속하는 인터커넥트 레벨에 대응하는 단계에서(2 개 이상의 인터커넥트 레벨을 가정한다), GCIB 세정 프로세스가 수행될 수 있다. GCIB 세정은 가스 Ar, N2, NH3 또는 H2 중의 어느 하나의 분자, 또는 이들의 혼합물로 이루어지는 GCIB 클러스터 이온을 사용하고, 또한 바람직하게는 약 3 kV 내지 약 50 kV 범위 내의 빔 가속 전위(VAcc)를 사용하고, 또한 약 5 × 1013 내지 약 5 × 1016 이온/cm2 범위 내의 전체 가스 클러스터 이온 조사량으로, 세정될 표면을 조사하는 것을 포함한다. 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 이들 예시적인 가스로만 제한되는 것이 아니며, 구리면으로부터 포스트 CMP 잔류물, 구리 산화물, 및 다른 오염 물질을 제거하는 다른 가스 또는 가스 혼합물로도 실시될 수 있을 것임을 알 것이다. 본 발명에 필수적이지는 않지만, 이 GCIB 세정 프로세스는 현장 세정 프로세스인 것이 바람직하다.
도 4c는 GCIB 세정 단계 이후의 배선 설계(500)의 단계(500C)를 나타내는 도면이다. 제1 구리 배선층(502) 및 제1 레벨간 유전체층(508)의 상면은 오염 물질이 세정되어 있고, 캡핑 단계의 준비가 되어 있다. 이 단계에서 및 각각의 후속하는 인터커넥트 레벨에 대응하는 단계에서(2 개 이상의 인터커넥트 레벨을 가정한다), GCIB 캡핑 프로세스가 수행된다. GCIB 캡핑 프로세스는 원래의 노출된 유전체 및/또는 구리면 내로의 주입 시에 절연 재료를 형성하는 반응성 원소로 이루어진 GCIB로 제1 구리 배선층(502) 및/또는 제1 레벨간 유전체층(508)의 상면을 조사하는 것을 포함한다. 가스 클러스터 이온 원소를 갖는 GCIB는, 예를 들면, C, N, O, Si, B, 또는 Ge, 또는 이들의 혼합물로 이루어지는 것이 적절하며, 구리 상에 Si3N4, SiCN, CuCO3, 및 BN과 같은 계단적 캡핑막을 형성할 수 있다. 구리 및/또는 인접한 절연체로 주입될 때 적절한 유전체 재료를 형성하는 다른 원소 및 조합도 사용 가능하다. 예를 들어, CH4, SiH4, NH3, N2, CO2, B2H6, GeH4 및 이들의 혼합물과 같은 소스 가스도 채택할 수 있다. 이와 같은 가스는 그 순수한 형태로 또는, 예를 들면, Ar 또는 Xe와 같은 비활성 가스와 혼합하여, 클라스터 이온을 형성하는데 사용될 수도 있다. 도 3의 GCIB 처리 장치(100)를 참조하면, 주입을 달성하기 위해서, 약 3 kV 내지 약 50 kV 범위 내의 빔 가속 전위(VAcc)를 사용할 수 있으며, 또한 약 1 × 1014 내지 약 1 × 1017 이온/cm2 범위 내의 전체 가스 클러스터 이온 조사량을 사용할 수 있다.
도 4d는 GCIB 캡핑 단계 이후의 배선 설계(500)의 단계(500D)를 나타내는 도면이다. 구리면 및/또는 인접한 레벨간 유전체 표면 상에서, GCIB 프로세스의 충돌 에너지 및 열 과도 특성이 GCIB에 노출된 구리 배선 및/또는 인접한 유전체 구조의 상면으로 주입되어, 각각 캡핑층(514, 516)을 형성한다. 캡핑층(514, 516)은 각각 선택적으로 유전체 배리어막으로서 기능하는 상층부를 더 포함할 수도 있다. 형성 프로세스의 초기 단계 동안, 혼합된 구리/GCIB 종 성분으로 이루어진 계단적 층(514A)은 구리면으로 주입되어 들어간다. 이 혼합된 층은 후속하여 증착되는 임의의 유전체 배리어막(514B) 및 그 아래에 있는 구리 사이에서 계단적 계면을 제공하며, 이에 의해서 계면에서의 구리 확산을 제한하여, 일렉트로마이그레이션 수명을 개선한다. 후속하여 증착되는 유전체 배리어(514B) 층은 통상의 PECVD에 의해서 증착되는 별도의 추가적인 막일 수 있으나, 프로세스가 (증가된 조사량으로) 주입 프로세스로부터 순수 증착 프로세스까지 진행할 때까지 구리의 주입면에서 혼합층 위에 유전체 재료를 증착하여 상기 혼합된 계단적 층을 초기에 생성하는 캡핑 GCIB 조사 프로세스의 단순한 연장으로서, GCIB 캡핑 주입 단계의 연장선 상에서 GCIB에 의해서 증착되는 것이 바람직하다. 이 초기에 주입되고 혼합된 계단적 층(514A)은 캡핑층으로서 동작하며, GCIB 조사가 계속됨에 따라서, 후속하여 부가적으로 유전체 재료를 증착하여 증착된 유전체 배리어막(514B)을 형성한다. 이는 상기 혼합된 계단적 층에 의해서 구리 인터커넥트와 일체인 유전체막을 형성하며, 따라서 우수한 일렉트로마이그레이션 수명을 포함하여 계면 특성이 개선된다. 캡핑층(514)을 형성하는 동일한 (또는 다른) 캡핑 GCIB는 레벨간 유전체(508) 상에 캡핑층(516)을 형성하는 것이 바람직하다. 캡핑층(514)과 유사하게, 캡핑층(516)은 이중층(bi-layer)일 수도 있다. 캡핑층(516)은 초기에는 표면에서 혼합된 유전체/GCIB 종 성분으로 형성된 혼합된 계단적 층을 형성하며, 계속되는 GCIB 프로세스 또는 추가적인 별도의 (예를 들면, PECVD) 증착에 의해서, 증착된 유전체 배리어막을 또한 포함할 수도 있다. 예를 들어, 연장된 GCIB 프로세스를 사용하여 유전체 배리어막(514B)을 형성하지 않은 경우에, 또는, 특히 두꺼운 유전체 배리어막(514B)이 필요한 경우에는, 주입된 캡핑층(514A) 또는 캡핑층(516)은 선택적으로 PECVD Si3N4, SiCN 또는 SiC와 같은 종래의 절연층으로 피복 캡핑되어, 부가적인 구리 확산 배리어용의 유전체 배리어 또는 비아 에칭 정지(via-etch stop) 특성에 적합한 유전체 배리어막을 형성할 수도 있다. 캡핑 단계, 및 임의의 유전체 배리어막을 형성하는 단계 이후에, 필요하다면, 통상의 기술을 사용하여 부가적인 레벨의 인터커넥트를 추가할 수도 있다.
도 4e는 (유전체 배리어를 포함하여) GCIB 캡핑된 제1 인터커넥트 레벨 상에 제2 인터커넥트 레벨을 추가한 배선 설계(500)의 단계(500E)를 나타낸 도면이다. 이 단계에서는, 제2 인터커넥트 레벨은 캡핑층(514, 516) 상에 형성되어 있다. 제2 인터커넥트 레벨은 통상의 기법을 사용하여 캡핑층(514, 516) 상에 증착되어진 제2 레벨간 유전체(510)로 이루어져 있다. 트렌치와 비아는, 통상의 기법을 사용하여, 제2 레벨간 유전체(510) 내에 형성되어 있고, 이 트렌치와 비아는 배리어층(512)으로 라이닝되어 있으며, 상기 트렌치와 비아 내에 구리가 증착되어 있다. 이 구조의 상면은, 예를 들면, CMP와 같은 통상의 프로세스를 사용하여 평탄화 및 세정되어 있다. 제2 구리 배선층(504) 및 제2 레벨간 유전체층(510)의 상면은 오염 물질(505)이 잔류한 상태로 도시되어 있다. (있다면) 제2 레벨간 레벨 및 (있다면) 후속하는 상위의 인터커넥트 레벨에서의 GCIB 세정 및 GCIB 주입 단계는 배선 설계(500)에 대해서 전술한 바와 같이 적용되어, 도 4a에 나타낸 것과 같은 (예를 들면) 캡핑막(518)을 형성한다. 따라서, 필요에 따라서, 두 개 또는 다레벨의 인터커넥트 구조를 형성할 수 있다.
따라서, 전술한 기법은 일렉트로마이그레이션을 감소시켰고, 또한 선택적인 금속 캡핑 프로세스와 관련된 바람직하지 못한 부작용을 회피하였다. 유전체 표면 상에서, 주입층 및 유전체는 절연성을 유지하고 있으며, 매우 얇은 주입층은 이 층의 전체 유전 상수 및 층간 커패시턴스에 대해서 무시할 수 있을 정도의 영향 밖에 미치지 않는다.
도 5a는 본 발명의 제2 실시예에 따른 GCIB 주입 및 증착을 사용하여 캡핑된 구리 인터커넥트의 배선 설계(600)를 나타내는 개략도이다(예를 들면, 두 개의 구리 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지는 않는다). 본 개략도는, 각각, 통상의 기법을 사용하여 형성될 수도 있는, 제1 구리 배선층(602)과, 제2 구리 배선층(604), 및 두 개의 구리 배선층을 접속하는 구리 비아 구조(606)를 지지하는 기판(601)을 나타내고 있다. 기판(601)은 전형적으로 전기적인 인터커넥트를 필요로 하는 능동 및/또는 수동 요소를 포함하는 (가능하게는 낮은 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(602, 604) 및 비아 구조(606)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수도 있는 TaN/Ta 또는 다른 배리어층(612)으로 라이닝되어 있다. 제1 레벨간 유전체층(608) 및 제2 레벨간 유전체층(610)은 구리 배선층 사이에서 전기적인 절연을 제공하며, 또한 통상의 기법을 사용하여 형성될 수도 있다. 종종, 레벨간 유전체층(608, 610)은 유전 특성을 강화하기 위해서 다공성(porous)인 것이 바람직하다. 이와 같은 경우에, 레벨간 유전체층 각각은, 그 위에, 예를 들면, 각각 SiO2, SiC 또는 Si3N4와 같은 재료로 구성되고, 또한, 각각 통상의 기법을 사용하여 증착될 수 있는 제1 하드마스크층(609) 및 제2 하드마스크층(611)과 같은 하드마스크층이 선택적으로 증착되어 있을 수도 있다. 제1 구리 배선층(602), 제1 레벨간 유전체층(608)(또는, 선택적으로, 존재한다면 제1 하드마스크층(609)의 상면), 제2 구리 배선층(604), 및 제2 레벨간 유전체층(610)(또는, 선택적으로, 존재한다면, 제2 하드마스크층(611)의 상면)은 모두 GCIB 프로세스에서 캡핑되어 캡핑막(614, 616, 618, 620)을 형성하고 있다. 본 제2 실시예는 GCIB 가스 클러스터 이온을 포함하고 있는 원소를 선택할 때, 주입된 종이 구리면(구리 캡핑막(614, 618)) 상에서 도체 특성을 유지하도록 선택된다는 점에서 제1 실시예와 구별될 수 있다. 그러나, 주입되는 원소는 또한 동일한 원소가 각각의 인터커넥트 레벨(레벨간 유전체 또는 하드마스크 캡핑막(616, 620))의 유전체 영역 내의 레벨간 유전체 및/또는 유전체 하드마스크 재료의 표면 상에 주입될 때 절연막을 형성하도록 선택된다. 개선된 유전체 확산 배리어(제1 인터커넥트 레벨용의 배리어막(622), 및 제2 인터커넥트 레벨용의 배리어막(624))는 GCIB 증착에 의해서 형성되는 것이 바람직하지만, 통상의 기법에 의해서 형성될 수도 있다. 이와 같은 배리어막은 GCIB 주입 캡의 확산 배리어 성능 및 비아 에칭 정지 특성을 추가적으로 개선한다.
구리 및 레벨간 유전체 캡을 형성하기 위해서는 각각의 인터커넥트 레벨의 상면에 GCIB 주입 프로세스를 적용하는 것이 바람직하다. 전술한 바와 같이, 구리 인터커넥트 및 레벨간 유전체의 CMP 평탄화에 뒤이어 GCIB 현장 세정이 사용되는 것이 바람직하다. 도 5g는 레벨간 유전체층(608, 610)이 상면에 하드마스크층(609, 610)을 갖지 않는 배선 설계(600G)를 나타낸 도면이다. 지금부터는, 배선 설계(600G)를 구성하기 위한 프로세스에 대해서 설명하기로 한다.
도 5b는 배선 설계(600G)의 초기 단계(600B)를 나타낸 도면이다. 기판(601) 상에 형성된 인터커넥트 레벨은, 트렌치 및 비아가 형성되어 배리어층(612)으로 라이닝된, 통상적으로 증착된 제1 레벨간 유전체(608)로 이루어져 있다. 트렌치 및 비아에는 통상의 기법을 사용하여 구리가 증착되어 있다. 상기 구조의 상면은 평탄화되어 있고, 세정되어 있다. 제1 구리 배선층(602) 및 제1 레벨간 유전체층(608)의 상면은 오염 물질(603)이 잔류한 상태로 도시되어 있다. 이 단계에서 및 각각의 후속하는 인터커넥트 레벨의 각 단계(2 개 이상의 인터커넥트 레벨을 가정한다)에서의 상면에서는, 전술한 바와 같이, GCIB 세정 프로세스가 수행될 수도 있다. 본 발명에 필수적이지는 않지만, 이 GCIB 세정 프로세스는 현장 세정 프로세스인 것이 바람직하다.
도 5c는 GCIB 세정 단계 이후의 배선 설계(600G)의 구성에서의 중간 단계(600C)를 나타내는 도면이다. 제1 구리 배선층(602) 및 제1 레벨간 유전체층(608)의 상면은 오염 물질이 세정되어 있고 캡핑 단계의 준비가 되어 있다. 이제 이 단계 및 각각의 후속하는 인터커넥트 레벨의 각 단계(2 개 이상의 인터커넥트 레벨을 가정한다)에서, 세정된 상면에 GCIB 캡핑 프로세스를 적용할 수 있다. (바람직하게는 현장) GCIB 주입 프로세스를 사용하여 평탄화된 표면(구리 및/또는 노출된 레벨간 유전체)을 동시에 (또는, 다르게는 별도의 캡핑 GCIB에 의해서) 캡핑한다. GCIB 캡핑 프로세스는 구리면 내로의 주입 시에 전기적으로 전도성을 갖는 재료를 형성하지만, 레벨간 유전체 표면 내로의 주입 시에는 전기적으로 절연성을 갖는 재료를 형성하는 반응성 원소로 이루어진 GCIB를 사용하여 제1 구리 배선층(602) 및 제2 구리 배선층(608)의 상면을 조사하는 것을 포함한다. 또한, 이들 전도성을 갖는 원소는, 전도성에 미치는 악영향을 회피하도록, 구리 내에서의 고용도(solid solubility)가 높지 않는 것이 선택된다. 제한 없이, 원소 B 또는 Ti를 포함하는 가스 클러스터 이온을 갖는 GCIB가 적합하며, 이것은 절연성의 산화물, 탄화물 또는 질화물을 형성하기 위해서 SiO2, SiC, SiCN, SiCOH 등과 같은, 하지만 이들에 제한되지 않은, 적절한 유전체 하드마스크 재료와 결합된다. B 및 Ti를 포함하는 일부 적절한 소스 가스에는, B2H6, TiCl4, 테트라 디에틸아미노 티타늄(TDEAT), 및 테트라 디메틸아미노 티타늄(TDMAT)이 포함되지만, 이들에 제한되지는 않는다. 이들 가스는 순수한 형태로, 또는, 예를 들면, Ar 또는 Xe와 같은 비활성 가스와 혼합되어 사용될 수 있다. 유전체 표면 상에서는, 이러한 주입에 의해서, 예를 들면, TiO2와 붕규산염 유리의 계단적 막이 형성되며, 한편으로는, 구리면 상에서는, 이들에 의해서, 예를 들면 붕소 및 티타늄의 계단적 막이 형성된다. 도 3의 GCIB 장치(100)를 참조하면, 약 3 kV 내지 약 50 kV 범위 내의 빔 가속 전위(VAcc)를 사용하고, 또한 약 1 × 1014 내지 약 1 × 1017 이온/cm2 범위 내의 전체 가스 클러스터 이온 조사량을 사용하는 것이 바람직하다. 구리 및 유전체 표면 상에서, GCIB 주입 프로세스의 충돌 에너지는, 신규한 절연성 (또는 레벨간 유전체 또는 하드마스크) 재료를 형성하기 위하여 기존의 유전체와 주입종과의 반응을 촉진하는 과도 고온 영역, 또는 유전체 하드마스크층을 생성하며, 또한 구리 배선면 상에 주입된 전도성막을 형성함으로써, 구리 계면 확산을 제한하고, 일렉트로마이그레이션 수명을 개선한다. 따라서, 단일 GCIB 캡핑 주입 단계는 제1 구리 배선층(602) 상의 전도성인 캡핑막(614) 및 제1 레벨간 유전체층(608) 상에 전기적으로 절연성을 갖는 캡핑막(616)을 형성한다.
도 5d는 GCIB 캡핑 단계에 후속하는 배선 설계(600G)의 구조에서 단계(600D)를 나타내는 도면이다. GCIB 프로세스는 이 단계 및 각각의 후속하는 인터커넥트 레벨의 각 단계에서 상면에 대해 수행되어 유전체 확산 배리어막을 형성할 수도 있다. 유전체 확산 배리어막(622)은 질화 규소 탄소로 이루어지는 것이 바람직하지만, 질화 규소, 탄화 규소 또는 다른 유전체막일 수도 있다. 이 막은 통상의 PECVD에 의해서 증착될 수도 있으나, 배리어막(622)이 증착되어질 캡핑막(614, 616)의 표면을, 절연 재료를 증착하도록 반응하는 원소로 형성된 GCIB로 조사함으로써 증착되는 것이 바람직하다. 예를 들면, C, N, 및 Si, 또는 이들의 혼합물과 같은 가스 클러스터 이온 원소를 갖는 GCIB가 적합하며, 이것은 예를 들면, 구리 상에 Si3N4, SiCN, 및 SiC와 같은 확산 배리어막을 증착할 수 있다. C, N, 및 Si와 같은 소스 가스는 CH4, SiH4, NH3, 및 N2를 포함하지만 이들에 제한되지는 않는다. 이와 같은 가스는 순수한 가스를 사용하는 것에 의해서 또는, 예를 들면, Ar 또는 Xe로서 비활성 가스와 혼합되는 것에 의해서 증착용으로 가스 클러스터 이온을 형성하는데 사용될 수도 있다. 빔 가속 전위(VAcc)는 약 1 × 1014 내지 약 1 × 1017 이온/cm2 범위 내의 전체 가스 클러스터 이온 조사량으로, 약 3 kV 내지 약 50 kV 범위 내의 빔 가속 전위(VAcc)를 사용하는 것이 바람직하다.
도 5e는 배리어막(622)의 증착에 후속하는 배선 설계(600G)의 구조에서의 단계(600E)를 나타내는 도면이다. 도 5f는 배선 설계(600G)의 구조에서의 단계(600F)를 나타내는 도면으로, (유전체 배리어막을 포함하여) GCIB 캡핑된 제1 인터커넥트 레벨 및 배리어막(622)에 제2 인터커넥트 레벨을 추가한 것을 반영하고 있는 도면이다. 제2 인터커넥트 레벨은, 배리어막(622) 상에 증착되며 트렌치 및 비아가 형성되어 배리어층(612)으로 라이닝되어 있는 제2 레벨간 유전체(610)로 이루어져 있다. 트렌치 및 비아 내에는 통상의 기법을 사용하여 구리가 증착되어 있다. 상기 구조의 상면은 통상의 프로세스를 사용하여 평탄화 및 세정되어 있다. 제2 구리 배선층(604) 및 제2 레벨간 유전체층(610)의 상면은 오염 물질(626)이 잔류한 상태로 도시되어 있다. 전술한 배선 설계(600G)를 구성하기 위해서는, 제2 인터커넥트 레벨의 상면과, (있다면) 후속하는 상위 인터커넥트 레벨에서, GCIB 세정 및 GCIB 주입 및 GCIB 증착 단계를 적용할 수도 있다. 이들 프로세스 단계는 캡핑막(618, 620) 및 배리어막(624)을 형성한다. 따라서, 원하는 대로 도 5g의 두 개의 인터커넥트 레벨 구조 또는 다레벨의 인터커넥트 구조를 형성할 수 있다.
도 5h는 도 5a에 완성된 것과 같은 (하드마스크층(609, 611)을 갖는) 배선 설계(600)를 구성하는 프로세스에서의 초기 단계(600H)를 나타내는 도면이다. 기판(601) 상에 형성된 제1 인터커넥트 레벨은 통상의 기법을 사용하여 기판 상에 증착된 제1 레벨간 유전체(608)로 이루어져 있다. 통상의 기법에 의해서 형성된 하드마스크층(609)은 제1 레벨간 유전체(608)의 상면을 피복한다. 제1 레벨간 유전체(608) 내에는 트렌치 및 비아가 형성되어 배리어층(612)으로 라이닝되어 있으며, 트렌치 및 비아 내에는 구리가 증착되어 있다. 상기 구조의 상면은 통상의 세정 프로세스를 이용하여 평탄화 및 세정되어 있다. 제1 구리 배선층(602) 및 하드마스크층(609)의 상면은 오염 물질(605)이 잔류한 상태로 도시되어 있다. 이 단계 및 각각의 후속하는 인터커넥트 레벨 단계의 대응하는 단계에서 상면에 대해, 전술한 바와 같이, GCIB 세정 프로세스가 수행되는 것이 바람직하다. 본 발명에 필수적이지는 않지만, 이 GCIB 세정 프로세스는 현장 세정 프로세스인 것이 바람직하다.
도 5i는 GCIB 세정 프로세스에 뒤따르는 (도 5a의) 배선 설계(600)를 구성하는 프로세스에서의 단계(600I)를 나타내는 도면이다. 제1 구리 배선층(602) 및 하드마스크층(609)의 상면은 오염 물질이 세정되어 있고 캡핑 단계의 준비가 되어 있다. 이 단계 및 각각의 후속하는 인터커넥트 레벨의 대응하는 각각의 단계에서, 상면에 대해 전술한 바와 같이, 캡핑층(614, 616)을 형성하기 위해 GCIB 캡핑 프로세스를 수행할 수도 있다. 본 실시예에서, 캡핑층(616)은 제1 레벨간 유전체층(608) 상에 직접 형성되는 것이 아니라, 하드마스크층(609) 상에 형성된다.
도 5j는 캡핑층(614, 616)을 형성하는 단계 이후에, 배선 설계(600)를 구성하는 프로세스에서의 단계(600J)를 나타내는 도면이다. 전술한 바와 같이, 캡핑층(614, 616) 상에 유전체 확산 배리어막(622)을 형성하기 위해서는, 이 단계 및 각각의 후속하는 인터커넥트 레벨에 대응하는 각각의 단계에서, 상면에 대해 GCIB 프로세스를 적용할 수 있다.
도 5k는 배리어막(622)을 증착한 이후의, 배선 설계(600)를 구성하는 프로세스에서의 단계(600K)를 나타내는 도면이다.
도 5l은 (유전체 배리어막을 포함하는) GCIB 캡핑 제1 인터커넥트 레벨 상의 제2 인터커넥트 레벨을 추가한 이후의 배선 설계(600)를 구성하는 프로세스에서의 단계(600L)를 나타내는 도면이다. 이 단계에서는, 제2 인터커넥트 레벨은 배리어막(622) 상에 형성되어 있다. 제2 인터커넥트 레벨은 통상의 기법을 사용하여 배리어막(622) 상에 증착되어진 제2 레벨간 유전체(610)로 이루어져 있다. 통상의 기법에 의해서 형성된 하드마스크층(611)은 제1 레벨간 유전체(610)의 상면을 피복한다. 제2 레벨간 유전체(610) 내에는 종래의 트렌치 및 비아가 형성되어 있고, 이들 트렌치 및 비아는 통상의 배리어층(612)으로 라이닝되어 있으며, 통상의 기법을 사용하여 트렌치 및 비아 내에 구리가 증착되어 있다. 본 구조의 상면은 통상의 프로세스를 사용하여 평탄화 및 세정되어 있다. 제2 구리 배선층(604) 및 하드마스크층(611)의 상면은 오염 물질(613)이 잔류한 상태로 도시되어 있다. (있다면) 제2 인터커넥트 레벨 및 (있다면) 후속하는 상위 인터커넥트 레벨에는, 전술한 바와 같이, 배선 설계(600)용으로, GCIB 세정 및 GCIB 주입 및 GCIB 증착 단계가 적용되어, (예를 들면) 캡핑막(618, 620)을 형성하고, 또한 배리어막(624)을 형성한다. 따라서, 원하는 대로 도 5a의 두 개의 인터커넥트 레벨 구조 또는 다레벨의 인터커넥트 구조를 형성할 수 있다.
따라서, 개시된 기법은 일렉트로마이그레이션을 감소시키며, 더욱이 선택적인 금속 캡핑 프로세스와 관련된 바람직하지 못한 부작용을 회피한다. 유전체 표면 상에서, 유전체는 캡핑 이후에 절연성을 유지하고 있으며, 또한 매우 얇은 주입층은 유전 상수에 대해서 무시할 수 있을 정도의 영향 밖에 미치지 않는다.
도 6a는 본 발명의 제3 실시예에 따른 GCIB 주입을 사용하여 캡핑된 구리 인터커넥트의 배선 설계(700)를 나타내는 개략도이다(예를 들면, 두 개의 구리 배선층 인터커넥트 레벨을 나타내고 있지만, 이에 제한되지 않는다). 본 개략도는 각각 통상의 기법을 사용하여 형성될 수 있는, 제1 구리 배선층(702)과, 제2 구리 배선층(704), 및 두 개의 구리층을 접속하는 구리 비아 구조(706)를 지지하는 기판(701)을 나타내고 있다. 기판(701)은 전형적으로 전기적인 인터커넥트를 필요로 하는 능동 및/또는 수동 요소를 포함하는 (가능하다면 하위의 인터커넥트 레벨을 포함하는) 반도체 기판이다. 양 구리 배선층(702, 704) 및 비아 구조(706)의 측벽 및 저부는 통상의 기법을 사용하여 형성될 수 있는 배리어층(712)으로 라이닝되어 있다. 제1 레벨간 유전체층(708) 및 제2 레벨간 유전체층(710)은 구리 배선 사이에서 전기적인 절연을 제공하며, 또한 통상의 기법을 사용하여 형성될 수도 있다. 제1 레벨간 유전체층(708)은 상면(709)을 가지고 있고, 제2 레벨간 유전체층(710)은 상면(711)을 가지고 있다. 이하에서 더욱 상세하게 설명하는 바와 같이, 각각의 구리 배선 인터커넥트 레벨에는, 통상적으로 증착되는 바와 같이, 배리어층(712)은 초기에 레벨간 유전체층(708, 710)의 상면(709, 711)을 피복한다. 본 발명의 본 실시예에서는, 이하에서 설명하는 GCIB 프로세스는 상면(709, 711)으로부터 배리어층(712)을 제거하며, 따라서 도 6a에 나타낸 완성된 구조에서는 이들이 상기한 표면 상에 나타나지 않는다. 제1 구리 배선층(702)의 상면 및 제2 구리 배선층(704)의 상면은 GCIB 프로세스에 의해서 캡핑되어 주입 캡핑막(713, 715)을 형성한다. 각각의 주입된 캡핑막(713, 715) 및 인접한 레벨간 유전체층(708, 710)은, 각각, 유전체 배리어막(714, 716)으로 추가적으로 캡핑되어 개선된 구리 확산 배리어 및 비아 에칭 정지 특성을 제공할 수 있다. 유전체 배리어막(714, 716)은 질화 규소 탄소인 것인 바람직하나, 질화 규소 또는 탄화 규소 또는 다른 적절한 유전체일 수도 있으며, 통상의 PECVD를 사용하여 증착될 수도 있지만, GCIB 증착이 적용되는 것이 바람직하다.
도 6b는 배선 설계(700)를 구성하는 프로세스에서의 초기 단계(700B)를 나타내는 도면이다. 도시된 단계에서는, 기판(701) 상에 인터커넥트 레벨이 형성되어 있다. 인터커넥트 레벨은 기판 상에 증착되어진 제1 레벨간 유전체(708)로 이루어져 있다. 트렌치 및 비아는 제1 레벨간 유전체(708) 내에 형성되어 배리어층(712)으로 라이닝되어 있다. 트렌치 및 비아에는 구리가 증착되어 있다. 배리어층(712)은 초기에는 레벨간 유전체층(708)의 상면(709)을 피복하고 있다. 과잉 구리는 배리어층(712)의 재료 상에서 정지하는 통상의 CMP에 의해서 제거된다. 부가적으로, 배리어 층 재료보다 더 높은 레이트로 구리를 우선적으로 제거하도록 선택된 통상의 CMP 프로세스 조건을 사용함으로써, 예를 들면, 배리어 재료에 비해 구리를 선택적으로 제거하는 고도로 선택적인 슬러리(slurry)를 사용하는 것에 의해서, 구리는, 도시한 바와 같이, 배리어층(712)의 상면 아래에 약간 들어가 있다. 표면은 통상의 프로세스를 사용하여 세정된다. 제1 구리 배선층(702) 및 배리어층(712)의 상면은 오염 물질(703)이 잔류한 상태로 도시되어 있다. 전술한 바와 같은 GCIB 세정 프로세스는 이 단계 및 각각의 후속하는 인터커넥트 레벨의 각각의 단계에서 상면에 적용될 수도 있다.
도 6c는 GCIB 세정 단계 이후에, 배선 설계(700)을 구성하는 프로세스의 단계(700C)를 나타내는 도면이다. 제1 구리 배선층(702) 및 배리어층(712)의 상면은 오염 물질이 세정되어 있고, 캡핑 단계의 준비가 되어 있다. 이제 GCIB 캡핑 프로세스를 적용할 수 있다. (바람직하게는 현장에서의) GCIB 에칭 및 주입 캡핑 프로세스를 사용하여 동시에 제1 구리 배선층(702)의 표면을 캡핑하고 또한 상면(709)을 피복하는 배리어층(712)을 에칭한다. GCIB 에칭 및 캡핑 프로세스는, 구리면에의 주입 시에 캡핑 재료를 형성하지만 배리어층(712) 재료는 에칭하는 반응형 원소로 이루어지는 GCIB로 제1 구리 배선층(702) 및 제1 레벨간 유전체층(708)을 조사하는 것을 포함한다. 상면(709) 상에서 노출된 배리어층(712) 재료를 에칭하는 한편 이와 동시에 제1 구리 배선층(702) 내로 캡핑 종(capping species)을 주입하는 GCIB 조사에 의해 캡핑막(713)이 형성된다. SF6, CF4, C4F8 또는 NF3을 포함하지만 이에 제한되지 않는 플루오르 및/또는 황 원소를 포함하는 소스 가스를 사용하여 GCIB를 형성한다. 이들 가스는 순수 가스를 사용하거나 또는 N2와 혼합시키거나 또는, 예를 들면, Ar 또는 Xe와 같은 비활성 가스를 사용하여 주입에 적합한 가스 클러스터 이온을 형성하는데 사용된다. 이와 같은 주입은, 예를 들면, CuF2와 같은 구리 캡핑막을 형성한다. 약 10 kV 내지 약 50 kV 범위 내의 빔 가속 전위(VAcc)를 사용하는 것이 바람직하며, 또한 약 200 sccm 내지 약 3000 sccm 범위 내의 노즐 가스류를 사용할 수 있다. 예를 들면, 배리어층 재료를 에칭하면서 동시에 구리 캡핑막을 형성하기 위한 바람직한 프로세스는 유속 700 sccm에서, N2 내에 10 % NF3를 혼합한 소스 가스를 사용한다. GCIB 에칭 및 주입 프로세스는 모든 배리어층 재료가 제거될 때까지 진행되며, 그 결과 제1 레벨간 유전체층(708)의 상면(709)은 상대적으로 변하지 않고, 또한, 캡핑막(713)이 주입된 구리면이 된다. 대부분의 프로세스 중에 배리어층(712) 재료에 의해서 GCIB로부터 보호되기 때문에 상면(709) 상에 미치는 영향은 거의 없다.
도 6d는 GCIB 에칭 및 캡핑 단계 이후에, 배선 설계(700)를 구성하는 프로세스에서의 단계(700D)를 나타내는 도면이다. 제1 구리 배선층(702)의 상면은 캡핑층(713)으로 캡핑되어 있으며, 배리어층(712)은 에칭되어, 제1 레벨간 유전체층(708)의 상면(709)이 노출되어 있다. 본 구조는 유전체 배리어막을 형성하기 위해서 준비된다. 이제, 배리어막(622)에 대해서 전술한 바와 같은 동일한 방법을 사용하여, GCIB 프로세스를 수행함으로써 캡핑층(713) 상에 및 제1 레벨간 유전체층(708)의 상면(709) 상에 유전체 확산 배리어막(714)을 형성할 수 있다.
도 6e는 유전체 확산 배리어막(714)의 형성 이후에, 배선 설계(700)를 구성하는 프로세스에서의 단계(700E)를 나타내는 도면이다.
도 6f는 (유전체 배리어막을 포함하는) GCIB 캡핑된 제1 인터커넥트 레벨 상에서의 제2 인터커넥트 레벨의 배선 설계(700)를 구성하는 프로세스에서의 단계(700F)를 나타내는 도면이다. 본 단계에서는, 배리어막(714) 상에 제2 인터커넥트 레벨이 형성되어 있다. 제2 인터커넥트 레벨은 배리어막(714) 상에 증착되어 있는 제2 레벨간 유전체(710)로 이루어져 있다. 제2 레벨간 유전체(710) 내에는 배리어층(612)으로 라이닝된 트렌치 및 비아가 형성되어 있다. 통상의 기법을 사용하여 트렌치 및 비아 내에 구리가 증착되어 있다. 배리어층(712)은 초기에는 레벨간 유전체층(710)의 상면을 피복하고 있다. 과잉 구리는 배리어층(712)의 재료 상에서 정지하는 통상의 CMP에 의해서 제거된다. 부가적으로, 배리어 층 재료보다 더 높은 레이트로 구리를 우선적으로 제거하도록 선택된 통상의 CMP 프로세스 조건을 사용함으로써, 예를 들면, 배리어 재료에 비해 구리를 선택적으로 제거하는 고도로 선택적인 슬러리를 사용하는 것에 의해서, 구리는, 도시한 바와 같이, 배리어층(712)의 상면 아래에 약간 들어가 있다. 표면은 통상의 프로세스를 사용하여 세정된다. 제2 구리 배선층(704) 및 배리어층(712)의 상면은 오염 물질(717)이 잔류한 상태로 도시되어 있다. (있다면) 제2 인터커넥트 레벨에서 및 (있다면) 후속하는 상위 인터커넥트 레벨에서, 배선 설계(700) 내의 제1 인터커넥트 레벨에 대해 전술한 바와 같이 GCIB 세정 및 GCIB (에칭 및 주입 캡핑) 및 GCIB 증착 단계를 적용하여, (예를 들면) 캡핑막(715) 및 배리어막(716)을 형성한다. 따라서, 원하는 대로 도 6a의 두 개의 인터커넥트 레벨 구조 또는 다레벨의 인터커넥트 구조를 형성될 수 있다.
전술한 배선 설계(700)에서 설명한 과잉 구리의 CMP 제거에 이어서, 노출된 배리어층 재료가 공간적으로 불균일한 두께를 갖는다면, 선택적이기는 하지만, 보정적인 방식으로 공간적인 불균일을 GCIB 에칭하도록 하는 것이 바람직하다. 먼저, 통상의 금속막 매핑(mapping) 기구(예를 들면, 미국 뉴저지 07836 플랜더즈 원 루돌프 로드 소재의 루돌프 테크놀러지스 인코포레이티드(Rudolph Technologies, Inc.)에서 입수할 수 있는 루돌프 테크놀러지스 METAPULSE®-II 금속막 계측 시스템)를 사용하여 워크피스 웨이퍼의 표면 전체에 대해서 배리어층 두께에 대한 맵(map)을 작성한다. 이후에, 전술한 바와 같이 배리어층을 보정 에칭이 되도록 에칭하여, 배리어층 재료가 두꺼운 곳에서는 더 많이 에칭되도록 하고 또한 배리어층 재료가 얇은 곳에서는 더 적게 에칭되도록 함으로써, 다른 방식으로는 배리어층 재료의 초기 두께 때문에 과도하게 에칭되는 영역에서의 하부 레벨간 유전체의 제거를 최소화할 수 있다. 이 공간적으로 보정하는 에칭법은, 앨런(Allen) 등의 미국 특허 제6,537,606 호(그 내용은 참조에 의해서 본 발명에 합체된다)(이하, '606 특허라 함)에 개시된 기법과 조합하여 측정된 배리어층 두께 맵을 사용하여 수행된다. '606 특허에서 개시된 기법에 따라서 측정 맵으로부터 기능하는, 자동 에칭 보정 능력을 갖춘 에피온 코포레이션(Epion Corporation)의 nFusion GCIB 프로세싱 시스템(미국 매사추세츠 빌레르카 소재의 에피온 코포레이션)과 같은 가스 클러스터 이온 빔 프로세스 장비는 상업적으로 입수가 가능하다.
본 발명의 본 실시예에서는, 전술한 바와 같이, 배리어층 에칭 및 구리 캡핑 모두를 GCIB 프로세스를 사용하여 단일 단계에서 수행하여 양자를 동시에 수행하도록 하는 것이 바람직하다. 또한, 일부 경우에서는 각각의 단계에 대해서 서로 다른 특징을 가진 GCIB를 사용하여, 배리어층 에칭 및 구리 캡핑 프로세스를 별도의 GCIB 프로세스 단계로서 수행하는 것이 가능하기도 하고, 유용할 수도 있다. 이와 같은 경우에, 도 6c에 도시한 단계에 도달하게 되면, 초기에 레벨간 유전체층(708)의 상면(709)을 피복하고 있는 배리어층 재료는 GCIB 캡핑 단계 이전의 GCIB 에칭 프로세스에 의해서 제거된다. GCIB 에칭 단계에 이어서, 도 6g에 나타낸 것과 같은 구조가 드러나게 되며, 본 발명의 다양한 실시예에서 전술한 GCIB 캡핑 프로세스를 사용하여 수행되는, 구리 배선층 및 레벨간 유전체층의 캡핑이 수행된다. 각각의 인터커넥트 레벨에서, 바람직한 에칭 단계는 SF6, CF4, C4F8 또는 NF3과 같은 가스를 포함하지만 이들에만 제한되지 않는 플루오르 원소를 포함하는 소스 가스 또는 가스들로부터 형성되는 GCIB 클러스터 이온으로 세정될 표면을 조사하는 것이다. 이들 가스는 순수 가스를 사용하거나 또는 N2와 혼합시키거나 또는, 예를 들면, Ar 또는 Xe와 같은 비활성 가스를 사용하여 에칭에 적합한 가스 클러스터 이온을 형성하는데 사용된다. 약 10 kV 내지 약 50 kV 범위 내의 빔 가속 전위(VAcc)를 사용하는 것이 바람직하며, 또한 약 200 sccm 내지 약 3000 sccm 범위 내의 노즐 가스류를 사용할 수 있다. 예를 들면, 배리어층 재료를 에칭하기 위한 바람직한 프로세스는 유속 700 sccm에서, N2 내에 10 % NF3를 혼합한 소스 가스를 사용한다. 필요하다면, GCIB 에칭 단계는, 배리어층 재료의 두께에서의 초기의 공간적인 불균일을 보상하기 위한, 전술한 바와 같은 보정하는 에칭 단계일 수도 있다.
다양한 실시예에 관하여 본 발명을 설명하였지만, 본 발명은 본 발명의 정신 내에서 광범위한 추가적인 및 다른 실시예도 가능함을 알 것이다. 예를 들면, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에게는, 본 발명이 이중 다마신 집적 설계에만 제한되지 않으며, 다른 구리 인터커넥트 설계에도 동등하게 적용 가능하다는 것이 명백해질 것이다. 또한, 본 발명은 (예를 들면, Si3N4, SiC, SiCN, BN, CuF2, TiO2, CuCO3, B, Ti, 질화 규소, 탄화 규소, 질화 규소 탄소, 질화 붕소, 플루오르화 구리, 이산화 티타늄, 탄산 구리, 붕소, 티타늄, 및 붕규산염 유리와 같은) 다양한 화합물을 포함하는 주입 및 증착막 또는 층으로 하여 설명하였지만, 본 기술 분야에서 통상의 지식을 가진 자라면, 본 발명의 실시에서 형성된 막(film) 및 층(layer)의 대부분이 계단적인 것, 또한 가장 단순한 형태에서조차 화학식 또는 화학명에 의해서 내포되는 화학량론적인 정밀함을 가지지 있지 않고 오히려 화학량론적인 값에 근사하고 있을 뿐이며, 유사한 용도로 사용되는 그와 같은 막에서 정상적인 것으로서 수소 및/또는 다른 불순물을 추가적으로 포함할 수 있는 것을 알 것이다.

Claims (59)

  1. 하나 이상의 구리 인터커넥트 표면(interconnect surface) 및 하나 이상의 유전체 표면(dielectric surface)을 포함하는 구조체 상에, 캡핑(capping) 구조를 형성하는 방법으로서,
    감압 챔버 내에 상기 구조체를 배치하는 배치 단계와,
    상기 감압 챔버 내에서 가속 캡핑 가스 클러스터 이온 빔(GCIB: Gas Cluster Ion Beam)을 형성하는 가속 캡핑 GCIB의 형성 단계와,
    상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 유전체 표면 중 적어도 하나에 상기 가속 캡핑 GCIB를 지향시켜, 상기 가속 캡핑 GCIB가 지향되는 하나 이상의 표면 상에 적어도 하나의 캡핑 구조를 형성하는 가속 캡핑 GCIB의 지향 단계
    를 포함하고,
    상기 가속 캡핑 GCIB의 형성 단계는,
    구리 표면으로 주입될 때 전기적으로 절연인 재료를 형성하도록 반응하고 또한 유전체 표면으로 주입될 때 전기적으로 절연인 재료를 형성하도록 반응하는 원소로부터 가스 클러스터 이온을 생성하는 단계를 더 포함하며,
    형성된 적어도 하나의 캡핑 구조는 전기적으로 절연인 캡핑 구조인 것인 캡핑 구조의 형성 방법.
  2. 제1항에 있어서, 상기 가속 캡핑 GCIB의 형성 단계 및 지향 단계 이전에,
    상기 감압 챔버 내에서 가속 세정 GCIB를 형성하는 단계와,
    상기 하나 이상의 구리 인터커넥트 표면과 상기 하나 이상의 유전체 표면 상에 상기 가속 세정 GCIB를 지향시켜, 상기 가속 세정 GCIB가 지향되는 하나 이상의 표면을 세정하는, 가속 세정 GCIB의 지향 단계를 더 포함하는 캡핑 구조의 형성 방법.
  3. 제2항에 있어서, 상기 가속 세정 GCIB의 형성 단계는 Ar, N2, NH3, 및 H2로 이루어지는 군으로부터 선택되는 적어도 하나의 가스의 분자로부터 가스 클러스터 이온을 생성하는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  4. 제2항에 있어서, 상기 가속 세정 GCIB의 형성 단계는 세정 GCIB 가스 클러스터 이온을 3 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  5. 제2항에 있어서, 상기 가속 세정 GCIB의 지향 단계에 의해 5 × 1013 내지 5 × 1016 가스 클러스터 이온/cm2 범위 내의 조사량이 상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 유전체 표면 중 적어도 하나로 전달되는 것인 캡핑 구조의 형성 방법.
  6. 제1항에 있어서, 상기 하나 이상의 유전체 표면은 레벨간 유전체층의 일부를 포함하는 것인 캡핑 구조의 형성 방법.
  7. 제1항에 있어서, 상기 하나 이상의 유전체 표면은 다공성 레벨간 유전체층의 적어도 일부를 피복하는 하드마스크층(hardmask layer)의 일부를 포함하는 것인 캡핑 구조의 형성 방법.
  8. 삭제
  9. 제1항에 있어서, 상기 원소는 C, N, O, Si, B, 및 Ge로 이루어지는 군으로부터 선택되는 적어도 하나의 원소로 이루어지는 것인 캡핑 구조의 형성 방법.
  10. 제1항에 있어서, 상기 가속 캡핑 GCIB의 형성 단계는 비활성 가스(inert gas)의 분자로부터 가스 클러스터 이온을 생성하는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  11. 제1항에 있어서, 상기 가속 캡핑 GCIB의 형성 단계는 생성된 가스 클러스터 이온을 3 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  12. 제1항에 있어서, 상기 가속 캡핑 GCIB의 지향 단계에 의해 1 × 1014 내지 1 × 1017 가스 클러스터 이온/cm2 범위 내의 조사량이 상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 유전체 표면 중 적어도 하나로 전달되는 것인 캡핑 구조의 형성 방법.
  13. 제1항에 있어서, 상기 형성된 적어도 하나의 캡핑 구조를 피복하는 적어도 하나의 절연층을 형성하는 단계를 더 포함하는 캡핑 구조의 형성 방법.
  14. 제13항에 있어서, 상기 적어도 하나의 절연층을 형성하는 단계는 PECVD 증착 프로세스를 이용하는 것인 캡핑 구조의 형성 방법.
  15. 제13항에 있어서, 상기 형성된 적어도 하나의 절연층은 탄화 규소(silicon carbide), 질화 규소(silicon nitride), 및 질화 규소 탄소(silicon carbon nitride)로 이루어지는 군으로부터 선택되는 하나의 재료로 이루어지는 것인 캡핑 구조의 형성 방법.
  16. 하나 이상의 구리 인터커넥트 표면(interconnect surface) 및 하나 이상의 유전체 표면(dielectric surface)을 포함하는 구조체 상에, 캡핑(capping) 구조를 형성하는 방법으로서,
    감압 챔버 내에 상기 구조체를 배치하는 배치 단계와,
    상기 감압 챔버 내에서 가속 캡핑 가스 클러스터 이온 빔(GCIB: Gas Cluster Ion Beam)을 형성하는 가속 캡핑 GCIB의 형성 단계와,
    상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 유전체 표면 중 적어도 하나에 상기 가속 캡핑 GCIB를 지향시켜, 상기 가속 캡핑 GCIB가 지향되는 하나 이상의 표면 상에 적어도 하나의 캡핑 구조를 형성하는 가속 캡핑 GCIB의 지향 단계
    를 포함하고,
    상기 가속 캡핑 GCIB의 형성 단계는,
    구리 표면으로 주입될 때 전기적으로 전도성을 갖는 재료를 형성하도록 반응하고 또한 유전체 표면으로 주입될 때 전기적으로 절연인 재료를 형성하도록 반응하는 원소로부터 가스 클러스터 이온을 생성하는 단계를 더 포함하며,
    형성된 적어도 하나의 캡핑 구조는 구리 인터커넥트부의 조사 영역 상의 전기적으로 전도성을 갖는 캡핑 구조 및 유전체부의 조사 영역 상의 전기적으로 절연인 캡핑 구조 중 적어도 하나를 포함하는 것인 캡핑 구조의 형성 방법.
  17. 제16항에 있어서, 상기 원소는 B 및 Ti로 이루어지는 군으로부터 선택되는 적어도 하나의 원소로 이루어지는 것인 캡핑 구조의 형성 방법.
  18. 제17항에 있어서, 상기 가스 클러스터 이온은 비활성 가스의 분자를 더 포함하는 것인 캡핑 구조의 형성 방법.
  19. 제16항에 있어서, 상기 형성된 적어도 하나의 캡핑 구조를 피복하는 적어도 하나의 유전체 확산 배리어막을 형성하는 단계를 더 포함하는 캡핑 구조의 형성 방법.
  20. 제19항에 있어서, 상기 적어도 하나의 유전체 확산 배리어막을 형성하는 단계는 PECVD 증착 프로세스를 이용하는 것인 캡핑 구조의 형성 방법.
  21. 제19항에 있어서, 상기 적어도 하나의 유전체 확산 배리어막을 형성하는 단 계는 GCIB 증착 프로세스를 이용하는 것인 캡핑 구조의 형성 방법.
  22. 제19항에 있어서, 상기 형성된 적어도 하나의 유전체 확산 배리어막은 탄화 규소, 질화 규소, 및 질화 규소 탄소로 이루어지는 군으로부터 선택되는 재료로 이루어지는 것인 캡핑 구조의 형성 방법.
  23. 제16항에 있어서, 상기 가속 캡핑 GCIB의 형성 단계는 생성된 가스 클러스터 이온을 3 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  24. 제16항에 있어서, 상기 가속 캡핑 GCIB의 지향 단계에 의해 1 × 1014 내지 1 × 1017 가스 클러스터 이온/cm2 범위 내의 조사량이 상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 유전체 표면 중 적어도 하나로 전달되는 것인 캡핑 구조의 형성 방법.
  25. 유전체 재료를 피복하는 하나 이상의 배리어층 표면 및 하나 이상의 구리 인터커넥트 표면을 갖는 구조체 상에, 캡핑 구조를 형성하는 방법으로서,
    감압 챔버 내에 상기 구조체를 배치하는 단계와,
    상기 감압 챔버 내에서 가속 에칭 및 캡핑 GCIB를 형성하는 가속 에칭 및 캡핑 GCIB의 형성 단계와,
    상기 하나 이상의 구리 인터커넥트 표면과 상기 하나 이상의 배리어층 표면 중 적어도 하나에 상기 가속 에칭 및 캡핑 GCIB를 지향시켜, 상기 가속 에칭 및 캡핑 GCIB가 지향되는 상기 하나 이상의 구리 인터커넥트 표면 상에 캡핑 구조를 형성하고, 상기 가속 에칭 및 캡핑 GCIB가 지향되는 상기 하나 이상의 배리어층 표면을 에칭하여 하측의 유전체 재료를 노출시키는, 가속 에칭 및 캡핑 GCIB의 지향 단계
    를 포함하는 캡핑 구조의 형성 방법.
  26. 제25항에 있어서, 기판면 상의 위치의 함수에 따라, 상기 하나 이상의 배리어층 표면 중 하나에 각각 대응하는 하나 이상의 배리어층의 두께를 측정하는 단계와,
    상기 기판면 상의 상기 하나 이상의 배리어층 두께의 맵(map)을 작성하는 단계를 더 포함하며,
    상기 가속 에칭 및 캡핑 GCIB의 지향 단계는 노출된 유전체 재료의 최소량을 제거하기 위해서, 하나 이상의 측정된 배리어층 두께에 대해서 보정하는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  27. 제25항에 있어서, 상기 가속 에칭 및 캡핑 GCIB의 형성 단계는 F 및 S로 이루어지는 군으로부터 선택되는 적어도 하나의 원소를 포함하는 가스로부터 가스 클러스터 이온을 생성하는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  28. 제25항에 있어서, 상기 가속 에칭 및 캡핑 GCIB의 형성 단계는 F, S, Ar, Xe, 및 N으로 이루어지는 군으로부터 선택되는 적어도 하나의 원소를 포함하는 가스로부터 가스 클러스터 이온을 생성하는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  29. 제25항에 있어서, 상기 가속 에칭 및 캡핑 GCIB의 형성 단계는,
    200 sccm 내지 3000 sccm의 노즐 가스 유속으로 유동하는 소스 가스(source gas)로부터 가스 클러스터 이온을 생성하는 단계와,
    상기 가스 클러스터 이온을 10 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 포함하는 것인 캡핑 구조의 형성 방법.
  30. 제25항에 있어서, 상기 가속 에칭 및 캡핑 GCIB의 형성 단계 및 지향 단계 이전에,
    상기 감압 챔버 내에서 가속 세정 GCIB를 형성하는 가속 세정 GCIB의 형성 단계와,
    상기 하나 이상의 구리 인터커넥트 표면과 상기 하나 이상의 배리어층 표면 중 적어도 하나 상에 상기 가속 세정 GCIB를 지향시켜, 상기 가속 세정 GCIB가 지향되는 적어도 하나의 표면을 세정하는 가속 세정 GCIB의 지향 단계를 더 포함하는 캡핑 구조의 형성 방법.
  31. 제30항에 있어서, 상기 가속 세정 GCIB의 형성 단계는 Ar, N2, NH3, 및 H2로 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 포함하는 하나 이상의 가스로부터 가스 클러스터 이온을 생성하는 단계를 포함하는 것인 캡핑 구조의 형성 방법.
  32. 제31항에 있어서, 상기 가속 세정 GCIB의 형성 단계는 생성된 가스 클러스터 이온을 3 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  33. 제30항에 있어서, 상기 가속 세정 GCIB의 지향 단계에 의해 5 × 1013 내지 5 × 1016 가스 클러스터 이온/cm2 범위 내의 조사량이 상기 하나 이상의 구리 인터커넥트 표면 및 하나 이상의 배리어층 표면 중 적어도 하나로 전달되는 것인 캡핑 구조의 형성 방법.
  34. 유전체 재료를 피복하는 하나 이상의 배리어층 표면 및 하나 이상의 구리 인터커넥트 표면을 갖는 구조체 상에, 캡핑 구조를 형성하는 방법으로서,
    감압 챔버 내에 상기 구조체를 배치하는 단계와,
    상기 감압 챔버 내에서 가속 에칭 GCIB를 형성하는 가속 에칭 GCIB의 형성 단계와,
    상기 하나 이상의 배리어층 표면 상에 상기 가속 에칭 GCIB를 지향시킴으로써, 상기 가속 에칭 GCIB가 지향되는 상기 하나 이상의 배리어층 표면을 에칭하여 하측의 유전체 재료를 노출시키는 가속 에칭 GCIB의 지향 단계와,
    상기 감압 챔버 내에서 가속 캡핑 GCIB를 형성하는 가속 캡핑 GCIB의 형성 단계와,
    상기 하나 이상의 구리 인터커넥트 표면 상에 상기 가속 캡핑 GCIB를 지향시켜, 그 위에 하나 이상의 캡핑 구조를 형성하는 가속 캡핑 GCIB의 지향 단계
    를 포함하는 캡핑 구조의 형성 방법.
  35. 제34항에 있어서, 기판면 상의 위치의 함수에 따라, 상기 하나 이상의 배리어층 표면 중 하나와 각각 관련되는 하나 이상의 배리어층의 두께를 측정하는 단계와,
    상기 기판면 상의 배리어층 두께의 맵을 작성하는 단계를 더 포함하며,
    상기 가속 에칭 GCIB의 지향 단계는 노출된 유전체 재료의 최소량을 제거하기 위해서, 하나 이상의 측정된 배리어층 두께에 대해서 보정하는 단계를 더 포함하는 것인 캡핑 구조의 형성 방법.
  36. 제34항에 있어서, 상기 가속 캡핑 GCIB는 C, N, O, Si, B, Ge, Ti, S, 및 F로 이루어지는 군으로부터 선택되는 적어도 하나의 원소로 이루어지는 것인 캡핑 구조의 형성 방법.
  37. 제34항에 있어서, 상기 가속 캡핑 GCIB는 C, N, O, Si, B, Ge, 및 Ti로 이루어지는 군으로부터 선택되는 적어도 하나의 원소로 이루어지는 것인 캡핑 구조의 형성 방법.
  38. 제36항에 있어서, 상기 가속 캡핑 GCIB의 가스 클러스터 이온은 Ar 및 Xe로 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 더 포함하는 것인 캡핑 구조의 형성 방법.
  39. 제37항에 있어서, 상기 가속 캡핑 GCIB의 가스 클러스터 이온은 Ar 및 Xe로 이루어지는 군으로부터 선택되는 적어도 하나의 가스를 더 포함하는 것인 캡핑 구조의 형성 방법.
  40. 제34항에 있어서, 상기 가속 캡핑 GCIB의 형성 단계는, 캡핑 GCIB 가스 클러스터 이온을 3 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 포함하고, 상기 가속 캡핑 GCIB의 지향 단계에 의해 1 × 1014 내지 1 × 1017 가스 클러스터 이온/cm2 범위 내의 조사량이 상기 하나 이상의 구리 인터커넥트 표면으로 전달되는 것인 캡핑 구조의 형성 방법.
  41. 제34항에 있어서, 상기 가속 캡핑 GCIB의 형성 단계 및 지향 단계 이전에,
    상기 감압 챔버 내에서 가속 세정 GCIB를 형성하는 가속 세정 GCIB의 형성 단계와,
    상기 가속 세정 GCIB가 지향되는 적어도 하나의 표면을 세정하기 위해서, 상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 배리어층 표면 중 적어도 하나에 상기 가속 세정 GCIB를 지향시키는 가속 세정 GCIB의 지향 단계를 더 포함하는 캡핑 구조의 형성 방법.
  42. 제41항에 있어서, 상기 가속 세정 GCIB는 Ar, N2, NH3, 및 H2로 이루어지는 군에서 선택된 적어도 하나의 가스 분자로 이루어지는 것인 캡핑 구조의 형성 방법.
  43. 제41항에 있어서, 상기 가속 세정 GCIB의 형성 단계는, 세정 GCIB 가스 클러스터 이온을 3 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 포함하고, 상기 가속 세정 GCIB의 지향 단계에 의해, 5 × 1013 내지 5 × 1016 가스 클러스터 이온/cm2 범위 내의 조사량이 상기 하나 이상의 구리 인터커넥트 표면 및 상기 하나 이상의 배리어층 표면 중 적어도 하나로 전달되어, 상기 가속 세정 GCIB가 지향되는 적어도 하나의 표면을 세정하는 것인 캡핑 구조의 형성 방법.
  44. 제34항에 있어서, 상기 가속 에칭 GCIB의 형성 단계는,
    200 sccm 내지 3000 sccm의 노즐 가스 유속으로 유동하는 소스 가스로부터 가스 클러스터 이온을 생성하는 단계와,
    상기 가스 클러스터 이온을 10 kV 내지 50 kV 범위 내의 가속 전위로 가속시키는 단계를 포함하는 것인 캡핑 구조의 형성 방법.
  45. 표면 상에 GCIB 주입 캡핑 구조를 포함하는 구리 인터커넥트.
  46. 제45항에 있어서, 상기 캡핑 구조는 표면 상의 박막(thin film)인 것인 구리 인터커넥트.
  47. 제46항에 있어서, 상기 박막은 전기적으로 전도성을 갖는 것인 구리 인터커넥트.
  48. 제46항에 있어서, 상기 박막은 유전체막(dielectric film)인 것인 구리 인터커넥트.
  49. 제46항에 있어서, 상기 박막은 C, N, O, Si, B, Ge, Ti, F, 및 S로 이루어진 군으로부터 선택되는 하나 이상의 원소로 이루어지는 것인 구리 인터커넥트.
  50. 제46항에 있어서, 상기 박막은 산화물(oxide), 탄화물(carbide), 및 질화 물(nitride)로 이루어지는 군으로부터 선택되는 적어도 하나의 재료로 이루어지는 것인 구리 인터커넥트.
  51. 제46항에 있어서, 상기 박막은 Si3N4, SiCN, BN, CuF2, TiO2, CuCO3, B, Ti, 및 붕규산염 유리(borosilicate glass)로 이루어지는 군으로부터 선택되는 재료로 이루어지는 것인 구리 인터커넥트.
  52. 제45항에 있어서, 피복용 유전체 확산 배리어막을 더 포함하는 구리 인터커넥트.
  53. 제52항에 있어서, 상기 유전체 확산 배리어막은 Si3N4, SiCN, 및 SiC로 이루어지는 군으로부터 선택되는 재료로 이루어지는 것인 구리 인터커넥트.
  54. 표면 상에 GCIB 주입 박막 캡핑 구조를 포함하는 레벨간 유전체층.
  55. 제54항에 있어서, 상기 박막은 C, N, O, Si, B, Ge, Ti, F, 및 S로 이루어진 군으로부터 선택되는 하나 이상의 원소로 이루어지는 것인 레벨간 유전체층.
  56. 표면 상에 GCIB 주입 박막 캡핑 구조를 포함하는 하드마스크층.
  57. 제56항에 있어서, 상기 박막은 B 및 Ti로 이루어진 군으로부터 선택되는 하나 이상의 원소로 이루어지는 것인 하드마스크층.
  58. 제54항에 있어서, Si3N4, SiCN, 및 SiC로 이루어지는 군으로부터 선택되는 재료로 이루어지는 피복용 유전체 확산 배리어막을 더 포함하는 레벨간 유전체층.
  59. 제56항에 있어서, Si3N4, SiCN, 및 SiC로 이루어지는 군으로부터 선택되는 재료로 이루어지는 피복용 유전체 확산 배리어막을 더 포함하는 하드마스크층.
KR1020077012745A 2004-11-08 2005-11-08 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층 KR101184529B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US62583104P 2004-11-08 2004-11-08
US60/625,831 2004-11-08
PCT/US2005/040414 WO2006052958A2 (en) 2004-11-08 2005-11-08 Copper interconnect wiring and method of forming thereof

Publications (2)

Publication Number Publication Date
KR20070085814A KR20070085814A (ko) 2007-08-27
KR101184529B1 true KR101184529B1 (ko) 2012-09-20

Family

ID=36337136

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077012745A KR101184529B1 (ko) 2004-11-08 2005-11-08 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층

Country Status (6)

Country Link
US (1) US7291558B2 (ko)
EP (1) EP1815507A4 (ko)
JP (1) JP2008519458A (ko)
KR (1) KR101184529B1 (ko)
CN (1) CN100472739C (ko)
WO (1) WO2006052958A2 (ko)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
JP4783561B2 (ja) * 2004-09-27 2011-09-28 株式会社アルバック 銅配線の形成方法
US7799683B2 (en) * 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7405152B2 (en) * 2005-01-31 2008-07-29 International Business Machines Corporation Reducing wire erosion during damascene processing
US7504135B2 (en) * 2005-02-03 2009-03-17 Samsung Electronics Co., Ltd Method of fabricating a manganese diffusion barrier
US7915735B2 (en) 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US7709344B2 (en) * 2005-11-22 2010-05-04 International Business Machines Corporation Integrated circuit fabrication process using gas cluster ion beam etching
EP2747120B1 (en) * 2006-10-30 2017-12-20 Japan Aviation Electronics Industry, Limited Method of smoothing solid surface with gas cluster ion beam
WO2008065125A1 (en) * 2006-11-29 2008-06-05 Nxp B.V. Fabrication of a diffusion barrier cap on copper containing conductive elements
WO2008074672A1 (en) * 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
US7977791B2 (en) * 2007-07-09 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of boron-containing metal cap pre-layer
JP5006134B2 (ja) * 2007-08-09 2012-08-22 東京エレクトロン株式会社 ドライクリーニング方法
US8192805B2 (en) * 2007-09-27 2012-06-05 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US7981483B2 (en) * 2007-09-27 2011-07-19 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8372489B2 (en) * 2007-09-28 2013-02-12 Tel Epion Inc. Method for directional deposition using a gas cluster ion beam
US7772110B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Electrical contacts for integrated circuits and methods of forming using gas cluster ion beam processing
US7754588B2 (en) 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US7794798B2 (en) * 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US20090233004A1 (en) * 2008-03-17 2009-09-17 Tel Epion Inc. Method and system for depositing silicon carbide film using a gas cluster ion beam
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8202435B2 (en) * 2008-08-01 2012-06-19 Tel Epion Inc. Method for selectively etching areas of a substrate using a gas cluster ion beam
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
TWI423389B (zh) * 2008-12-18 2014-01-11 Tel Epion Inc 用以改善半導體裝置之漏電性能及將半導體裝置中之電遷移減至最小的方法
US8981322B2 (en) * 2009-02-04 2015-03-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam system
US8226835B2 (en) * 2009-03-06 2012-07-24 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
US20110084214A1 (en) * 2009-10-08 2011-04-14 Tel Epion Inc. Gas cluster ion beam processing method for preparing an isolation layer in non-planar gate structures
US8048788B2 (en) * 2009-10-08 2011-11-01 Tel Epion Inc. Method for treating non-planar structures using gas cluster ion beam processing
CN102859662B (zh) 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US20110127673A1 (en) * 2009-12-01 2011-06-02 International Business Machines Corporation Wiring structure and method
US8338806B2 (en) * 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8173980B2 (en) 2010-05-05 2012-05-08 Tel Epion Inc. Gas cluster ion beam system with cleaning apparatus
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
CN102487038B (zh) * 2010-12-03 2013-12-04 中芯国际集成电路制造(北京)有限公司 铜互连结构及其形成方法
JP5417367B2 (ja) * 2011-03-22 2014-02-12 株式会社東芝 磁気メモリの製造方法
US8569888B2 (en) 2011-05-24 2013-10-29 International Business Machines Corporation Wiring structure and method of forming the structure
US8754508B2 (en) * 2012-08-29 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure to increase resistance to electromigration
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US9123879B2 (en) 2013-09-09 2015-09-01 Masahiko Nakayama Magnetoresistive element and method of manufacturing the same
US9385304B2 (en) 2013-09-10 2016-07-05 Kabushiki Kaisha Toshiba Magnetic memory and method of manufacturing the same
US9231196B2 (en) 2013-09-10 2016-01-05 Kuniaki SUGIURA Magnetoresistive element and method of manufacturing the same
US9368717B2 (en) 2013-09-10 2016-06-14 Kabushiki Kaisha Toshiba Magnetoresistive element and method for manufacturing the same
CN103839604A (zh) * 2014-02-26 2014-06-04 京东方科技集团股份有限公司 导电膜及其制备方法、阵列基板
US9540725B2 (en) 2014-05-14 2017-01-10 Tel Epion Inc. Method and apparatus for beam deflection in a gas cluster ion beam system
US20150340611A1 (en) * 2014-05-21 2015-11-26 Sony Corporation Method for a dry exhumation without oxidation of a cell and source line
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
CN109801897B (zh) * 2017-11-16 2021-03-16 长鑫存储技术有限公司 芯片堆栈立体封装结构及其制造方法
US10651083B2 (en) 2018-03-05 2020-05-12 International Business Machines Corporation Graded interconnect cap
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US11776901B2 (en) * 2021-03-10 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Via landing on first and second barrier layers to reduce cleaning time of conductive structure

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3702427A (en) * 1971-02-22 1972-11-07 Fairchild Camera Instr Co Electromigration resistant metallization for integrated circuits, structure and process
US5156997A (en) * 1991-02-11 1992-10-20 Microelectronics And Computer Technology Corporation Method of making semiconductor bonding bumps using metal cluster ion deposition
JP3386175B2 (ja) * 1993-03-22 2003-03-17 科学技術振興事業団 ガスクラスターイオン援用による化合物薄膜の形成方法
US5488013A (en) * 1993-12-20 1996-01-30 International Business Machines Corporation Method of forming transverse diffusion barrier interconnect structure
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
JP3318186B2 (ja) * 1995-05-19 2002-08-26 科学技術振興事業団 ガスクラスターの形成方法と薄膜形成方法
AU7096696A (en) * 1995-11-28 1997-06-19 Hitachi Limited Semiconductor device, process for producing the same, and packaged substrate
JPH10189590A (ja) * 1996-12-24 1998-07-21 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6154188A (en) * 1997-04-30 2000-11-28 Candescent Technologies Corporation Integrated metallization for displays
US6448708B1 (en) * 1997-09-17 2002-09-10 Candescent Intellectual Property Services, Inc. Dual-layer metal for flat panel display
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
JPH11330033A (ja) * 1998-05-12 1999-11-30 Fraser Scient Inc エネルギーを有するクラスタ・ビームを使用して汚染表面を洗浄する方法および装置
US5990493A (en) * 1998-05-14 1999-11-23 Advanced Micro Devices, Inc. Diamond etch stop rendered conductive by a gas cluster ion beam implant of titanium
US6271595B1 (en) * 1999-01-14 2001-08-07 International Business Machines Corporation Method for improving adhesion to copper
US6459153B1 (en) * 1999-05-12 2002-10-01 Koninklijke Philips Electronics N.V. Compositions for improving interconnect metallization performance in integrated circuits
IL133453A0 (en) * 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6331227B1 (en) * 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
WO2002005315A2 (en) * 2000-07-10 2002-01-17 Epion Corporation System and method for improving thin films by gas cluster ion be am processing
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4350337B2 (ja) * 2001-04-27 2009-10-21 富士通マイクロエレクトロニクス株式会社 半導体装置
JP2005512312A (ja) * 2001-10-11 2005-04-28 エピオン コーポレイション 相互接続バイアを改善するためのgcib処理および改善された相互接続バイア
JP2003203940A (ja) * 2001-10-25 2003-07-18 Seiko Epson Corp 半導体チップ及び配線基板並びにこれらの製造方法、半導体ウエハ、半導体装置、回路基板並びに電子機器
EP1565933A4 (en) 2002-11-08 2007-05-02 Epion Corp PROCESSING INTEGRATED CIRCUIT INTERCONNECTION STRUCTURES USING A GAS AGGREGATE ION BEAM
US20040229452A1 (en) * 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
JP3819381B2 (ja) * 2003-07-07 2006-09-06 株式会社半導体理工学研究センター 多層配線構造の製造方法
WO2005020306A1 (ja) * 2003-08-25 2005-03-03 Matsushita Electric Industrial Co., Ltd. 不純物導入層の形成方法及び被処理物の洗浄方法並びに不純物導入装置及びデバイスの製造方法
US7253098B2 (en) * 2004-08-27 2007-08-07 International Business Machines Corporation Maintaining uniform CMP hard mask thickness
US7405152B2 (en) * 2005-01-31 2008-07-29 International Business Machines Corporation Reducing wire erosion during damascene processing

Also Published As

Publication number Publication date
CN100472739C (zh) 2009-03-25
WO2006052958A2 (en) 2006-05-18
KR20070085814A (ko) 2007-08-27
JP2008519458A (ja) 2008-06-05
EP1815507A4 (en) 2010-10-06
US20060105570A1 (en) 2006-05-18
CN101107699A (zh) 2008-01-16
EP1815507A2 (en) 2007-08-08
US7291558B2 (en) 2007-11-06
WO2006052958A3 (en) 2007-04-12

Similar Documents

Publication Publication Date Title
KR101184529B1 (ko) 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층
US7838423B2 (en) Method of forming capping structures on one or more material layer surfaces
US20070184656A1 (en) GCIB Cluster Tool Apparatus and Method of Operation
US7776743B2 (en) Method of forming semiconductor devices containing metal cap layers
US6812147B2 (en) GCIB processing to improve interconnection vias and improved interconnection via
US7759251B2 (en) Dual damascene integration structure and method for forming improved dual damascene integration structure
US7754588B2 (en) Method to improve a copper/dielectric interface in semiconductor devices
US7871929B2 (en) Method of forming semiconductor devices containing metal cap layers
JP5539883B2 (ja) 半導体デバイスにおける電気漏れ特性の改善及びエレクトロマイグレーションの抑制を行う方法
US8192805B2 (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
KR20080098514A (ko) 구리 인터커넥트 배선 및 이를 형성하기 위한 방법 및 장치
CN112151442A (zh) 一种铜互连布线层上覆盖结构的方法
TWI423389B (zh) 用以改善半導體裝置之漏電性能及將半導體裝置中之電遷移減至最小的方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee