JP2008519458A - 銅相互接続配線およびこれを形成する方法 - Google Patents

銅相互接続配線およびこれを形成する方法 Download PDF

Info

Publication number
JP2008519458A
JP2008519458A JP2007540161A JP2007540161A JP2008519458A JP 2008519458 A JP2008519458 A JP 2008519458A JP 2007540161 A JP2007540161 A JP 2007540161A JP 2007540161 A JP2007540161 A JP 2007540161A JP 2008519458 A JP2008519458 A JP 2008519458A
Authority
JP
Japan
Prior art keywords
gcib
capping
accelerated
dielectric
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007540161A
Other languages
English (en)
Inventor
ゲフケン、ロバート、エム.
ハウタラ、ジョン、ジェイ.
シェルマン、スティーブン、アール.
ラーン、アーサー、ジェイ.
Original Assignee
ティーイーエル エピオン インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ティーイーエル エピオン インク. filed Critical ティーイーエル エピオン インク.
Publication of JP2008519458A publication Critical patent/JP2008519458A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0635Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

集積回路のための相互接続構造体における使用のための銅相互接続配線層(602)の表面上のキャッピング層(614)およびガスクラスタイオンビーム処理の適用による集積回路のための改良された集積相互接続構造体を形成する方法。低減された銅拡散と改善されたエレクトロマイグレーション寿命が結果として得られ、また選択的金属キャッピング技法の使用とそれらに付随する歩留まり問題とが回避される。
【選択図】図5A

Description

関連特許出願への相互参照:本出願は、その内容が引例によってここに組み込まれている2004年11月8日に出願された「Copper Interconnect Wiring and Method of Forming Thereof」(銅相互接続配線およびこれを形成する方法)と題する米国仮出願通番第60/625,831号の利益を請求する。
本発明は、一般的に銅相互接続配線層の表面上のキャッピング層に関し、またガスクラスタイオンビーム(GCIB)処理の適用による半導体集積回路のための相互接続構造体を形成するための改善された方法に関する。
より大きな密度とより高い性能とに対する半導体の絶え間ない「ムーアの法則」スケーリングは、産業とわれわれの社会のために膨大な生産性向上をもたらしてきた。しかしながらこのスケーリングの結果生じる問題は、ますます小さくなる相互接続ワイヤにおけるますます大きくなる電流を搬送するための要件である。このように小さなワイヤにおける電流密度と温度とが余りに高くなり過ぎると、相互接続ワイヤはエレクトロマイグレーションと呼ばれる現象によって破損する可能性がある。高い電流密度の相互接続ワイヤに発生するいわゆる「エレクトロンウィンド」の効果は、金属原子を元の格子位置から吹き飛ばし、その結果としてワイヤの開放回路(途切れた回路)、またはこれらの拡散する金属原子が集まる領域において突出し短絡を生じる。アルミニウムに代わる配線材料としての銅の導入は、エレクトロマイグレーション寿命における非常に大きな改善をもたらしたが、相互接続配線の絶え間ないスケーリング(縮小)は、銅エレクトロマイグレーション寿命の更なる改善が将来必要とされるであろうことを示唆している。
粒界に沿ったアルミニウム原子の拡散によって障害となるアルミニウム相互接続体とは異なり、銅相互接続体エレクトロマイグレーション障害モードは、表面と界面とに沿った拡散によって制御される。特に背景の銅配線相互接続構成に関しては、典型的には銅ワイヤの上面は、周囲の誘電体への銅のマイグレーションを防止するための良好な拡散障壁特性を持たなくてはならない、上に重なる誘電体キャッピング層を持っている。最も一般的に使用される二つの誘電体キャッピング材料は、窒化ケイ素とケイ素炭素窒化物であって、これらは従来どおりプラズマ加速化学気相成長法(PECVD)技術によって堆積される。不都合なことに、これらのPECVD堆積キャッピング材料は、結果的に銅ワイヤの上面に沿って高められた銅マイグレーションを生じ、それによって更に高いエレクトロマイグレーション障害率を招く銅による欠陥界面を形成する。銅ワイヤ構造体の他の表面は典型的には、銅拡散を制限し、それによってエレクトロマイグレーション効果を抑制するための銅との丈夫な界面を形成する障壁層または二重層(典型的には例えばTaN/Ta、TaN/RuまたはRuといった金属層)との界面を有する。われわれは、このような障壁層または二重層を「障壁層」と呼ぶ。
選択的に堆積された金属キャップによって銅ワイヤの上面をキャッピングすることによって銅配線のエレクトロマイグレーションを改善する試みがなされてきた。実に、最上部銅界面が選択的タングステン燐化物または選択的コバルトタングステン燐化物(CoWP)金属層でキャッピングされた場合、銅エレクトロマイグレーション寿命の大幅な改善が報告されている。不都合なことに選択的金属キャッピング・ソリューションを使用する方法のすべては、隣接する絶縁物表面上への何らかの金属の堆積の可能性も有し、それによって隣接金属線間の意図していない漏洩または短絡を引き起こす。本発明は、これらの問題の多くを解決するためにガスクラスタイオンビーム処理を使用する。
図1は、銅二重ダマシン集積プロセスにおいて一般に使用されるような背景技術の窒化ケイ素キャップされた銅相互接続体の配線構成300を示す概略図を示す。これは、第1の銅ワイヤ層302と第2の銅ワイヤ層304とこれら二つの銅層を接続する銅バイア構造体306とを備える。両ワイヤ層302、304とバイア構造体306の側壁と底部はすべて、障壁層312で裏打ちされている。障壁層312は、隣接する絶縁物構造体への銅の拡散を防止する優れた拡散障壁特性を与え、またこれらの界面に沿ったエレクトロマイグレーションを抑制する銅との優れた低拡散界面を与える。第1のレベル間誘電体層308と第2のレベル間誘電体層310は、銅ワイヤ間に絶縁を与える。第1の銅ワイヤ層302の上面と第2の銅ワイヤ層304の上面は各々、典型的には窒化ケイ素またはケイ素炭素窒化物からなる絶縁性障壁フィルム314、316でそれぞれカバーされる。これらの絶縁性障壁フィルム314、316は通常、PECVDによって堆積され、また露出された銅表面とでこれらのフィルムが形成する界面は、いくらか欠陥があり、マイグレートする(移行する)銅原子のための迅速な拡散通路を与える。この背景技術の配線構成では、銅のエレクトロマイグレーション時に望ましくない材料移動のほとんどすべてが起こるのはこれらの界面に沿ってである。このような従来の二重ダマシン銅相互接続体では各相互接続レベルにおいて、レベル間誘電体層におけるトレンチとバイアの形成とこれに続く相互接続ワイヤおよびバイアを形成するための銅の堆積の後に、典型的には化学的機械的研磨(CMP)を使用して実行される平坦化ステップが続く。CMPおよびポストCMPブラシ洗浄の両プロセスでは腐食防止剤が使用され、またこれらの腐食防止剤およびその他の汚染物質はキャッピング層の堆積前に、その場洗浄によって銅表面から除去されなくてはならない。事後洗浄処理の使用は、銅表面を腐食および酸化され易い状態にしておくであろう。PECVD反応器は典型的には、絶縁物キャッピング層堆積の前の銅表面の効果的なその場洗浄を実行するようには構成されていない。図1には示されていないが配線構成300は典型的には、集積回路を完成させるために電気的相互接続を必要とする能動および/または受動要素を含む半導体基板上に形成される。
図2は、背景技術の選択的金属キャップされた銅相互接続体の配線構成400を示す。これは、第1の銅ワイヤ層402と第2の銅ワイヤ層404とこれら二つの銅層を接続する銅バイア構造体406とを備える。両ワイヤ層402、404とバイア構造体406の側壁と底部はすべて、障壁層412で裏打ちされている。障壁層412は、隣接する絶縁物構造体への銅の拡散を防止する優れた拡散障壁特性を与え、またこれらの界面に沿ったエレクトロマイグレーションを抑制する銅との優れた低拡散界面を与える。第1のレベル間誘電体層408と第2のレベル間誘電体層410は、銅ワイヤ間に絶縁を与える。第1の銅ワイヤ層402の上面と第2の銅ワイヤ層404の上面は各々、典型的にはそれぞれ化学蒸着(CVD)または無電解技法によって堆積された選択的タングステンまたは選択的CoWPからなる選択的に堆積された金属層414、416によってそれぞれキャッピングされる。この従来の二重ダマシン銅相互接続体では各相互接続レベルにおいて、レベル間誘電体層におけるトレンチおよびバイアの形成とこれに続く相互接続ワイヤおよびバイアを形成するための銅の堆積の後に、典型的には化学的機械的研磨(CMP)技法を使用して実行される平坦化ステップが続く。CMPおよびポストCMPブラシ洗浄の両プロセスでは腐食防止剤が使用され、またこれらおよびその他の汚染物質はキャッピング層の堆積前に、銅表面から除去されなくてはならない。銅層の最上部銅界面がタングステンまたはCoWP金属層のいずれかによってキャッピングされた場合、銅エレクトロマイグレーション寿命の大幅な改善が報告されている。不都合なことに、選択的金属キャッピング・ソリューションを使用する方法のすべては、例えば隣接する絶縁物表面上に示される望ましくない金属418の堆積の幾らかの可能性を有し、それによって隣接する金属線間の電気的漏洩または短絡という結果を招く可能性がある。選択的金属堆積技法は極めて大きなエレクトロマイグレーション改善の見込みを与えるが、これらの技法は、望ましくない金属の堆積による半導体ダイ上の歩留まり損失の高い可能性のために製造には広く実施されてきていない。図2には示されていないが配線構成400は典型的には、集積回路を完成させるために電気的相互接続を必要とする能動および/または受動要素を含む半導体基板上に形成される。表面を処理するためのガスクラスタイオンビームの使用は、本技術では既知である(例えばDeguchi等による米国特許第5,814,194号を参照のこと)。ここでこの用語が使用されるとき、ガスクラスタは、標準的な温度と圧力の条件下でガス状である材料のナノサイズの集合体である。このようなガスクラスタは典型的には、ガスクラスタを形成するために緩やかに結合した数個から数千個の分子の集合体からなる。ガスクラスタは、このガスクラスタが制御可能なエネルギーの方向付けされたビームに形成されることを可能にする電子衝撃法またはその他の手段によってイオン化され得る。このようなイオンは各々、典型的にはq・eという正の電荷を帯びている(ここでeは電荷であり、qはガスクラスタイオンの帯電状態を表す1以上の整数である)。ガスクラスタイオンビーム内には、非イオン化ガスクラスタも存在し得る。より大きなサイズのガスクラスタイオンはしばしば、1分子当たりでは単に中庸なエネルギーを持っているのに対して、1ガスクラスタイオン当たりではかなりのエネルギー運搬能力を有するので最も有用である。ガスクラスタは、全ガスクラスタイオンエネルギーのほんの僅かな部分を運搬する各個別分子との衝突時に崩壊する。その結果、大きなガスクラスタイオンの衝撃効果はかなり大きいが極めて浅い表面領域に限定される。これは、従来のモノマーイオンビーム処理のより深い表面下損傷特性を作りだす傾向を持たずに種々の表面修正プロセスのためにガスクラスタイオンを有効にする。このようなGCIBの生成と加速のための手段は、前に引用された参考文献(US5,814,194)に記載されている。現在利用可能なガスクラスタイオン源は、サイズNの幅広い分布を有するガスクラスタイオンを生成する(ここでN=各ガスクラスタイオン内の分子数であり、本論議を通して−アルゴンのような単原子ガスの場合、単原子ガスの原子は分子と呼ばれ、このような単原子ガスのイオン化された原子は、分子イオン−あるいは単にモノマーイオンと呼ばれるであろう)。多くの有用な表面処理効果は、GCIBによる表面の衝撃によって達成され得る。これらの処理効果は、洗浄、平滑化、エッチング、ドーピングおよびフィルム形成または成長を含むが、必ずしもこれらに限定されない。Allen等の米国特許第6,537,606号は、初期に不均一な薄膜の空間的均一性を改善するための修正エッチングのためのGCIBの使用を教えている。US6,537,606の全内容は引例によってここに組み込まれている。
固形ターゲットの表面に対する高エネルギー・ガスクラスタの衝突時にターゲット表面へのクラスタの原子の貫入は典型的には、この貫入深さが各個別の構成原子の低いエネルギーによって制限され、また主としてガスクラスタイオン衝突時に発生する過渡的熱効果に依存するので、極めて浅い。ガスクラスタは衝突時に崩壊し、それから個別のガス原子は、自由になって跳ね返り、おそらくはターゲットの表面から逃げ去る。逃げ去る個別ガス原子によって運び去られたエネルギーとは別に衝突前の高エネルギー・クラスタの全エネルギーはターゲット表面の衝突ゾーンに堆積される。ターゲット衝突ゾーンの寸法は、クラスタのエネルギーに依存するが、おおよそ衝突クラスタの断面積であって、例えば1000個の原子からなるクラスタの直径で約30オングストロームほどである。ターゲット上の小さな衝突ゾーンにクラスタによって運搬される全エネルギーの大部分の堆積のために、衝突場所のターゲット材料内には、強い熱的過渡現象が発生する。この熱的過渡現象は、エネルギーがターゲット内へのより深い伝導によって衝突ゾーンから失われるので素早く消散する。この熱的過渡現象の継続時間は、ターゲット材料の伝導率によって決定されるが、典型的には10−6秒未満であろう。
ガスクラスタ衝突場所付近ではターゲット表面のボリュームは、瞬間的に絶対温度で数百度から数千度の温度に達する可能性がある。一例として10keV全エネルギーを運搬するガスクラスタの衝突は、表面下約100オングストロームに広がる激しく衝撃されたほぼ半球のゾーンを通して約2000絶対温度の瞬間的温度上昇を作り出すことができると推定されている。この高い熱的過渡現象は、加工物とガスクラスタイオンビーム構成要素の混合と反応とを促進し、これによってエレクトロマイグレーション寿命の改善という結果をもたらす。
高エネルギー・クラスタ衝突場所の下のターゲットボリューム内での温度上昇過渡現象の開始に続いて、影響されたゾーンは急速に冷却する。ガスクラスタ構成要素の一部はこのプロセス時に逃げ去るが、他は後に残って表面に組み込まれる。元の表面材料の一部分もスパッタリングまたは同様の効果によって除去され得る。一般にクラスタのより揮発性で不活性の構成要素ほど逃げ易く、より揮発性が低く化学的により反応性の構成要素ほど表面内に組み込まれ易い。実際のプロセスは遥かに複雑そうであるが、ガスクラスタ原子が基板表面と簡単に相互作用して混合し得る、そしてガスクラスタ材料が表面から逃げるか、影響されたゾーンの深さまで表面内に滲み込まされる「溶融ゾーン」としてガスクラスタ衝突場所とその周囲の影響されたゾーンとを考えることが好都合である。用語「注入(滲み込ませ)」または「注入すること(滲み込ませること)」は、このプロセスを全く異なる結果を作り出す全く異なるプロセスであるイオン「打込み」または「打ち込むこと」から区別して呼ぶために本発明者等によって使用される。揮発性で非反応性である例えばアルゴン、キセノンといった高エネルギーガスクラスタイオン内の希ガスは、影響されたゾーンから逃げ出す高い確率を有するが、より低い揮発性および/またはより化学的結合を形成し易い、例えば炭素、ホウ素、フッ素、硫黄、窒素、酸素、ゲルマニウム、ケイ素といった材料は、影響されたゾーンに残って基板の表面に組み込まれ易い。
限定のためではなく例えばアルゴン、キセノンといった不活性希ガスは、より低い揮発性でより大きい反応性の元素を含むガスと混合して混合クラスタを形成し得る。このようなガスクラスタは、ガスクラスタイオンビーム生成のためのソースガスとして適当なソースガス混合物を使用することによって、あるいはガスクラスタイオン生成源内に二つ以上のガス(またはガス混合物)を送り込んでこれらのガスをソースに混合することによって、後述のような既存のガスクラスタイオンビーム処理装置によって形成され得る。最近の発行物においてBorland等の(「USJ and strained−Si formation using infusion doping and deposition(注入ドーピングと堆積とを使用するUSJおよび歪みSi形成)」、Solid State Technology, May 2004, p.53)は、GCIB注入が基板材料から表面に堆積された層に滑らかに遷移する段階的な表面層を生成し得ることを示している。
したがって、選択的金属堆積キャップの使用を必要としないで有害なエレクトロマイグレーション効果に対する罹患性を減らすために相互接続構造体における銅ワイヤのキャッピングのための方法を提供することは、本発明の一つの目的である。
隣接する誘電体材料の絶縁特性または漏洩特性に影響を与えずに相互接続構造体における銅相互接続体を効果的にキャッピングするための方法を提供することは、本発明の更なる目的である。
高いプロセス歩留まりと、エレクトロマイグレーション効果による障害の低減された罹患性とを有する回路のためにマルチレベル相互接続構造体を形成するための方法を提供することは、本発明のもう一つの目的である。
高いプロセス歩留まりと、低減されたエレクトロマイグレーション障害罹患性とを特徴とする集積回路のために改善されたキャップされた銅相互接続層を提供することは、本発明のなお更なる目的である。
本発明のより良い理解のために、その他のまた更なる対象物と共に下記の付属図面および詳細説明への参照が行われる。
図3は、背景技術で知られた形式のGCIB処理装置100のための典型的な構成の基本的要素の概略図を示しており、これは次のように説明され得る:真空容器102は、3つの連通チャンバに、すなわちビーム源チャンバ104とイオン化/加速チャンバ106と処理チャンバ108とに分割されている。これら3つのチャンバは、それぞれ真空ポンプシステム146a、146bおよび146cによって適当な動作圧力に排気される。第1のガス保存シリンダ111に保存された第1の濃縮可能ソースガス112(例えばアルゴンまたは窒素または事前混合されたガス混合物)は、ガス遮断バルブ115と第1のガス測定バルブ113とガス供給チューブ114とを介して滞留チャンバ116内に圧力下で入れられる。任意選択の第2のガス保存シリンダ230に保存された任意選択の第2の濃縮可能ソースガス232(例えば二酸化炭素、酸素または事前混合されたガス混合物)は、第2のガス遮断バルブ236と第2の第1のガス測定バルブ234とを介して任意選択的に圧力下で入れられる。両ソースガスが使用されるとき、これらのガスはガス供給チューブ114と滞留チャンバ116の中で混じり合う。滞留チャンバ116内のガスまたはガス混合物は、適正に形作られたノズル110を介して実質的に低い圧力の真空内に放出される。その結果、超音速ガスジェット118が発生する。ジェットにおける膨張の結果から生じる冷却は、ガスジェット118の一部分を各々が数個から数千個の弱結合原子または分子からなるガスクラスタに濃縮する。ガススキマー開口部120は、このような高い圧力が有害であるような下流領域(例えばイオナイザ122、高電圧電極126および処理チャンバ108)における圧力を最小にするように、ガスクラスタジェットに濃縮されなかったガス分子をこのガスクラスタジェットから部分的に分離する。適当な濃縮可能ソースガス112は、アルゴン、窒素、二酸化炭素、酸素および他のガスおよび/またはガス混合物を含むが、必ずしもこれらに限定されない。
ガスクラスタを含む超音速ガスジェット118が形成された後に、ガスクラスタはイオナイザ122内でイオン化される。イオナイザ122は典型的には、一つ以上の白熱フィラメント124から熱電子を発生させ、これらの電子を加速し方向付けて、ジェットがイオナイザ122を通過するガスジェット118内でガスクラスタと衝突させる。電子衝突は、ガスクラスタから電子を放出し、クラスタの一部分を正にイオン化する。一部のクラスタは、2個以上の電子を放出して多数イオン化された状態になり得る。1セットの適当にバイアスされた高電圧電子126は、イオナイザからガスクラスタイオンを抽出してビームを形成し、それからこれらのガスクラスタイオンを所望のエネルギーに(典型的には数百Vから数十kVの加速電位で)加速し、これらを焦点合わせしてGCIB128を形成する。フィラメント電源136は、フィラメント電圧Vを与えてイオナイザフィラメント124を加熱する。アノード電源134は、アノード電圧Vを与えてフィラメント124から放射された熱電子を加速し、これらにガスジェット118を含むガスクラスタを照射させてイオンを発生させる。抽出電源138は、抽出電圧Vを与え、高電圧電極をバイアスしてイオナイザ122のイオン化領域からイオンを抽出し、GCIB128を形成する。加速電源140は、加速電圧VAccを与え、VAccに等しい全GCIB加速電位を結果として発生させるように、イオナイザ122に関して高電圧電極をバイアスする。一つ以上のレンズ電源(例えば図示の142および144)は、GCIB128を焦点合わせするために焦点合わせ電圧(例えばVL1およびVL2)で高電圧電極をバイアスするように設けられ得る。
GCIB処理によって処理される半導体ウェーハまたは他の加工物であり得る加工物152は、GCIB128の通路に配置され得る加工物ホルダ(保持具)150に保持される。大抵の適用形態は空間的に均質な結果を有する大きな加工物の処理を想定しているので、スキャニングシステムは、空間的に均質な結果を生成するように大きな面積に亘ってGCIB128を均質にスキャンすることが望ましい。
GCIB128は、静止していてGCIB軸129を持っており、加工物152は、加工物152の表面に亘ってGCIB128の効果を分配するためにGCIB128を介して機械的にスキャンされる。
Xスキャンアクチュエータ202は、Xスキャン運動208(この紙面の平面内および平面外へ)の方向に加工物ホルダ150の直線運動を与える。Yスキャンアクチュエータ204は、典型的にはXスキャン運動208に直交するYスキャン運動210方向に加工物ホルダ150の直線運動を与える。XスキャニングおよびYスキャニング運動の組合せは、加工物ホルダ150によって保持された加工物152を、GCIB128を介してラスタ状スキャニング運動に動かして、加工物152の処理のためにGCIB128による加工物152の表面の均一な(そうでなければプログラムされた)照射を生じさせる。加工物ホルダ150は、GCIB128が加工物152表面に関してあるビーム入射角206を持つように、加工物152をGCIB128の軸に関してある角度に配置する。ビーム入射角206は、90度または他のある角度でもよいが、典型的には90度または90度付近である。Yスキャニング時に加工物152と加工物ホルダ150は、図示の位置から記号152A、150Aによってそれぞれ示される代替の位置「A」に移動する。これら二つの位置の間での移動において加工物152はGCIB128を介してスキャンされ、両端の位置ではGCIB128の通路から完全に外れるように動かされる(オーバースキャンされる)。図3には明確に示されていないが、同様のスキャニングおよびオーバースキャンは、(典型的には)直交するXスキャン運動208方向に(この紙面の平面内および平面外で)実行される。
ビーム電流センサー218は、加工物ホルダ150がGCIB128の通路から外れてスキャンされるときGCIB128のサンプルをインターセプトする(捕捉する)ようにGCIB128の通路に加工物ホルダ150を越えて配置される。ビーム電流センサー218は典型的には、ビーム入口開口部以外は閉じられたファラデーカップなどであって、電気的に絶縁性のマウント212で真空容器102の壁に固定されている。
マイクロコンピュータベースのコントローラであり得るコントローラ220は、電気ケーブル216を介してXスキャンアクチュエータ202とYスキャンアクチュエータ204とに接続しており、加工物152をGCIB128の内または外に配置するように、またGCIB128による加工物152の所望の処理を達成するためにGCIB128に関して均一に加工物152をスキャンするようにXスキャンアクチュエータ202とYスキャンアクチュエータ204とを制御する。コントローラ220は、リード214を介してビーム電流センサー218によって収集されたサンプルビーム電流を受領し、それによってGCIBを監視し、予め決められた所望の照射量が送達されたときにGCIB128から加工物152を取り除くことによって加工物152によって受領されるGCIB照射量を制御する。
図4Aは、本発明の第1の実施形態によるGCIB注入を使用してキャッピングされた銅相互接続体の配線構成500を示す概略図である(限定ではなく例として二つの銅ワイヤ層相互接続レベルを示す)。この概略図は、第1の銅ワイヤ層502と第2の銅ワイヤ層504とこれら二つの銅層を接続する銅バイア構造体506とを支持する基板501を示しており、これらの層の各々は従来の技法を使用して形成され得る。基板501は典型的には、電気的相互接続を必要とする能動および/または受動要素を含む(おそらくはより低い相互接続レベルを含む)半導体基板である。両銅ワイヤ層502、504とバイア構造体506の側壁と底部は、背景の技法を使用して形成され得るTaN/Taまたは他の通常の障壁層512で裏打ちされている。第1のレベル間誘電体層508と第2のレベル間誘電体層510は、銅配線層間と他のコンポーネント間に電気的絶縁を与え、また背景の技法を使用して形成され得る。第1の銅ワイヤ層502の上面と第1のレベル間誘電体層508の上面と第2の銅ワイヤ層504の上面と第2のレベル間誘電体層510の上面はすべて、GCIB処理によってキャッピングされて、キャッピングフィルム514、516、518を形成する。各相互接続レベルの上面では、好適には別々のGCIBキャッピング処理が実行される。従来の二重ダマシン銅相互接続体では、レベル間誘電体層におけるトレンチおよびバイアの形成とそれに続く相互接続ワイヤおよびバイアを形成するための銅の堆積の後に、典型的には化学的機械的研磨(CMP)技法を使用して、露出された銅表面とレベル間誘電体層材料表面に平坦化ステップが実行される。CMP技法とポストCMPブラシ洗浄処理の両者において研磨される表面には腐食防止剤が使用され、好ましくはキャッピング層形成の直前にその場洗浄によって銅表面と誘電体表面から(他の汚染物質と一緒に)除去される(ここで使用されるように「その場」は、洗浄ステップとキャッピングステップとの間で大気圧に戻さずに、また洗浄ステップとキャッピングステップとの間における洗浄された表面の再汚染の機会を減らして、キャッピング堆積が実行される同じ減圧された雰囲気内で洗浄が行われることを意味する)。PECVD反応器は典型的には、絶縁物キャッピング層堆積の前に銅表面の効果的その場洗浄を実行するように構成されていない。PECVDシステムとは異なり、例えば処理装置100といったGCIB処理システムは、直ちに、また典型的には逐次洗浄およびキャッピングをその場で遂行するように構成される。下記のようなGCIB処理によって実行され得る露出された銅表面とレベル間誘電体表面の洗浄に続いて、平坦化された表面をキャッピングするために(銅と露出されたレベル間誘電体とを同時に)GCIB注入処理が、好適にはその場で使用される。
図4Bは、配線構成500の予備的段階500Bを示す。図示の段階で基板501上に相互接続レベルが形成されている。この相互接続レベルは、従来の技法を使用して基板上に堆積された第1のレベル間誘電体508を含む。従来のトレンチとバイアは、第1のレベル間誘電体508に形成されており、通常の障壁層512で裏打ちされている。トレンチとバイアには従来の技法を使用して銅が堆積されている。構造体の上面は、従来のプロセスを利用して平坦化されて洗浄されている。第1の銅ワイヤ層502と第1のレベル間誘電体層508の上面は、残留汚染物質503を持っている。この段階において、またこれに続く各相互接続レベルの対応する段階(二つ以上の相互接続レベルを想定している)においてGCIB洗浄処理が実行され得る。GCIB洗浄は、洗浄すべき表面(単数または複数)にガスAr、N、NHまたはHまたはこれらの混合物のうちのいずれかの分子からなるGCIBクラスタイオンを照射するステップ、また好ましくは約3kVから約50kVの範囲内のビーム加速電位VAccを使用し、約5×1013イオン(ions)/cmから約5×1016イオン(ions)/cmの範囲の全ガスクラスタイオン照射量を照射するステップを含む。当業者(本技術に精通する人々)は、本発明がこれらのサンプルガスに限定されず、むしろ銅表面からポストCMP残留物、酸化銅、および他の汚染物質を除去する他のガスまたはガス混合物によって実施され得ることを理解するであろう。本発明にとって本質的ではないが、このGCIB処理はその場洗浄処理であることが好ましい。
図4Cは、GCIB洗浄ステップに続く配線構成500の段階500Cを示す。第1の銅ワイヤ層502と第1のレベル間誘電体層508の上面は、汚染物質が洗浄除去されており、キャッピングステップの準備ができている。この段階とこれに続く各相互接続レベルの対応する段階(二つ以上の相互接続レベルを想定している)とにおいてGCIBキャッピング処理が実行される。GCIBキャッピング処理は、第1の銅ワイヤ層502および/または第1のレベル間誘電体層508の上面に元の露出された誘電体表面および/または銅表面への注入時に絶縁材料を形成する反応性元素からなるGCIBを照射するステップを含む。例えばC、N、O、Si、BまたはGe、またはこれらの混合物からなるガスクラスタイオン元素を有するGCIBは、適当であって、銅の上に例えばSi、SiCN、CuCO、およびBNといった段階的キャッピングフィルムを形成することができる。銅および/または隣接する絶縁物に注入されるときに適当な誘電体材料を形成する他の元素または組合せも利用可能である。例えばCH、SiH、NH、N、CO、B、GeHおよびこれらの混合物といったソースガスが使用され得る。このようなガスは、それらの純粋な形で、あるいは例えばArまたはXeといった不活性ガスと混合することによってクラスタイオンを形成するために使用され得る。図3のGCIB処理装置100を参照すると、注入を達成するために約3kVから約50kVの範囲内のビーム加速電位VAccが、約1×1014から約1×1017イオン(ions)/cmの範囲内の全ガスクラスタイオン照射量で使用され得る。
図4Dは、GCIBキャッピングステップに続く配線構成500の段階500Dを示す。銅表面および/または隣接する誘電体表面の上では、GCIB処理の衝突エネルギーと熱過渡特性が、GCIBに露出された銅表面の、および/またはレベル間誘電体構造体の上面に注入されて(滲み込んで)、それぞれキャッピング層514、516を形成する。キャッピング層514、516は各々、任意選択的に更に誘電体障壁フィルムとして働く上層部分を備え得る。この形成プロセスの最初の部分のときに、混合された銅/GCIB種構成の段階的層514Aが銅表面に注入される。この混合層は、続いて堆積される何らかの誘電体障壁フィルム514Bとその下にある銅との間に段階的界面を与え、それによってこの界面における銅拡散を制限してエレクトロマイグレーション寿命を改善する。引き続いて堆積される誘電体障壁514Bは、通常のPECVDによって堆積される別々の更なるフィルムであり得るが、この誘電体障壁514Bは、注入処理から純粋な堆積処理までプロセスが進行して(増加した照射量を持って)銅の注入表面において混合層の上に誘電体材料を堆積するまで、最初に混合段階層を生成するキャッピングGCIB照射プロセスを単に継続するGCIBキャッピング注入ステップの継続としてGCIBによって堆積されることが好ましい。最初に注入された混合段階層514Aは、キャッピング層として働き、また継続するGCIB照射によって、引き続きの更なる誘電体材料の堆積は、堆積された誘電体障壁フィルム514Bを形成する。これは、混合段階層のせいで銅相互接続の構成要素となる誘電体層を形成し、それによって優れたエレクトロマイグレーション寿命を含む改良された界面特性という結果をもたらす。好適にはキャッピング層514を形成する同じ(またはもう一つの)キャッピングGCIBは、レベル間誘電体508の上にキャッピング層516を形成する。キャッピング層514と同様にキャッピング層516は、二重層である。キャッピング層516は、最初に混合誘電体/GCIB種構成の混合段階層を表面に形成し、継続するGCIB処理または追加的な別の(例えばPECVD)堆積によって、堆積された誘電体障壁フィルムも備え得る。もし例えば誘電体障壁フィルム514Bが、延長されたGCIB処理を使用することによって形成されなければ、あるいはもし特に厚い誘電体障壁フィルムが必要とされれば、注入されたキャッピング層514Aまたはキャッピング層516は、更なる銅拡散障壁またはバイアエッチング停止特性のための誘電体障壁フィルムを与えるためにPECVD Si、SiCN、またはSiCといった通常の絶縁層によって任意選択的にオーバーキャップされ得る。キャッピングステップと誘電体障壁フィルム形成とに続いて、もし必要であれば従来の技術を使用して相互接続の更なるレベルが追加され得る。
図4Eは、GCIBキャッピングされた(誘電体障壁を含む)第1の相互接続レベルへの第2の相互接続レベルの追加に続く配線構成500の段階500Eを示す。この段階では第2の相互接続レベルは、キャッピングされた層514、516の上に形成されている。第2の相互接続レベルは、従来の技法を使用してキャッピングされた層514、516の上に堆積された第2のレベル間誘電体510からなる。トレンチとバイアは第2のレベル間誘電体510に形成され、これらのトレンチとバイアは障壁層512で裏打ちされており、またトレンチとバイアには従来の技法を使用して銅が堆積されている。この構造体の上面は、例えばCMPといった従来のプロセスを利用して平坦化されて洗浄されている。第2の銅ワイヤ層504と第2のレベル間誘電体層510の上面は残留汚染物質505を有するように示されている。第2の相互接続レベル(もしあれば)において、またそれに続く更に高い相互接続レベル(もしあれば)において配線構成500のために上述のようなGCIB洗浄ステップとGCIB注入ステップが適用されて、(例えば)図4Aに示すようなキャッピングフィルム518を形成する。したがって2レベル以上のマルチレベル相互接続構造体は、所望どおりに形成され得る。
したがって上述の技法は低減されたエレクトロマイグレーションのために備えており、なお選択的金属キャッピング処理に関連する有害な副作用を回避している。誘電体表面には注入された層と誘電体とが絶縁性のままに残り、極めて薄い注入層はこの層の全体的誘電率と層間キャパシタンスとに対して無視し得るほどの影響しか及ぼさない。
図5Aは、本発明の第2の実施形態によるGCIB注入および堆積を使用してキャッピングされた銅相互接続体の配線構成600を示す概略図である(限定のためではなく例として二つの銅ワイヤ層相互接続レベルを示している)。この概略図は、層の各々が従来の技法を使用して形成され得る第1の銅ワイヤ層602と第2の銅ワイヤ層604とこれら二つの銅層を接続する銅バイア構造体606とを支持する基板601を示す。基板601は典型的には、電気的相互接続を必要とする能動および/または受動要素を含む(おそらくはより低い相互接続レベルを含む)半導体基板である。両銅ワイヤ層602、604とバイア構造体606の側壁と底部は、従来の技法を使用して形成され得るTaN/Taまたは他の障壁層612によって裏打ちされている。第1のレベル間誘電体層608と第2の誘電体層610は、銅ワイヤ層間に電気的絶縁を与え、また従来の技法を使用して形成され得る。レベル間誘電体層608、610はこれらの層の誘電特性を向上させるために多孔性であり得ることがしばしば望ましい。このような場合、レベル間誘電体層は任意選択的に、これらレベル間誘電体層上に、例えば各々がSiO、SiCまたはSiといった材料からなる、また各々が従来の技法を使用して堆積され得る、それぞれ第1のハードマスク層609と第2のハードマスク層611といったハードマスク層を堆積している。第1の銅ワイヤ層602と第1のレベル間誘電体層608の上面(または任意選択的にもし存在すれば、第1のハードマスク層609の上面)と第2の銅ワイヤ層604と第2のレベル間誘電体層610の上面(または任意選択的にもし存在すれば、第2のハードマスク層611の上面)はすべて、GCIB処理によってキャッピングされてキャッピングフィルム614、616、618、620を形成する。この第2の実施形態は、注入された種が銅表面(銅キャッピングフィルム614、616)上で導体特性を保持するようにGCIBガスクラスタイオンを含む元素(単数または複数)が選択されるという点で第1の実施形態とは区別可能である。しかしながら注入元素(単数または複数)はまた、同じ元素(単数または複数)が各相互接続レベルの誘電体領域におけるレベル間誘電体および/または誘電体ハードマスク材料(レベル間誘電体またはハードマスクキャッピングフィルム616、620)の表面に注入されるときに絶縁フィルムを形成するように選択される。改善された誘電体拡散障壁(第1の相互接続レベルのための障壁フィルム622と第2の相互接続レベルのための障壁フィルム624)は好適には、GCIB堆積によって形成されるが、従来の技法によって形成されることもあり得る。このような障壁フィルムは更に、GCIB注入キャップの拡散障壁性能とバイアエッチング停止特性とを向上させる。
GCIB注入処理は好適には、銅キャップとレベル間誘電体キャップとを形成するために各相互接続レベルの上面に適用される。上述のようにGCIBその場洗浄は好適には、銅相互接続体とレベル間誘電体のCMP平坦化に続いて使用される。図5Gは、レベル間誘電体層608、610がそれらの上面にハードマスク層609、611を持たない配線構成600Gを示す。今度は配線構成600Gを構成するためのプロセスの説明が行われるであろう。
図5Bは、配線構成600Gの予備的段階600Bを示す。基板601上に構築された相互接続レベルは、通常に堆積された第1のレベル間誘電体608からなり、そこにはトレンチとバイアが形成されていて障壁層612で裏打ちされている。これらのトレンチとバイアには、従来の技法を使用して銅が堆積されている。この構造体の上面は平坦化されて洗浄されている。第1の銅ワイヤ層602と第1のレベル間誘電体層608の上面は、残留汚染物質603を持っているように図示されている。この段階とこれに続く各段階(2レベル以上の相互接続レベルを想定している)の上面(単数または複数)では、前述のようにGCIB洗浄処理が実行され得る。本発明にとって本質的ではないが、このGCIB洗浄処理はその場洗浄処理であることが好ましい。
図5Cは、GCIB洗浄ステップに続く配線構成600Gの構造における中間段階600Cを示す。第1の銅ワイヤ層602と第1のレベル間誘電体層608の上面は、汚染物質が洗浄除去されていてキャッピングステップのために準備されている。今度はこの段階とこれに続く各相互接続レベルの各段階(2レベル以上の相互接続レベルを想定している)の洗浄済み上面(単数または複数)にGCIBキャッピング処理が適用され得る。平坦化された表面(銅および/または露出されたレベル間誘電体)を同時に(または別々のキャッピングGCIBによって二者択一的に)キャッピングするために(好適にはその場)GCIB注入処理が使用される。GCIBキャッピング処理は、銅表面への注入時に導電性材料を形成するがレベル間誘電体表面への注入時には電気的に絶縁性の材料を形成する反応性元素からなるGCIBを第1の銅ワイヤ層602と第1のレベル間誘電体層608の上面に照射するステップを含む。更にこれらの導電性元素は、導電率への悪影響を避けるために銅における高い固体溶解度(固溶性)を持たないように選択される。限定でなく元素BまたはTiを含むガスクラスタイオンを有するGCIBは、適当であって、また絶縁性酸化物、炭化物または窒化物を形成するためにSiO、SiC、SiCN、SiCOHなどといった、しかしこれらに限定されない適当な誘電体ハードマスク材料と結合する。BおよびTiを含むある幾つかの適当なソースガスは、B、TiCl、テトラ・ジエチルアミノ・チタン(TDEAT)およびテトラ・ジメチルアミノ・チタン(TDMAT)を含むが、これらに限定されない。これらのガスは、それらの純粋な形で、または例えばAr、Xeといった不活性ガスと混合することによって使用され得る。誘電体表面上ではこのような注入は、例えばTiOとホウケイ酸ガラスの段階的フィルムを形成するが、銅表面上ではこれらは例えばホウ素とチタンの段階的フィルムを形成する。図3のGCIB装置100を参照すると、好適には約3kVから約50kVの範囲内のビーム加速電位VAccが、約1×1014から約1×1017イオン(ions)/cmの範囲内の全ガスクラスタイオン照射量で使用され得る。銅表面と誘電体表面においてGCIB注入処理の衝突エネルギーは、新しい絶縁性(レベル間誘電体またはハードマスク上の)材料を形成するために既存の誘電体または誘電体ハードマスク層との注入種の反応を促進し、また銅ワイヤ表面上に注入された導電性フィルムを形成する過渡的高温ゾーンを作り出す、それによって銅界面拡散を制限してエレクトロマイグレーション寿命を改善する。したがって単一のGCIBキャッピング注入ステップは、第1の銅ワイヤ層602上に導電性キャッピングフィルム614を、また第1のレベル間誘電体層608上に電気絶縁性キャッピングフィルム616を形成する。
図5Dは、GCIBキャッピングステップに続く配線構成600Gの構造における段階600Dを示す。誘電体拡散障壁フィルムを形成するためにこの段階とこれに続く各相互接続レベルの各段階の上面(単数または複数)にGCIB処理が実行され得る。誘電体拡散障壁フィルム622は好適には、ケイ素炭素窒化物から構成されるが、窒化ケイ素、炭化ケイ素または他の誘電体フィルムでもよい。通常これは、PECVDによって堆積されるが、好適にはこれは障壁フィルム622が堆積されることになっているキャッピングフィルム(614と616)の表面に絶縁材料を堆積するように反応する元素から形成されるGCIBを照射することによって堆積される。例えばC、NおよびSiあるいはこれらの混合物といったガスクラスタイオン元素を有するGCIBは適当であって、銅の上に例えばSi、SiCN、およびSiCといった拡散障壁フィルムを堆積することができる。C、NおよびSiといったソースガスは、CH、SiH、NHおよびNを含むが、これらに限定されない。このようなガスは、純粋なガスを使用することによって、または例えばAr、Xeのような不活性ガスと混合することによって堆積のためのガスクラスタイオンを形成するために使用され得る。好適には約3kVから約50kVの範囲内のビーム加速電位VAccが、約1×1014から約1×1017イオン(ions)/cmの範囲内の全ガスクラスタイオン照射量で使用される。
図5Eは、障壁フィルム622の堆積に続く配線構成600Gの構造の段階600Eを示す。図5Fは、GCIBキャッピングされた(誘電体障壁フィルムを含む)第1の相互接続レベルと障壁フィルム622の上への第2の相互接続レベルの追加を反映した配線構成600Gの構造の段階600Fを示す。第2の相互接続レベルは、障壁フィルム622上に堆積された第2のレベル間誘電体610からなり、ここにはトレンチとバイアが形成されていて障壁層612で裏打ちされている。トレンチとバイアには、従来の技法を使用して銅が堆積されている。この構造体の上面は、従来のプロセスを利用して平坦化されて洗浄されている。第2の銅ワイヤ層604と第2のレベル間誘電体層610の上面は、残留汚染物質626を持っているように図示されている。第2の相互接続レベルの上面(単数または複数)とこれに続く更に高い相互接続レベル(もしあれば)においては、配線構成600Gを構成するために前述のようにGCIB洗浄とGCIB注入とGCIB堆積のステップが適用され得る。これらの処理ステップは、キャッピングフィルム618、620および障壁フィルム624の形成という結果をもたらす。したがって図5Gの2相互接続レベル構造体またはマルチレベル相互接続構造体は、所望どおりに形成され得る。
図5Hは、図5Aに全体が示されているような配線構成600(ハードマスク層609、611を有する)を構成するプロセスにおける予備的段階600Hを示す。基板601上に構築された第1の相互接続レベルは、従来の技法を使用して基板上に堆積された第1のレベル間誘電体608からなる。従来の技法によって形成されたハードマスク層609は、第1のレベル間誘電体608の上面をカバーしている。第1のレベル間誘電体608にはトレンチとバイアが形成されていて障壁層612で裏打ちされており、これらのトレンチとバイアには銅が堆積されている。この構造体の上面は平坦化され、従来の洗浄処理を利用して洗浄されている。第1の銅ワイヤ層602とハードマスク層609の上面は、残留汚染物質605を持っているように図示されている。この段階とこれに続く各相互接続レベルの対応する段階の上面(単数または複数)には、好適には前述のようにGCIB洗浄処理が実行される。本発明にとって本質的ではないが、このGCIB洗浄処理はその場洗浄処理であることが好ましい。
図5Iは、GCIB洗浄ステップに続く配線構成600(図5Aの)を構成するプロセスにおける段階600Iを示す。第1の銅ワイヤ層602とハードマスク層609の上面は、汚染物質が洗浄除去されていてキャッピングステップのために準備されている。この段階とこれに続く各相互接続レベルの対応する段階の上面(単数または複数)には、キャッピング層614、616を形成するためにGCIBキャッピング処理が実行され得る。この実施形態ではキャッピング層616は、第1のレベル間誘電体層608の上に直接ではなく、むしろハードマスク層609上に形成される。
図5Jは、キャッピング層614、616を形成するステップに続く配線構成600を形成するプロセスにおける段階600Jを示す。キャッピング層614、616の上に誘電体拡散障壁フィルム622を形成するために、この段階とこれに続く各相互接続レベルの対応する各段階の上面に前述のようなGCIB処理が適用され得る。
図5Kは、障壁フィルム622の堆積に続く配線構成600を構成するプロセスにおける段階600Kを示す。
図5Lは、GCIBキャッピングされた(誘電体障壁フィルムを含む)第1の相互接続レベル上への第2の相互接続レベルの追加に続く配線構成600を構成するプロセスにおける段階600Lを示す。この段階では、障壁フィルム622の上に第2の相互接続レベルが形成されている。第2の相互接続レベルは、従来の技法を使用して障壁フィルム622上に堆積された第2のレベル間誘電体610からなる。従来の技法によって形成されたハードマスク層611は、第1のレベル間誘電体610の上面をカバーしている。通常のトレンチとバイアは、第2のレベル間誘電体610に形成され、これらのトレンチとバイアは通常の障壁層612で裏打ちされており、これらのトレンチとバイアには従来の技法を使用して銅が堆積されている。この構造体の上面は、従来のプロセスを利用して平坦化されて洗浄されている。第2の銅ワイヤ層604とハードマスク層611の上面は、残留汚染物質613を持っているように図示されている。第2の相互接続レベル(もしあれば)とこれに続く更に高い相互接続レベル(もしあれば)においては配線構成600のために前述のようにGCIB洗浄とGCIB注入とGCIB堆積のステップが適用され、(例えば)キャッピングフィルム618、620を形成し、また障壁フィルム624を形成する。したがって図5Aの2相互接続レベル構造体またはマルチレベル相互接続構造体は、所望どおりに形成され得る。
したがって開示された技法は、低減されたエレクトロマイグレーションのために備えていて、なお選択的金属キャッピング処理に関連する有害な副作用を回避している。誘電体表面上では誘電体は、キャッピング後に絶縁性のままに留まっており、極めて薄い注入層は、誘電率に対して無視し得るほどの影響しか及ぼさない。
図6Aは、本発明の第3の実施形態によるGCIB注入を使用してキャッピングされた銅相互接続体の配線構成700を示す概略図である(限定のためではなく例として二つの銅ワイヤ層相互接続レベルを示している)。この概略図は、層の各々が従来の技法を使用して形成され得る第1の銅ワイヤ層702と第2の銅ワイヤ層704とこれら二つの銅層を接続する銅バイア構造体706とを支持する基板701を示す。基板701は典型的には、電気的相互接続を必要とする能動および/または受動要素を含む(おそらくはより低い相互接続レベルを含む)半導体基板である。両銅ワイヤ層702、704とバイア構造体706の側壁と底部は、従来の技法を使用して形成され得る障壁層712によって裏打ちされている。第1のレベル間誘電体層708と第2の誘電体層710は、銅ワイヤ間に電気的絶縁を与え、また従来の技法を使用して形成され得る。第1のレベル間誘電体層708は上面709を有し、第2のレベル間誘電体層710は上面711を有する。下記に更に詳細に説明されるように、通常のように堆積された各銅ワイヤ相互接続レベルでは、障壁層712は最初にレベル間誘電体層708、710の上面709、711をカバーする。本発明のこの実施形態ではこの後で説明されるGCIB処理は、上面709、711から障壁層712材料を除去するので、それは図6Aに示す完成された構造体のこれらの表面には現れない。注入されたキャッピングフィルム713、715を形成するために第1の銅ワイヤ層702の上面と第2の銅ワイヤ層704の上面は、GCIB処理によってキャッピングされる。注入された銅キャッピングフィルム713、715とこれらに隣接するレベル間誘電体層708、710はそれぞれ、改善された銅拡散障壁とバイアエッチング停止特性とを与えるためにそれぞれ誘電体障壁フィルム714、716によって更にキャッピングされる。誘電体障壁フィルム714、716は好適にはケイ素炭素窒化物であるが、窒化ケイ素または炭化ケイ素または他の適当な誘電体である可能性もあり、また通常どおりにPECVDを使用して堆積され得るが、好適にはGCIB堆積によって与えられる。
図6Bは、配線構成700を構成するプロセスにおける予備的段階700Bを示す。図示の段階では基板701上に相互接続レベルが形成されている。相互接続レベルは、基板上に堆積された第1のレベル間誘電体708からなる。第1のレベル間誘電体708にはトレンチとバイアが形成されていて障壁層712で裏打ちされている。これらのトレンチとバイアには、銅が堆積されている。この障壁層712は最初に、レベル間誘電体層708の上面709をカバーする。過度に堆積された銅は、障壁層712の材料の上に留まって、従来のCMPによって除去されている。更に、障壁層材料より遥かに高いレートで銅を優先的に除去するために選択された通常のCMP処理条件を使用することによって、例えば障壁材料と比較して銅を選択的に除去する高度に選択的なスラリーを使用することによって、銅は図示のように障壁層712の上面より僅かに下方に凹まされている。表面は、通常のプロセスを利用して洗浄されている。第1の銅ワイヤ層702と障壁層712の上面は、残留汚染物質703を持っているように図示されている。この段階とこれに続く各相互接続レベルの各段階の上面(単数または複数)には、前述のようなGCIB洗浄処理が適用され得る。
図6Cは、GCIB洗浄ステップに続く配線構成700を構成するプロセスの段階700Cを示す。第1の銅ワイヤ層702と障壁層712の上面は、汚染物質が洗浄除去されていてキャッピングステップのために準備されている。今度は、GCIBキャッピング処理が適用され得る。第1の銅ワイヤ層702の表面をキャッピングすることと、上面709の上に重なる障壁層712をエッチング除去することとを同時に行うために(好適にはその場)GCIBエッチング・注入キャッピング処理が使用される。GCIBエッチング・キャッピング処理は、銅表面への注入時にキャッピング材料を形成するが障壁層712材料をエッチングする反応性元素からなるGCIBを第1の銅ワイヤ層702と第1のレベル間誘電体層708の上面に照射するステップを含む。上面709の露出された障壁層712材料をエッチング除去するGCIB照射は、同時に第1の銅ワイヤ層702内にキャッピング種を注入してキャッピングフィルム713を形成する。このGCIBを形成する際には、SF、CF、CまたはNFを含むがこれらに限定されない元素、フッ素および/または硫黄を含むソースガスが使用される。これらのガスは、これらの純粋なガスを使用することによって、またはNと混合することによって、または例えばAr、Xeといった不活性ガスと混合することによって、注入のためのガスクラスタを形成するために使用され得る。このような注入は、例えばCuFといった銅キャッピングフィルムを形成する。好適には約10kVから約50kVの範囲内のビーム加速電位VAccが使用され、また約200sccmから約3000sccmの範囲内のノズルガス流が使用され得る。例えば障壁層をエッチングして同時に銅キャッピングフィルムを形成するための好適なプロセスは、700sccmという流量でNに10%NFのソースガス混合物を使用する。GCIBエッチング・注入処理は、障壁層材料のすべてが除去されて、第1のレベル間誘電体層708の比較的変わらない上面709という結果が得られ、またキャッピングフィルム713を注入された銅表面という結果が得られるまで進行する。このプロセスの大部分の間、上面709は障壁層712材料によってGCIBから遮蔽されているので上面709にはほとんど影響がない。
図6Dは、GCIBエッチング・キャッピングステップに続く配線構成700を構成するプロセスにおける段階700Dを示す。第1の銅ワイヤ層702の上面は、キャッピング層713によってキャッピングされており、障壁層712はエッチング除去されて、第1のレベル間誘電体層708の上面709を露出している。この構造体は、誘電体障壁フィルムの形成のために準備されている。さて障壁フィルム622を堆積するための前述と同じ方法を使用して、キャッピング層713の上と第1のレベル間誘電体層708の上面709の上に誘電体拡散障壁フィルム714を形成するためにGCIB処理が実行され得る。
図6Eは、誘電体拡散障壁フィルム714の形成に続く配線構成700を構成するプロセスにおける段階700Eを示す。
図6Fは、GCIBキャッピングされた(誘電体障壁フィルムを含む)第1の相互接続レベルの上に第2の相互接続レベルの配線構成700を構成するプロセスにおける段階700Fを示す。この段階では障壁フィルム714上に第2の相互接続レベルが形成されている。第2の相互接続レベルは、障壁フィルム714上に堆積された第2のレベル間誘電体710からなる。この第2のレベル間誘電体710にはトレンチとバイアが形成されていて障壁層712で裏打ちされている。これらのトレンチとバイアには、従来の技法を使用して銅が堆積されている。障壁層712は最初に、レベル間誘電体層710の上面をカバーする。過度に堆積された銅は、障壁層712の材料の上に留まって、従来のCMPによって除去されている。更に、障壁層材料より遥かに高いレートで銅を優先的に除去するために選択された通常のCMP処理条件を使用することによって、例えば障壁材料と比較して銅を選択的に除去する高度に選択的なスラリーを使用することによって、銅は図示のように障壁層712の上面より僅かに下方に凹まされている。この表面は、通常のプロセスを利用して洗浄されている。第2の銅ワイヤ層704と障壁層712の上面は、残留汚染物質717を持っているように図示されている。第2の相互接続レベル(もしあれば)とこれに続く更に高い相互接続レベル(もしあれば)においては配線構成700における第1の相互接続レベルのために前述のようにGCIB洗浄とGCIB(エッチングおよび注入キャッピング)とGCIB堆積のステップが適用され、(例えば)キャッピングフィルム715と障壁フィルム716とを形成する。したがって図6Aの2相互接続レベル構造体またはマルチレベル相互接続構造体は、所望どおりに形成され得る。
上記に配線構成700で説明されたCMP過堆積銅除去に続いて、もし露出された障壁層材料が望ましくない空間的に不均一な厚さを持っていれば、GCIBエッチングを補正的な仕方で空間的に不均一に行うことは任意選択的であるが好適である。それから通常の金属フィルムマップ作成装置(例えば米国ニュージャージー州07836、フランダース、One Rudolph RoadのRudolph Technologies,Inc.から商業的に入手可能なRudolph Technologies METAPULSE−II金属フィルム測定システム)を使用して加工物ウェーハの表面に亘って障壁層厚さのマップを作成することによって、前述の障壁層エッチングを補正的なエッチングになるように行い、障壁層材料が厚いところを多くエッチングし、障壁層材料が薄いところは少なくエッチングし、それによって、そうでなければ障壁層材料の最初の薄さのために過剰エッチングされるであろう領域における下層レベル間誘電体の除去を最小にするという結果を得ることは可能である。この空間的に補正的なエッチングは、その内容が引例によってここに組み込まれているAllen等への米国特許第6,537,606号(’606特許)で教えられている技法と組み合わせて、測定された障壁層厚さのマップを使用することによって達成される。この’606特許に開示された技法による測定マップから機能する自動補正エッチング能力を備えたEpion Corporation nFusionTM GCIB Processing System(マサチューセッツ州、BillericaのEpion Corporation)といったガスクラスタイオンビーム処理装置は、商業的に入手可能である。
本発明のこの実施形態では、障壁層エッチングと銅キャッピングの両者は前述のように両者を同時に実行するためにGCIB処理を使用する単一ステップで実行されることが好ましい。また各ステップで異なる特性を有するGCIBを使用して別々のGCIB処理ステップとして障壁層エッチングと銅キャッピング処理とを実行することも可能であり、事情によっては有用である可能性もある。このような場合、図6Cに示す段階に達したとき、レベル間誘電体層708の上面709を最初に覆っている障壁層材料は、GCIBキャッピング処理に先立ってGCIBエッチング処理によって除去される。GCIBエッチングステップに続いて構造体は、図6Gに示すように現れ、本発明の種々の実施形態で前に説明されたGCIBキャッピング処理を使用して実行される銅ワイヤ層およびレベル間誘電体層のキャッピングのために準備されている。各相互接続レベルにおいて好適なエッチングステップは、洗浄すべき表面にSF、CF、CまたはNFを含むがこれらに限定されないガスといった元素フッ素を含むソースガス(単数または複数)から形成されるGCIBクラスタイオンを照射することである。これらのガスは、これらの純粋なガスを使用することによって、またはNと混合することによって、または例えばAr、Xeといった不活性ガスと混合することによってエッチングのためのガスクラスタイオンを形成するために使用され得る。好適には約10kVから約50kVの範囲内のビーム加速電位VAccが使用され、また約200sccmから約3000sccmの範囲内のノズルガス流が使用され得る。例えば障壁層材料をエッチングするための好適なプロセスは、700sccmという流量でNに10%NFのソースガス混合物を使用する。必要とされるときにはGCIBエッチングステップは、障壁層材料の厚さの初期の空間的不均一さを補正するために、前述のような補正エッチングステップであり得る。
本発明は種々の実施形態に関して説明されてきたが、本発明が本発明の精神内で幅広い種々の更なるまた他の実施形態も可能であることは理解されるべきである。例えば、本発明が二重ダマシン集積構成に限定されず、また他の銅相互接続構成に等しく適用可能であることは当業者(本技術に精通する人々)にとって明白であろう。更に、本発明は種々の化合物(例えばSi、SiC、SiCN、BN、CuF、TiO、CuCO、B、Ti、窒化ケイ素、炭化ケイ素、ケイ素炭素窒化物、窒化ホウ素、フッ化銅、二酸化チタン、炭酸銅、ホウ素、チタンおよびホウケイ酸ガラスといった)からなる注入および堆積フィルムまたは層に関して説明されてきたが、本発明を実施する際に形成されるフィルムおよび層の多くが段階的であること、また最も純粋な形においても、これらが化学式または化学名によって示唆される正確な化学量論的なものを持たず、むしろ近似的にそれらの化学両論的なものを持っており、また更に類似の用途で使用されるこのようなフィルムに関しては正常であるように水素および/または他の不純物を含み得ることは、当業者によって理解されるであろう。
背景技術の窒化ケイ素キャップされた銅相互接続配線構成を示す概略図である。 背景技術の選択的金属キャップされた銅相互接続配線構成を示す概略図である。 背景技術のGCIB処理装置の基本的要素を示す概略図である。 本発明の第1の実施形態によるGCIB注入による銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第1の実施形態によるGCIB注入による銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第1の実施形態によるGCIB注入による銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第1の実施形態によるGCIB注入による銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第1の実施形態によるGCIB注入による銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第2の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。 本発明の第3の実施形態によるGCIB注入および堆積を使用することによる銅相互接続キャッピングのプロセスを示す概略図である。

Claims (59)

  1. 一つ以上の銅相互接続表面と一つ以上の誘電体表面とを含む構造体について、
    減圧されたチャンバ内に前記構造体を配置するステップと、
    前記減圧されたチャンバ内で加速されたキャッピング(以下、「保護」あるいは「被覆」を意味するものとする。)GCIB(以下、「ガスクラスターイオンビーム」を意味するものとする。)を形成するステップと、
    前記加速されたキャッピングGCIBが方向付けられる前記一つ以上の表面上に少なくとも一つのキャッピング構造体を形成するように、前記一つ以上の銅相互接続表面と前記一つ以上の誘電体表面のうちの少なくとも一つに前記加速されたキャッピングGCIBを方向付けるステップと、を備える、キャッピング構造体を形成する方法。
  2. 前記キャッピングGCIB形成および方向付けステップに先立って、前記減圧されたチャンバ内で加速された洗浄GCIBを形成するステップと、
    前記加速された洗浄GCIBが方向付けられる前記一つ以上の表面を洗浄するように前記加速された洗浄GCIBを前記一つ以上の銅相互接続表面と前記一つ以上の誘電体表面とに方向付けるステップとを更に備える、請求項1に記載の方法。
  3. 加速された洗浄GCIBを形成するステップはAr、N、NHおよびHからなるグループから選択される少なくとも一つのガスの分子からガスクラスタイオンを生成するステップを更に備える、請求項2に記載の方法。
  4. 前記加速された洗浄GCIBを形成するステップは約3kVから約50kVの範囲内の加速電位によって洗浄GCIBガスクラスタイオンを加速するステップを更に備える、請求項2に記載の方法。
  5. 前記加速された洗浄GCIBを方向付けるステップは前記一つ以上の銅相互接続表面と前記一つ以上の誘電体表面とのうちの少なくとも一つに送達される約5×1013から約5×1016ガスクラスタイオン(ions)/cmの範囲内の照射量という結果をもたらす、請求項2に記載の方法。
  6. 前記一つ以上の誘電体表面はレベル間誘電体層の一部分を含む、請求項1に記載の方法。
  7. 前記一つ以上の誘電体表面は多孔性誘電体層の少なくとも一部分の上に重なるハードマスク層の一部分を含む、請求項1に記載の方法。
  8. 前記加速されたキャッピングGCIBを形成するステップは銅表面に注入されるときに電気絶縁性材料を形成するように反応し、誘電体表面に注入されるときに電気絶縁性材料を形成するように反応する元素からガスクラスタイオンを生成するステップを更に備えており、
    形成された前記少なくとも一つのキャッピング構造体は電気絶縁性キャッピング構造体である、請求項1に記載の方法。
  9. 前記元素は、C、N、O、Si、BおよびGeからなるグループから選択される少なくとも一つの元素からなる、請求項8に記載の方法。
  10. 前記加速されたキャッピングGCIBを形成するステップは不活性ガスの分子からガスクラスタイオンを生成するステップを備える、請求項8に記載の方法。
  11. 前記加速されたキャッピングGCIBを形成するステップは約3kVから約50kVの範囲内の加速電位によって、生成されたガスクラスタイオンを加速するステップを更に備える、請求項8に記載の方法。
  12. 前記加速されたキャッピングGCIBを方向付ける(指向させる)ステップは前記一つ以上の銅相互接続表面と前記一つ以上の誘電体表面とのうちの少なくとも一つに送達される約1×1014から約1×1017ガスクラスタイオン(ions)/cmの範囲内の照射量という結果をもたらす、請求項8に記載の方法。
  13. 形成された前記少なくとも一つのキャッピング構造体の上に重なる少なくとも一つの絶縁層を形成するステップを更に含む、請求項8に記載の方法。
  14. 少なくとも一つの絶縁層を形成するステップはPECVD(プラズマ加速化学気相成長法)堆積プロセスを利用する、請求項13に記載の方法。
  15. 形成された前記少なくとも一つの絶縁層は炭化ケイ素、窒化ケイ素およびケイ素炭素窒化物からなるグループから選択される一つの材料からなる、請求項13に記載の方法。
  16. 前記加速されたキャッピングGCIBを形成するステップは銅表面に注入されるときに導電性材料を形成するように反応し、誘電体表面に注入されるときに電気絶縁性材料を形成するように反応する元素からガスクラスタイオンを生成するステップを更に備えており、
    形成された前記少なくとも一つのキャッピング構造体は前記銅相互接続部の被照射領域上の導電性キャッピング構造体と誘電体部の被照射領域上の電気絶縁性キャッピング構造体とのうちの少なくとも一つを備える、請求項1に記載の方法。
  17. 前記元素はBとTiからなるグループからの少なくとも一つの元素からなる、請求項16に記載の方法。
  18. 前記ガスクラスタイオンは不活性ガスの分子を更に含む、請求項17に記載の方法。
  19. 形成された前記少なくとも一つのキャッピング構造体の上に重なる少なくとも一つの誘電体拡散障壁フィルムを形成するステップを更に備える、請求項16に記載の方法。
  20. 少なくとも一つの誘電体拡散障壁フィルムを形成するステップはPECVD堆積プロセスを利用する、請求項19に記載の方法。
  21. 少なくとも一つの誘電体拡散障壁フィルムを形成するステップはGCIB堆積プロセスを利用する、請求項19に記載の方法。
  22. 形成された前記少なくとも一つの誘電体拡散障壁フィルムは炭化ケイ素、窒化ケイ素、およびケイ素炭素窒化物からなるグループから選択される材料からなる、請求項19に記載の方法。
  23. 前記加速されたキャッピングGCIBを形成するステップは約3kVから約50kVの範囲内の加速電位によって、生成されたガスクラスタイオンを加速するステップを更に備える、請求項16に記載の方法。
  24. 前記加速されたキャッピングGCIBを方向付けるステップは前記一つ以上の銅相互接続表面と前記一つ以上の誘電体表面とのうちの少なくとも一つに送達される約1×1014から約1×1017ガスクラスタイオン(ions)/cmの範囲内の照射量という結果をもたらす、請求項16に記載の方法。
  25. 一つ以上の銅相互接続表面と誘電体材料をカバーする一つ以上の障壁層表面とを含む構造体において、
    減圧されたチャンバ内に前記構造体を配置するステップと、
    前記減圧されたチャンバ内で加速されたエッチング・キャッピングGCIBを形成するステップと、
    前記エッチング・キャッピングGCIBが方向付けられる前記一つ以上の銅相互接続表面上にキャッピング構造体を形成するように、前記一つ以上の銅相互接続表面と前記一つ以上の障壁層表面とのうちの少なくとも一つに前記加速されたエッチング・キャッピングGCIBを方向付けて、下の前記誘電体材料を露出するために前記エッチング・キャッピングGCIBが方向付けられる前記少なくとも一つの障壁層表面をエッチング除去するステップと、を備える、キャッピング構造体を形成する方法。
  26. 各々が基板表面上の位置の関数として一つ以上の障壁層表面の一つに対応する前記一つ以上の障壁層の厚さを測定するステップと、
    前記基板表面上の前記一つ以上の障壁層厚さのマップを生成するステップと、を更に備えており、
    前記方向付けるステップは前記露出された誘電体材料の最小量を除去するように前記一つ以上の測定された障壁層厚さを補正するステップを更に備える、請求項25に記載の方法。
  27. 前記加速されたエッチング・キャッピングGCIBを形成するステップはFとSからなるグループから選択される少なくとも一つの元素を含むガスからガスクラスタイオンを生成するステップを更に備える、請求項25に記載の方法。
  28. 前記加速されたエッチング・キャッピングGCIBを形成するステップはF、S、Ar、XeおよびNからなるグループから選択される少なくとも一つの元素を含むガスからガスクラスタイオンを生成するステップを更に備える、請求項25に記載の方法。
  29. 前記加速されたエッチング・キャッピングGCIBを形成するステップは約200sccmから約3000sccmのノズルガス流量で流れるソースガスからガスクラスタイオンを生成するステップと、
    約10kVから約50kVの範囲内の加速電位によってガスクラスタイオンを加速するステップと、を備える、請求項25に記載の方法。
  30. 前記エッチング・キャッピングGCIBを形成および方向付けするステップに先立って、前記減圧されたチャンバ内で加速された洗浄GCIBを形成するステップと、
    前記加速された洗浄GCIBが方向付けられる前記一つ以上の表面を洗浄するように前記加速された洗浄GCIBを前記一つ以上の銅相互接続表面と前記一つ以上の障壁層表面とのうちの少なくとも一つに方向付けるステップとを更に備える、請求項25に記載の方法。
  31. 前記加速された洗浄GCIBを形成するステップはAr、N、NHおよびHからなるグループから選択される少なくとも一つのガスを含む一つ以上のガスからガスクラスタイオンを生成するステップを備える、請求項30に記載の方法。
  32. 前記加速された洗浄GCIBを形成するステップは約3kVから約50kVの範囲内の加速電位によって、前記生成されタガスクラスタイオンを加速するステップを更に備える、請求項31に記載の方法。
  33. 前記加速された洗浄GCIBを方向付けるステップは前記一つ以上の銅相互接続表面と前記一つ以上の障壁層表面とのうちの少なくとも一つに送達される約5×1013から約5×1016ガスクラスタイオン(ions)/cmの範囲内の照射量という結果をもたらす、請求項30に記載の方法。
  34. 一つ以上の銅相互接続表面と誘電体材料をカバーする一つ以上の障壁層表面とを有する構造体について、
    減圧されたチャンバ内に前記構造体を配置するステップと、
    前記減圧されたチャンバ内で加速されたエッチングGCIBを形成するステップと、
    下の前記誘電体材料を露出するために前記エッチングGCIBが方向付けられる前記一つ以上の障壁層表面をエッチング除去するように前記加速されたエッチングGCIBを前記一つ以上の障壁層表面に方向付けるステップと、
    前記減圧されたチャンバ内に加速されたキャッピングGCIBを形成するステップと、
    その上に一つ以上のキャッピング構造体を形成するように前記加速されたキャッピングGCIBを前記一つ以上の銅相互接続表面に方向付けるステップと、を備える、キャッピング構造体を形成する方法。
  35. 各々が基板表面上の位置の関数として一つ以上の障壁層表面の一つに関連する前記一つ以上の障壁層の厚さを測定するステップと、
    前記基板表面上の障壁層厚さのマップを生成するステップと、を更に備え、
    前記加速されたエッチングGCIBを方向付けるステップは前記露出された誘電体材料の最小量を除去するように前記一つ以上の測定された障壁層厚さを補正するステップを更に含む、請求項34に記載の方法。
  36. 前記加速されたキャッピングGCIBはC、N、O、Si、B、Ge、Ti、SおよびFからなるグループから選択される少なくとも一つの元素からなる、請求項34に記載の方法。
  37. 前記加速されたキャッピングGCIBはC、N、O、Si、B、GeおよびTiを含むグループから選択される少なくとも一つの元素からなる、請求項34に記載の方法。
  38. 前記加速されたキャッピングGCIBガスクラスタイオンはArおよびXeからなるグループから選択される少なくとも一つの元素を更に含む、請求項36に記載の方法。
  39. 前記加速されたキャッピングGCIBガスクラスタイオンはArおよびXeからなるグループから選択される少なくとも一つの元素を更に含む、請求項37に記載の方法。
  40. 前記加速されたキャッピングGCIBを形成するステップは約3kVから約50kVの範囲内の加速電位によってキャッピングGCIBガスクラスタイオンを加速するステップを備えており、
    前記加速されたキャッピングGCIBを方向付けるステップは前記一つ以上の銅相互接続表面の少なくとも一つに送達される約1×1014から約1×1017ガスクラスタイオン(ions)/cmの範囲内の照射量という結果をもたらす、請求項34に記載の方法。
  41. 前記形成および方向付けステップに先立って、前記減圧されたチャンバ内で加速された洗浄GCIBを形成するステップと、
    前記加速された洗浄GCIBが方向付けられる前記少なくとも一つの表面を洗浄するように前記加速された洗浄GCIBを前記一つ以上の銅相互接続表面と前記一つ以上の障壁層表面とに方向付けるステップとを更に備える、請求項34に記載の方法。
  42. 前記加速された洗浄GCIBはAr、N、NHおよびHからなるグループ内の少なくとも一つのガス分子からなる、請求項41に記載の方法。
  43. 前記加速された洗浄GCIBを形成するステップは約3kVから約50kVの範囲内の加速電位によって洗浄GCIBガスクラスタイオンを加速するステップを備えており、
    前記洗浄GCIBを方向付けるステップは前記加速された洗浄GCIBが方向付けられる前記一つ以上の表面を洗浄するように前記一つ以上の銅相互接続表面と前記一つ以上の障壁層表面とのうちの少なくとも一つに送達される約5×1013から約5×1016ガスクラスタイオン(ions)/cmの照射量という結果をもたらす、請求項41に記載の方法。
  44. 前記加速されたエッチングGCIBを形成するステップは約200sccmから約3000sccmのノズルガス流量で流れるソースガスからガスクラスタイオンを生成するステップと、
    約10kVから約50kVの範囲内の加速電位によって前記ガスクラスタイオンを加速するステップと、を備える、請求項34に記載の方法。
  45. その表面にGCIB注入されたキャッピング構造体を備える銅相互接続体。
  46. 前記キャッピング構造体は表面の上の薄膜である、請求項45に記載の銅相互接続体。
  47. 前記薄膜は導電性である、請求項46に記載の銅相互接続体。
  48. 前記薄膜は誘電体フィルムである、請求項46に記載の銅相互接続体。
  49. 前記薄膜はC、N、O、Si、B、Ge、Ti、FおよびSからなるグループから選択される少なくとも一つの元素からなる、請求項46に記載の銅相互接続体。
  50. 前記薄膜は酸化物、炭化物および窒化物からなるグループから選択される少なくとも一つの材料からなる、請求項46に記載の銅相互接続体。
  51. 前記薄膜はSi、SiCN、BN、CuF、TiO、CuCO、B、Tiおよびホウケイ酸ガラスからなるグループから選択される材料からなる、請求項46に記載の銅相互接続体。
  52. 上に重なる誘電体拡散障壁フィルムを更に備える、請求項45に記載の銅相互接続体。
  53. 前記誘電体拡散障壁フィルムはSi、SiCNおよびSiCからなるグループから選択される材料からなる、請求項52に記載の銅相互接続体。
  54. その表面上にGCIB注入薄膜キャッピング構造体を含むレベル間誘電体層。
  55. 前記薄膜はC、N、O、Si、B、Ge、Ti、FおよびSからなるグループから選択される少なくとも一つの元素からなる、請求項54に記載のレベル間誘電体層。
  56. その表面上にGCIB注入薄膜キャッピング構造体を含むハードマスク層。
  57. 前記薄膜はBおよびTiからなるグループから選択される一つ以上の元素からなる、請求項56に記載のハードマスク層。
  58. Si、SiCNおよびSiCからなるグループから選択される材料からなる、上に重なる誘電体拡散障壁フィルムを更に備える、請求項54に記載のレベル間誘電体層。
  59. Si、SiCNおよびSiCからなるグループから選択される材料からなる、上に重なる誘電体拡散障壁フィルムを更に備える、請求項56に記載のハードマスク層。
JP2007540161A 2004-11-08 2005-11-08 銅相互接続配線およびこれを形成する方法 Pending JP2008519458A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US62583104P 2004-11-08 2004-11-08
PCT/US2005/040414 WO2006052958A2 (en) 2004-11-08 2005-11-08 Copper interconnect wiring and method of forming thereof

Publications (1)

Publication Number Publication Date
JP2008519458A true JP2008519458A (ja) 2008-06-05

Family

ID=36337136

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007540161A Pending JP2008519458A (ja) 2004-11-08 2005-11-08 銅相互接続配線およびこれを形成する方法

Country Status (6)

Country Link
US (1) US7291558B2 (ja)
EP (1) EP1815507A4 (ja)
JP (1) JP2008519458A (ja)
KR (1) KR101184529B1 (ja)
CN (1) CN100472739C (ja)
WO (1) WO2006052958A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010541247A (ja) * 2007-09-27 2010-12-24 ティーイーエル エピオン インコーポレイテッド 半導体デバイスにおける電気漏れ特性の改善及びエレクトロマイグレーションの抑制を行う方法
JP2012517120A (ja) * 2009-02-04 2012-07-26 ティーイーエル エピオン インコーポレイテッド 多重のノズルのガスクラスターイオンビームのシステム及び方法
JP2017520912A (ja) * 2014-05-21 2017-07-27 ソニー株式会社 メモリセルおよびソース線を酸化させずにマスキング層のドライエッチングを行う方法
KR20170129475A (ko) * 2016-05-17 2017-11-27 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
JP4783561B2 (ja) * 2004-09-27 2011-09-28 株式会社アルバック 銅配線の形成方法
US7799683B2 (en) * 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
JP4963349B2 (ja) * 2005-01-14 2012-06-27 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7405152B2 (en) * 2005-01-31 2008-07-29 International Business Machines Corporation Reducing wire erosion during damascene processing
US7504135B2 (en) * 2005-02-03 2009-03-17 Samsung Electronics Co., Ltd Method of fabricating a manganese diffusion barrier
US7915735B2 (en) 2005-08-05 2011-03-29 Micron Technology, Inc. Selective metal deposition over dielectric layers
US7709344B2 (en) * 2005-11-22 2010-05-04 International Business Machines Corporation Integrated circuit fabrication process using gas cluster ion beam etching
US20100207041A1 (en) * 2006-10-30 2010-08-19 Japan Aviatiton Electronics Industry Limited Method of Smoothing Solid Surface with Gas Cluster Ion Beam and Solid Surface Smoothing Apparatus
WO2008065125A1 (en) * 2006-11-29 2008-06-05 Nxp B.V. Fabrication of a diffusion barrier cap on copper containing conductive elements
US20100059889A1 (en) * 2006-12-20 2010-03-11 Nxp, B.V. Adhesion of diffusion barrier on copper-containing interconnect element
US7977791B2 (en) * 2007-07-09 2011-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Selective formation of boron-containing metal cap pre-layer
JP5006134B2 (ja) * 2007-08-09 2012-08-22 東京エレクトロン株式会社 ドライクリーニング方法
US8192805B2 (en) * 2007-09-27 2012-06-05 Tel Epion Inc. Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
US8372489B2 (en) * 2007-09-28 2013-02-12 Tel Epion Inc. Method for directional deposition using a gas cluster ion beam
US7754588B2 (en) 2007-09-28 2010-07-13 Tel Epion Inc. Method to improve a copper/dielectric interface in semiconductor devices
US7772110B2 (en) * 2007-09-28 2010-08-10 Tokyo Electron Limited Electrical contacts for integrated circuits and methods of forming using gas cluster ion beam processing
US7794798B2 (en) * 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US20090233004A1 (en) * 2008-03-17 2009-09-17 Tel Epion Inc. Method and system for depositing silicon carbide film using a gas cluster ion beam
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US7776743B2 (en) * 2008-07-30 2010-08-17 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8202435B2 (en) * 2008-08-01 2012-06-19 Tel Epion Inc. Method for selectively etching areas of a substrate using a gas cluster ion beam
US7867891B2 (en) * 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
TWI423389B (zh) * 2008-12-18 2014-01-11 Tel Epion Inc 用以改善半導體裝置之漏電性能及將半導體裝置中之電遷移減至最小的方法
US8226835B2 (en) * 2009-03-06 2012-07-24 Tel Epion Inc. Ultra-thin film formation using gas cluster ion beam processing
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
US20110084214A1 (en) * 2009-10-08 2011-04-14 Tel Epion Inc. Gas cluster ion beam processing method for preparing an isolation layer in non-planar gate structures
US8048788B2 (en) * 2009-10-08 2011-11-01 Tel Epion Inc. Method for treating non-planar structures using gas cluster ion beam processing
US8237136B2 (en) * 2009-10-08 2012-08-07 Tel Epion Inc. Method and system for tilting a substrate during gas cluster ion beam processing
WO2011050073A1 (en) 2009-10-23 2011-04-28 President And Fellows Of Harvard College Self-aligned barrier and capping layers for interconnects
US20110127673A1 (en) * 2009-12-01 2011-06-02 International Business Machines Corporation Wiring structure and method
US8173980B2 (en) 2010-05-05 2012-05-08 Tel Epion Inc. Gas cluster ion beam system with cleaning apparatus
US8338806B2 (en) * 2010-05-05 2012-12-25 Tel Epion Inc. Gas cluster ion beam system with rapid gas switching apparatus
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
CN102487038B (zh) * 2010-12-03 2013-12-04 中芯国际集成电路制造(北京)有限公司 铜互连结构及其形成方法
JP5417367B2 (ja) * 2011-03-22 2014-02-12 株式会社東芝 磁気メモリの製造方法
US8569888B2 (en) 2011-05-24 2013-10-29 International Business Machines Corporation Wiring structure and method of forming the structure
US8754508B2 (en) * 2012-08-29 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Structure to increase resistance to electromigration
CN104299958B (zh) * 2013-07-16 2018-11-16 中芯国际集成电路制造(上海)有限公司 互连结构及互连结构的形成方法
US9123879B2 (en) 2013-09-09 2015-09-01 Masahiko Nakayama Magnetoresistive element and method of manufacturing the same
US9385304B2 (en) 2013-09-10 2016-07-05 Kabushiki Kaisha Toshiba Magnetic memory and method of manufacturing the same
US9231196B2 (en) 2013-09-10 2016-01-05 Kuniaki SUGIURA Magnetoresistive element and method of manufacturing the same
US9368717B2 (en) 2013-09-10 2016-06-14 Kabushiki Kaisha Toshiba Magnetoresistive element and method for manufacturing the same
CN103839604A (zh) * 2014-02-26 2014-06-04 京东方科技集团股份有限公司 导电膜及其制备方法、阵列基板
US9540725B2 (en) 2014-05-14 2017-01-10 Tel Epion Inc. Method and apparatus for beam deflection in a gas cluster ion beam system
CN109801897B (zh) * 2017-11-16 2021-03-16 长鑫存储技术有限公司 芯片堆栈立体封装结构及其制造方法
US10651083B2 (en) 2018-03-05 2020-05-12 International Business Machines Corporation Graded interconnect cap
US11069526B2 (en) * 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US11776901B2 (en) * 2021-03-10 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Via landing on first and second barrier layers to reduce cleaning time of conductive structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275545A (ja) * 1993-03-22 1994-09-30 Res Dev Corp Of Japan ガスクラスターイオン援用による化合物薄膜の 形成方法
JPH09143700A (ja) * 1995-05-19 1997-06-03 Kagaku Gijutsu Shinko Jigyodan Kk ガスクラスターの形成方法と薄膜形成方法
JPH10189590A (ja) * 1996-12-24 1998-07-21 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11330033A (ja) * 1998-05-12 1999-11-30 Fraser Scient Inc エネルギーを有するクラスタ・ビームを使用して汚染表面を洗浄する方法および装置
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
WO2004044954A2 (en) * 2002-11-08 2004-05-27 Epion Corporation Gcib processing of integrated circuit interconnect structures

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3702427A (en) * 1971-02-22 1972-11-07 Fairchild Camera Instr Co Electromigration resistant metallization for integrated circuits, structure and process
US5156997A (en) * 1991-02-11 1992-10-20 Microelectronics And Computer Technology Corporation Method of making semiconductor bonding bumps using metal cluster ion deposition
US5488013A (en) * 1993-12-20 1996-01-30 International Business Machines Corporation Method of forming transverse diffusion barrier interconnect structure
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
AU7096696A (en) * 1995-11-28 1997-06-19 Hitachi Limited Semiconductor device, process for producing the same, and packaged substrate
US6154188A (en) * 1997-04-30 2000-11-28 Candescent Technologies Corporation Integrated metallization for displays
US6448708B1 (en) * 1997-09-17 2002-09-10 Candescent Intellectual Property Services, Inc. Dual-layer metal for flat panel display
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US5990493A (en) * 1998-05-14 1999-11-23 Advanced Micro Devices, Inc. Diamond etch stop rendered conductive by a gas cluster ion beam implant of titanium
US6271595B1 (en) * 1999-01-14 2001-08-07 International Business Machines Corporation Method for improving adhesion to copper
US6459153B1 (en) * 1999-05-12 2002-10-01 Koninklijke Philips Electronics N.V. Compositions for improving interconnect metallization performance in integrated circuits
IL133453A0 (en) * 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6331227B1 (en) * 1999-12-14 2001-12-18 Epion Corporation Enhanced etching/smoothing of dielectric surfaces
DE60140749D1 (de) * 2000-07-10 2010-01-21 Tel Epion Inc Ung
US6680514B1 (en) * 2000-12-20 2004-01-20 International Business Machines Corporation Contact capping local interconnect
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
EP1442153A4 (en) * 2001-10-11 2007-05-02 Epion Corp GCIB PROCESSING FOR IMPROVEMENT OF CONNECTING CONTACTS AND IMPROVED CONNECTION CONTACT
JP2003203940A (ja) * 2001-10-25 2003-07-18 Seiko Epson Corp 半導体チップ及び配線基板並びにこれらの製造方法、半導体ウエハ、半導体装置、回路基板並びに電子機器
US20040229452A1 (en) * 2003-05-15 2004-11-18 Johnston Steven W. Densifying a relatively porous material
JP3819381B2 (ja) * 2003-07-07 2006-09-06 株式会社半導体理工学研究センター 多層配線構造の製造方法
CN100437912C (zh) * 2003-08-25 2008-11-26 松下电器产业株式会社 杂质导入层的形成方法和器件的制造方法
US7253098B2 (en) * 2004-08-27 2007-08-07 International Business Machines Corporation Maintaining uniform CMP hard mask thickness
US7405152B2 (en) * 2005-01-31 2008-07-29 International Business Machines Corporation Reducing wire erosion during damascene processing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06275545A (ja) * 1993-03-22 1994-09-30 Res Dev Corp Of Japan ガスクラスターイオン援用による化合物薄膜の 形成方法
JPH09143700A (ja) * 1995-05-19 1997-06-03 Kagaku Gijutsu Shinko Jigyodan Kk ガスクラスターの形成方法と薄膜形成方法
JPH10189590A (ja) * 1996-12-24 1998-07-21 Mitsubishi Electric Corp 半導体装置及びその製造方法
JPH11330033A (ja) * 1998-05-12 1999-11-30 Fraser Scient Inc エネルギーを有するクラスタ・ビームを使用して汚染表面を洗浄する方法および装置
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
WO2004044954A2 (en) * 2002-11-08 2004-05-27 Epion Corporation Gcib processing of integrated circuit interconnect structures

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010541247A (ja) * 2007-09-27 2010-12-24 ティーイーエル エピオン インコーポレイテッド 半導体デバイスにおける電気漏れ特性の改善及びエレクトロマイグレーションの抑制を行う方法
JP2012517120A (ja) * 2009-02-04 2012-07-26 ティーイーエル エピオン インコーポレイテッド 多重のノズルのガスクラスターイオンビームのシステム及び方法
JP2017520912A (ja) * 2014-05-21 2017-07-27 ソニー株式会社 メモリセルおよびソース線を酸化させずにマスキング層のドライエッチングを行う方法
KR20170129475A (ko) * 2016-05-17 2017-11-27 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법

Also Published As

Publication number Publication date
CN100472739C (zh) 2009-03-25
KR101184529B1 (ko) 2012-09-20
WO2006052958A3 (en) 2007-04-12
US20060105570A1 (en) 2006-05-18
EP1815507A4 (en) 2010-10-06
KR20070085814A (ko) 2007-08-27
CN101107699A (zh) 2008-01-16
WO2006052958A2 (en) 2006-05-18
US7291558B2 (en) 2007-11-06
EP1815507A2 (en) 2007-08-08

Similar Documents

Publication Publication Date Title
KR101184529B1 (ko) 캡핑 구조의 형성 방법, 구리 인터커넥트, 레벨간 유전체층, 및 하드마스크층
US7838423B2 (en) Method of forming capping structures on one or more material layer surfaces
US20070184656A1 (en) GCIB Cluster Tool Apparatus and Method of Operation
US7776743B2 (en) Method of forming semiconductor devices containing metal cap layers
US6812147B2 (en) GCIB processing to improve interconnection vias and improved interconnection via
US7759251B2 (en) Dual damascene integration structure and method for forming improved dual damascene integration structure
JP5539883B2 (ja) 半導体デバイスにおける電気漏れ特性の改善及びエレクトロマイグレーションの抑制を行う方法
US7754588B2 (en) Method to improve a copper/dielectric interface in semiconductor devices
US7871929B2 (en) Method of forming semiconductor devices containing metal cap layers
US8192805B2 (en) Method to improve electrical leakage performance and to minimize electromigration in semiconductor devices
JP2009278125A (ja) 銅相互接続配線、ならびにそれを構成するための方法および機器
TWI423389B (zh) 用以改善半導體裝置之漏電性能及將半導體裝置中之電遷移減至最小的方法
CN112151442A (zh) 一种铜互连布线层上覆盖结构的方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081107

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20081107

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20081107

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120113

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120419

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120524

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120531

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130305