JP2011524078A - 銅表面上への選択的コバルト堆積 - Google Patents

銅表面上への選択的コバルト堆積 Download PDF

Info

Publication number
JP2011524078A
JP2011524078A JP2011507595A JP2011507595A JP2011524078A JP 2011524078 A JP2011524078 A JP 2011524078A JP 2011507595 A JP2011507595 A JP 2011507595A JP 2011507595 A JP2011507595 A JP 2011507595A JP 2011524078 A JP2011524078 A JP 2011524078A
Authority
JP
Japan
Prior art keywords
cobalt
substrate
during
layer
copper surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011507595A
Other languages
English (en)
Other versions
JP6146948B2 (ja
Inventor
サン−ホ ユ,
ケヴィン モラエス,
セシャドリ ガングリ,
フア チュン,
シー−エング ファン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011524078A publication Critical patent/JP2011524078A/ja
Application granted granted Critical
Publication of JP6146948B2 publication Critical patent/JP6146948B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本発明の実施形態は、露出誘電表面を覆う銅表面上にコバルト層を選択的に形成するプロセスを提供する。一実施形態では、前処理プロセスの間に金属銅表面を形成している間は処理チャンバー内の基板の汚染された銅表面を還元剤にさらすステップと、気相堆積プロセスの間に基板上の誘電表面を露出したままにしながら金属銅表面を覆ってまたは上にコバルトキャッピング層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、コバルトキャッピング層および誘電表面を覆ってまたは上に誘電障壁層を堆積させるステップとを包含する、基板上の銅表面をキャッピングするための方法が、提供される。別の実施形態では、堆積−処理サイクルは、気相堆積プロセスを実行した後に後処理プロセスを実行するステップを包含し、その堆積−処理サイクルは、複数のコバルトキャッピング層を形成するために繰り返されてもよい。

Description

本発明の実施形態は一般に、半導体デバイスを製造するための金属化プロセスに関し、より詳しくは、実施形態は、基板上にコバルト材料を堆積させることによって銅のデウェッティングを防止することに関する。
銅は、半導体デバイス製造に極めて重要である多層金属化プロセスでの使用のための現在のえり抜きの金属である。製造プロセスを推進する多層相互接続は、コンタクト、ビア、配線、および他の特徴を包含する高アスペクト比開口の平坦化を必要とする。空洞を生じさせるまたは特徴形状を変形させることなく特徴を埋めることは、特徴がより高いアスペクト比を有するときほどより困難である。相互接続の信頼できる形成もまた、製造業者が回路密度および品質を向上させようと努力するほどより困難である。
銅の使用が、その相対的な低コストおよび処理特性のために市場に浸透したので、半導体製造業者は、銅の拡散およびデウェッティングを低減することによって銅と誘電材料との間の境界領域を改善する方法を探し続けている。特徴寸法が減少したのにつれて、いくつかの処理方法が、銅相互接続を製造するために開発された。各処理方法は、境界領域を横切る銅拡散、銅結晶構造変形、およびデウェッティングなどの誤りの可能性を増大させることもある。物理気相堆積法(PVD)、化学気相堆積法(CVD)、原子層堆積法(ALD)、化学機械研磨法(CMP)、電気化学めっき法(ECP)、電気化学機械研磨法(ECMP)、ならびに銅層を堆積させるおよび除去する他の方法は、相互接続を形成する銅を巧みに扱うために機械的、電気的、または化学的方法を利用する。障壁およびキャッピング層が、銅を含有するために堆積されることもある。
従来は、タンタル、窒化タンタル、またはスズ、アルミニウム、もしくはマグネシウムとの銅合金の層が、銅と他の材料との間の障壁層または接着促進剤を提供するために使用された。これらの選択肢は、高価であるまたは部分的にだけ有効であるまたは両方である。境界領域に沿った銅原子が、多段階半導体処理の間によく見られる温度、圧力、雰囲気条件、または他のプロセス変数の変化を経験すると、銅は、境界領域に沿って移動し、凝集した銅になることもある。銅はまた、境界領域に沿ってより均一性が低く分散して、デウェッティングした銅になることもある。境界領域でのこれらの変化は、銅原子の応力マイグレーションおよびエレクトロマイグレーションを包含する。誘電層または他の構造体を横切る銅の応力マイグレーションおよびエレクトロマイグレーションは、結果として生じる構造体の抵抗率を増加させ、結果として生じるデバイスの信頼性を低減する。
コバルトを含有する障壁層は、PVD、CVD、およびALDプロセスによって堆積されてきた。コバルトを堆積させるPVDプロセスはしばしば、正確な堆積厚さを制御するのが難しい。CVDプロセスは通常、堆積コバルト層での貧弱な共形性および汚染物質に悩まされる。典型的なALDプロセスの間に、コバルト前駆体および還元剤が、所望のコバルト層を形成するために連続して基板にさらされる。ALDプロセスは、非常に共形的な膜および高アスペクト比のビア中への堆積能力などの、他の気相堆積プロセスに優るいくつかの利点を有する。しかしながら、ALDプロセスの堆積速度は、しばしば低すぎて、それでALDプロセスは、商業的応用であまり使用されない。
従って、銅含有層の安定性および接着性を高める必要性が、特に銅シード層のために存在する。また、誘電材料などの隣接材料中への銅の拡散を防止しながら、銅含有層のエレクトロマイグレーション(EM)信頼性を改善する必要性も、特に銅配線形成のために存在する。コバルト材料を堆積させる気相堆積プロセスの改善の必要性が、さらに存在する。
本発明の実施形態は、露出誘電表面を覆う銅表面上にコバルト層を選択的に形成するプロセスを提供する。一実施形態では、基板を処理チャンバー内に位置決めするステップであって、基板は、汚染された銅表面および誘電表面を含有する、ステップと、前処理プロセスの間に金属銅表面を形成している間は汚染された銅表面を還元剤にさらすステップと、気相堆積プロセスの間に誘電表面を露出したままにしながら金属銅表面を覆ってまたは上にコバルトキャッピング層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、コバルトキャッピング層および誘電表面を覆ってまたは上に誘電障壁層を堆積させるステップとを包含する、基板上の銅表面をキャッピングするための方法が、提供される。
いくつかの例では、その方法はさらに、前処理プロセスの間に金属銅表面を形成するために汚染された銅表面上の酸化銅を化学的に還元するステップを包含する。汚染された銅表面は、還元剤にさらされてもよく、プラズマが、前処理プロセスの間に点火され、還元剤は、窒素(N)、アンモニア(NH)、水素(H)、アンモニア/窒素混合物、またはそれらの組合せなどの試薬を含有してもよい。いくつかの例では、汚染された銅表面は、約5秒から約15秒の範囲内の時間に亘ってプラズマにさらされてもよい。別の例では、還元剤は、水素ガスを含有し、前処理プロセスは、熱プロセスであり、基板は、熱プロセスの間に約200℃から約400℃の範囲内の温度に加熱される。
他の例では、その方法はさらに、誘電障壁層を堆積させるステップに先行する後処理プロセスの間にコバルトキャッピング層を試薬およびプラズマにさらすステップを包含する。試薬は、窒素、アンモニア、水素、アンモニア/窒素混合物、またはそれらの組合せを含有してもよい。
別の実施形態では、堆積−処理サイクルは、気相堆積プロセスを実行した後に後処理プロセスを実行するステップを包含し、堆積−処理サイクルは、複数のコバルトキャッピング層を堆積させるために2回、3回、または4回以上実行される。コバルトキャッピング層の各々は、堆積−処理サイクルの各々の間に約3Åから約5Åの範囲内の厚さに堆積されてもよい。全体のコバルトキャッピング材料またはコバルトキャッピング層は、約4Åから約20Å、好ましくは約5Åから約15Åの範囲内の厚さを有してもよい。いくつかの例では、コバルトキャッピング層は、約2Åから約8Åなどの、約10Å未満の厚さを有する。
基板は、気相堆積プロセスの間にコバルト前駆体ガスおよび水素ガスを含有する堆積ガスにさらされてもよく、気相堆積プロセスは、熱化学気相堆積プロセスまたは原子層堆積プロセスであり、ここでコバルト前駆体ガスは、一般化学式(CO)Coを有するコバルト前駆体を含有し、ただしXは、1、2、3、4、5、6、7、8、9、10、11、または12であり、Yは、1、2、3、4、または5であり、Zは、1、2、3、4、5、6、7、または8であり、Lは、シクロペンタジエニル、アルキルシクロペンタジエニル、メチルシクロペンタジエニル、ペンタメチルシクロペンタジエニル、ペンタジエニル、アルキルペンタジエニル、シクロブタジエニル、ブタジエニル、アリル、エチレン、プロピレン、アルケン、ジアルケン、アルキン、ニトロシル、アンモニア、それらの誘導体、またはそれらの組合せから単独で選択されるリガンドである。コバルト前駆体ガスは、トリカルボニルアリルコバルト、シクロペンタジエニルコバルトビス(カルボニル)、メチルシクロペンタジエニルコバルトビス(カルボニル)、エチルシクロペンタジエニルコバルトビス(カルボニル)、ペンタメチルシクロペンタジエニルコバルトビス(カルボニル)、ジコバルトオクタ(カルボニル)、ニトロシルコバルトトリス(カルボニル)、ビス(シクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(シクロヘキサジエニル)、シクロペンタジエニルコバルト(1,3−ヘキサジエニル)、(シクロブタジエニル)コバルト(シクロペンタジエニル)、ビス(メチルシクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(5−メチルシクロペンタジエニル)、ビス(エチレン)コバルト(ペンタメチルシクロペンタジエニル)、それらの誘導体、それらの錯体、それらのプラズマ、またはそれらの組合せから成る群から選択されるコバルト前駆体を含有してもよい。一例では、コバルト前駆体は、シクロペンタジエニルコバルトビス(カルボニル)を含有する。
別の実施形態では、基板を処理チャンバー内に位置決めするステップであって、基板は、酸化銅表面および誘電表面を含有する、ステップと、前処理プロセスの間に金属銅表面を形成している間は酸化銅表面をアンモニアプラズマまたは水素プラズマにさらすステップと、気相堆積プロセスの間に誘電表面を露出したままにしながら金属銅表面を覆ってまたは上にコバルトキャッピング層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、後処理プロセスの間にコバルトキャッピング層をプラズマにさらすステップと、コバルトキャッピング層および誘電表面を覆ってまたは上に誘電障壁層を堆積させるステップとを包含する、基板上の銅表面をキャッピングするための方法が、提供される。
いくつかの例では、堆積−処理サイクルは、気相堆積プロセスを実行した後に後処理プロセスを実行するステップによって形成される。堆積−処理サイクルは、複数のコバルトキャッピング層を堆積させるために2回、3回、または4回以上実行されてもよい。コバルトキャッピング層の各々は、堆積−処理サイクルの各々の間に約3Åから約5Åの範囲内の厚さに堆積されてもよい。
別の例では、酸化銅表面は、前処理プロセスの間に約5秒から約15秒の範囲内の時間に亘ってアンモニアプラズマまたは水素プラズマにさらされてもよい。プラズマは、後処理プロセスの間にコバルトキャッピング層にさらされてもよく、窒素、アンモニア、アンモニア/窒素混合物、または水素を含有する。
別の実施形態では、基板を処理チャンバー内に位置決めするステップであって、基板は、酸化銅表面および誘電表面を含有する、ステップと、前処理プロセスの間に金属銅表面を形成している間は酸化銅表面をアンモニアプラズマまたは水素プラズマにさらすステップと、気相堆積プロセスの間に誘電表面を露出したままにしながら金属銅表面を覆ってまたは上にコバルトキャッピング層を選択的に形成するために基板をコバルト前駆体ガスおよび水素ガスにさらすステップと、後処理プロセスの間にコバルトキャッピング層をプラズマならびに窒素、アンモニア、水素、アンモニア/窒素混合物、およびそれらの組合せから成る群から選択される試薬にさらすステップとを包含する、基板上の銅表面をキャッピングするための方法が、提供される。
別の実施形態では、基板を処理チャンバー内に位置決めするステップであって、基板は、汚染された銅表面および誘電表面を含有する、ステップと、前処理プロセスの間に金属銅表面を形成している間は汚染された銅表面を還元剤にさらすステップと、堆積−処理サイクルの間に誘電表面を露出したままにしながら金属銅表面を覆ってコバルトキャッピング層を堆積させるステップとを包含する、基板上の銅表面をキャッピングするための方法が、提供される。一例では、堆積−処理サイクルは、気相堆積プロセスの間に誘電表面を露出したままにしながら金属銅表面を覆ってまたは上に第1のコバルト層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、処理プロセスの間に第1のコバルト層を窒素、アンモニア、アンモニア/窒素混合物、または水素を含有するプラズマにさらすステップと、気相堆積プロセスの間に誘電表面を露出したままにしながら第1のコバルト層を覆ってまたは上に第2のコバルト層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、処理プロセスの間に第2のコバルト層をプラズマにさらすステップとを包含する。その方法はさらに、コバルトキャッピング層および誘電表面を覆ってまたは上に誘電障壁層を堆積させるステップを提供する。
いくつかの例では、その方法は、気相堆積プロセスの間に誘電表面を露出したままにしながら第2のコバルト層を覆ってまたは上に第3のコバルト層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、処理プロセスの間に第3のコバルト層をプラズマにさらすステップとを提供する。
本発明の上で列挙された特徴が、詳細に理解できるように、上で簡潔に要約された本発明のより詳しい記述が、実施形態を参照することによってなされてもよく、それのいくつかは、添付の図面で例示される。しかしながら、本発明は、他の同等に有効な実施形態を認めてもよいので、添付の図面は、この発明の典型的な実施形態だけを例示し、従って本発明の範囲を限定すると考えられるべきでないことに留意すべきである。
本明細書で述べられる実施形態による処理および堆積プロセスを例示する流れ図を描写する図である。 A〜Eは、本明細書で述べられる実施形態による異なるプロセスステップにおける基板の概略図を描写する図である。 本明細書で述べられる別の実施形態による堆積プロセスを例示する流れ図を描写する図である。
本発明の実施形態は、相互接続境界領域での銅の拡散およびデウェッティングを防止するためにコバルトキャッピング層または材料を利用する方法を提供する。遷移金属、例えばコバルトは、処理の間に接着性を促進し、拡散および凝集を減少させ、基板表面の均一な粗さおよびウェッティングを促すために銅境界領域特性を改善する。実施形態は、コバルトキャッピング層が、基板上の誘電表面を露出したままにしながら基板上の銅コンタクトまたは表面上に選択的に堆積できると定める。
図1は、本発明の実施形態によるプロセス100を例示する流れ図を描写する。プロセス100は、研磨プロセス後に基板上の銅コンタクト表面を洗浄し、キャッピングするために使用されてもよい。一実施形態では、プロセス100のステップ110〜140は、図2A〜2Eで描写される基板200に使用されてもよい。プロセス100は、基板を前処理プロセスにさらすステップ(ステップ110)と、基板の露出銅表面上にコバルトキャッピング層を堆積させるステップ(ステップ120)と、基板を後処理プロセスにさらすステップ(ステップ130)と、基板上に誘電障壁層を堆積させるステップ(ステップ140)とを包含する。
図2Aは、研磨プロセスにさらされていた後に下層202を覆って配置された誘電層204を含有する基板200を描写する。銅コンタクト208は、誘電層204内に配置され、障壁層206によって誘電層204から分離されている。誘電層204は、低k誘電材料などの誘電材料を含有する。一例では、誘電層204は、炭化シリコン酸化物材料または炭素ドープ酸化シリコン材料などの低k誘電材料、例えばSanta Clara、CaliforniaにあるApplied Materials、Inc.から入手できるBLACK DIAMOND(登録商標)II低k誘電材料を含有する。
障壁層206は、誘電層204内の開口中に共形的に堆積されてもよい。障壁層206は、PVDプロセス、ALD、またはCVDプロセスによって形成されるまたは堆積されてもよく、約5Åから約50Å、好ましくは約10Åから約30Åの範囲内の厚さを有してもよい。障壁層206は、チタン、窒化チタン、タンタル、窒化タンタル、タングステン、窒化タングステン、それらのシリサイド、それらの誘導体、またはそれらの組合せを含有してもよい。いくつかの実施形態では、障壁層206は、タンタル/窒化タンタル二重層またはチタン/窒化チタン二重層を含有してもよい。一例では、障壁層206は、PVDプロセスによって堆積された窒化タンタルおよび金属タンタルの層を含有する。
化学機械研磨(CMP)プロセスなどの研磨プロセスの間に、銅コンタクト208の上部表面は、基板領域210にわたって露出され、汚染物質212が、銅コンタクト212上に形成される。汚染物質212は通常、研磨プロセスの間にまたは後に形成される酸化銅を含有する。銅コンタクト208の露出表面は、研磨溶液中の過酸化物、水、もしくは他の試薬によってまたは周囲空気内の酸素によって酸化されることもある。汚染物質212はまた、水分、界面活性剤および他の添加剤を包含する研磨溶液残留物、または研磨して除去された材料の微粒子を包含することもある。
プロセス100のステップ110において、汚染物質212は、基板200を前処理プロセスにさらすことによって基板領域210から除去できる。銅表面214は、図2Bで例示されるように、いったん汚染物質212が銅コンタクト208から処理されるまたは除去されると露出する。酸化銅は、基板200を還元剤にさらすことによって化学的に還元できる。前処理プロセスは、熱プロセスまたはプラズマプロセスの間に基板200を還元剤にさらす。還元剤は、液体状態、気体状態、プラズマ状態、またはそれらの組合せを有してもよい。前処理プロセスの間に有用である還元剤は、水素(例えば、Hまたは原子状H)、アンモニア(NH)、水素およびアンモニアの混合物(H/NH)、原子状N、ヒドラジン(N)、アルコール(例えば、メタノール、エタノール、またはプロパノール)、それらの誘導体、それらのプラズマ、またはそれらの組合せを包含する。基板200は、前処理プロセスの間にその場でまたは遠隔で形成されるプラズマにさらされてもよい。
一実施形態では、基板200は、銅表面214を形成している間は汚染物質212を銅コンタクト208から除去するために熱前処理プロセスにさらされる。基板200は、処理チャンバー内に位置決めされ、還元剤にさらされ、約200℃から約800℃、好ましくは約250℃から約600℃、より好ましくは約300℃から約500℃の範囲内の温度に加熱されてもよい。基板200は、約2分から約20分、好ましくは約5分から約15分の範囲内の時間に亘って加熱されてもよい。例えば、基板200は、水素雰囲気を含有する処理チャンバーで約12分間約500℃に加熱されてもよい。
別の実施形態では、基板200は、銅表面214を形成している間は汚染物質212を銅コンタクト208から除去するためにプラズマ前処理プロセスにさらされる。基板200は、処理チャンバー内に位置決めされ、還元剤にさらされ、約100℃から約400℃、好ましくは約125℃から約350℃、より好ましくは約150℃から約300℃の範囲内の、約200℃または約250℃などの温度に加熱されてもよい。処理チャンバーは、その場プラズマを生成するまたは遠隔プラズマ源(RPS)を備えてもよい。一実施形態では、基板200は、約2秒から約60秒、好ましくは約3秒から約30秒、好ましくは約5秒から約15秒の範囲内の、約10秒などの時間プラズマ(例えば、その場のまたは遠隔の)にさらされてもよい。プラズマは、約200ワットから約1,000ワット、好ましくは約400ワットから約800ワットの範囲内の電力で生成されてもよい。一例では、基板200は、プラズマが約5トールにおいて約10秒間400ワットで発生されながら水素ガスにさらされてもよい。別の例では、基板200は、プラズマが約5トールにおいて約20秒間800ワットで発生されながらアンモニアガスにさらされてもよい。別の例では、基板200は、プラズマが約5トールにおいて約15秒間400ワットで発生されながら水素およびアンモニアのガス状混合物にさらされてもよい。
プロセス100のステップ120において、コバルトキャッピング層216は、図2Cで例示されるように、基板領域210にわたって誘電層204の露出表面を裸のままにしながら銅表面214上に選択的に堆積できるまたは形成できる。従って、基板領域210に沿って、コバルトキャッピング層216は、誘電層204の表面をコバルトキャッピング層216がないまたは少なくとも実質的にないままにしながら銅表面214上に選択的に堆積される。最初は、コバルトキャッピング層216は、銅表面214にわたって連続的な層または不連続な層であってもよいが、複数の堆積サイクルを行った後は連続的な層である。
汚染物質218は、図2Cで描写されるように、コバルトキャッピング層216の上ならびに誘電層204の表面などの、基板領域210の全体にわたって集まることもある。汚染物質218は、炭素、有機残さ、前駆体残さ、および基板領域210上に集められる他の望ましくない材料などの、堆積プロセスからの副生成物を包含することもある。
基板200は、プロセス100のステップ130において後処理プロセスの間にその場でまたは遠隔で形成されるプラズマにさらすことができる。後処理プロセスは、コバルトキャッピング層216の密度をさらに高くしながら基板200から汚染物質の総量を除去するまたは低減する。後処理プロセスは、プラズマプロセスの間に基板200およびコバルトキャッピング層216を還元剤にさらしてもよい。後処理プロセスの間に有用である還元剤は、水素(例えば、Hまたは原子状H)、アンモニア(NH)、水素およびアンモニアの混合物(H/NH)、窒素(例えば、Nまたは原子状N)、ヒドラジン(N)、それらの誘導体、それらのプラズマ、またはそれらの組合せを包含する。コバルトキャッピング層216は、後処理プロセスの間に約2秒から約60秒、好ましくは約3秒から約30秒、より好ましくは約5秒から約15秒の範囲内の時間に亘ってプラズマにさらされてもよい。
一例では、コバルトキャッピング層は、処理チャンバーの水素ガスをその場でまたは遠隔で点火することによって形成される水素プラズマにさらされる。別の例では、コバルトキャッピング層は、処理チャンバーのアンモニアガスをその場でまたは遠隔で点火することによって形成されるアンモニアプラズマにさらされる。別の例では、コバルトキャッピング層は、処理チャンバーの水素ガスおよびアンモニアガスの混合物をその場でまたは遠隔で点火することによって形成される水素/アンモニアプラズマにさらされる。
ステップ130または330などでの、プラズマ処理プロセスの間に、プラズマは、遠隔プラズマ源(RPS)システムなどによって、処理チャンバーの外部で発生されてもよく、または好ましくは、プラズマは、PE−CVDチャンバーなどの、プラズマ発生可能な堆積チャンバーでその場で発生されてもよい。プラズマは、マイクロ波(MW)周波数発生器またはラジオ周波数(RF)発生器から発生されてもよい。好ましい例では、その場プラズマは、RF発生器によって発生される。処理チャンバーは、プラズマ処理プロセスの間に約0.1トールから約80トール、好ましくは約0.5トールから約10トール、より好ましくは約1トールから約5トールの範囲内の圧力に加圧されてもよい。また、チャンバーまたは基板は、約500℃未満、好ましくは約100℃から約450℃、より好ましくは約150℃から約400℃の範囲内の、例えば約300℃の温度に加熱されてもよい。
処理プロセスの間に、プラズマは、その場プラズマプロセスのために処理チャンバー内で点火されてもよく、または別法として、RPSシステムなどの外部源によって形成されてもよい。RF発生器は、約100kHzから約60MHzの範囲内の周波数に設定されてもよい。一例では、13.56MHzの周波数を持つRF発生器は、約100ワットから約1,000ワット、好ましくは約250ワットから約600ワット、より好ましくは約300ワットから約500ワットの範囲内の電力出力を有するように設定されてもよい。一例では、350kHzの周波数を持つRF発生器は、約200ワットから約2,000ワット、好ましくは約500ワットから約1,500ワット、より好ましくは約800ワットから約1,200ワットの範囲内の、例えば約1,000ワットの電力出力を有するように設定されてもよい。基板の表面は、約0.01ワット/cmから約10.0ワット/cm、好ましくは約0.05ワット/cmから約6.0ワット/cmの範囲内の表面積当たりの電力値を有するプラズマにさらされてもよい。
別の実施形態では、ステップ120は、少なくとも1回、2回、またはより多く繰り返される。ステップ120は、コバルトキャッピング層216の単一層を形成するために1回だけ実行される、またはコバルトキャッピング層216の2、3、4、5、もしくはより多い層などの、コバルトキャッピング層216の多重層を形成するために多数回実行されてもよい。別の実施形態では、ステップ120および130は、2、3、4またはより多い回数でないにしても、少なくとも1回連続して繰り返される。コバルトキャッピング層216は、約2Åから約30Å、好ましくは約3Åから約25Å、より好ましくは約4Åから約20Å、より好ましくは約5Åから約15Å、より好ましくは約5Åから約10Åの範囲内の、約7Åまたは約8Åなどの厚さを有して堆積されてもよい。一例では、ステップ120および130の2つのサイクルが、約7Åの厚さを持つコバルトキャッピング層216を形成するために実行される。別の例では、ステップ120および130の3つのサイクルが、約8Åの厚さを持つコバルトキャッピング層216を形成するために実行される。
コバルトキャッピング層216は、ステップ120の間に不活性ガスによって運ばれるコバルト含有前駆体の熱分解によって堆積されてもよい。還元ガスは、コバルト前駆体とともに処理チャンバー中に同時に流されるまたは交互にパルス的に送り込まれてもよい。基板は、約50℃から約600℃、好ましくは約100℃から約500℃、より好ましくは約200℃から約400℃の範囲内の温度に加熱されてもよい。別法として、コバルトキャッピング層216は、ALDまたはCVDプロセスで基板をコバルト含有前駆体ガスにさらすことによって堆積されてもよい。
図3は、コバルトキャッピング層216などのコバルト含有材料を形成するために使用されてもよいプロセス300の流れ図を描写する。一実施形態では、プロセス300は、コバルトキャッピング材料を形成するために基板を堆積ガスにさらすステップ(ステップ310)と、オプションとして堆積チャンバーをパージするステップ(ステップ320)と、基板をプラズマ処理プロセスにさらすステップ(ステップ330)と、堆積チャンバーをパージするステップ(ステップ340)と、所定の厚さのコバルトキャッピング材料が基板上に形成されたかどうかを決定するステップ(ステップ350)とを包含する。一実施形態では、ステップ310〜350のサイクルは、もしコバルトキャッピング材料が所定の厚さを有して形成されなかったならば繰り返されてもよい。別の実施形態では、ステップ310および330のサイクルは、もしコバルトキャッピング材料が所定の厚さを有して形成されなかったならば繰り返されてもよい。別法として、プロセス300は、いったんコバルトキャッピング材料が所定の厚さを有して形成されたならば停止されてもよい。
一実施形態では、気相堆積プロセスの間に誘電表面を露出したままにしながら金属銅表面を覆ってまたは上にコバルトキャッピング層を選択的に形成するために基板をコバルト前駆体ガスおよび水素ガスにさらすステップと、後処理プロセスの間にコバルトキャッピング層をプラズマおよび窒素、アンモニア、水素、アンモニア/窒素混合物、またはそれらの組合せなどの試薬にさらすステップとを包含する、基板上の銅表面をキャッピングするための方法が、提供される。
別の実施形態では、堆積−処理サイクルの間に誘電表面を露出したままにしながら金属銅表面を覆ってコバルトキャッピング材料を堆積させるステップを包含する、基板上の銅表面をキャッピングするための方法が、提供される。一例では、堆積−処理サイクルは、気相堆積プロセスの間に誘電表面を露出したままにしながら金属銅表面を覆ってまたは上に第1のコバルト層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、処理プロセスの間に第1のコバルト層を窒素、アンモニア、アンモニア/窒素混合物、または水素を含有するプラズマにさらすステップとを包含する。その方法はさらに、気相堆積プロセスの間に誘電表面を露出したままにしながら第1のコバルト層を覆ってまたは上に第2のコバルト層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、処理プロセスの間に第2のコバルト層をプラズマにさらすステップとを提供する。
いくつかの例では、その方法は、気相堆積プロセスの間に誘電表面を露出したままにしながら第2のコバルト層を覆ってまたは上に第3のコバルト層を選択的に形成するために基板をコバルト前駆体ガスにさらすステップと、処理プロセスの間に第3のコバルト層をプラズマにさらすステップとを提供する。
本明細書で述べられるCVDまたはALDプロセスによってコバルト含有材料(例えば、金属コバルトまたはコバルト合金)を形成するのに適したコバルト前駆体は、コバルトカルボニル錯体、コバルトアミジナート化合物、コバルトセン化合物、コバルトジエニル錯体、コバルトニトロシル錯体、それらの誘導体、それらの錯体、それらのプラズマ、またはそれらの組合せを包含する。いくつかの実施形態では、コバルト材料は、参照により本明細書に組み込まれる、本願の譲受人に譲渡された米国特許第7,264,846号および2003年5月22日に出願され、米国特許出願公開第2005−0220998号として公開された米国特許出願第10/443,648号でさらに述べられるCVDおよびALDプロセスによって堆積されてもよい。
いくつかの実施形態では、コバルトカルボニル化合物または錯体が、コバルト前駆体として利用さてもよい。コバルトカルボニル化合物または錯体は、一般化学式(CO)Coを有し、ただしXは、1、2、3、4、5、6、7、8、9、10、11、または12であってもよく、Yは、1、2、3、4、または5であってもよく、Zは、1、2、3、4、5、6、7、または8であってもよい。群Lは、存在しないか、1つのリガンドまたは複数のリガンドであり、それは、同じリガンドもしくは異なるリガンドであってもよく、シクロペンタジエニル、アルキルシクロペンタジエニル(例えば、メチルシクロペンタジエニルまたはペンタメチルシクロペンタジエニル)、ペンタジエニル、アルキルペンタジエニル、シクロブタジエニル、ブタジエニル、エチレン、アリル(またはプロピレン)、アルケン、ジアルケン、アルキン、アセチレン、ブチルアセチレン、ニトロシル、アンモニア、それらの誘導体、それらの錯体、それらのプラズマ、またはそれらの組合せを含有する。いくつかの例となるコバルトカルボニル錯体は、シクロペンタジエニルコバルトビス(カルボニル)(CpCo(CO))、トリカルボニルアリルコバルト((CO)Co(CHCH=CH))、ジコバルトヘキサカルボニルブチルアセチレン(CCTBA、(CO)Co(HC≡CBu))、ジコバルトヘキサカルボニルメチルブチルアセチレン((CO)Co(MeC≡CBu))、ジコバルトヘキサカルボニルフェニルアセチレン((CO)Co(HC≡CPh))、ヘキサカルボニルメチルフェニルアセチレン((CO)Co(MeC≡CPh))、ジコバルトヘキサカルボニルメチルアセチレン((CO)Co(HC≡CMe))、ジコバルトヘキサカルボニルジメチルアセチレン((CO)Co(MeC≡CMe))、それらの誘導体、それらの錯体、それらのプラズマ、またはそれらの組合せを包含する。
別の実施形態では、コバルトアミジナートまたはコバルトアミド錯体が、コバルト前駆体として利用されてもよい。コバルトアミド錯体は、一般化学式(RR’N)Coを有し、ただしXは、1、2、または3であってもよく、RおよびR’は独立して、水素、メチル、エチル、プロピル、ブチル、アルキル、シリル、アルキルシリル、それらの誘導体、またはそれらの組合せである。いくつかの例となるコバルトアミド錯体は、ビス(ジ(ブチルジメチルシリル)アミド)コバルト(((BuMeSi)N)Co)、ビス(ジ(エチルジメチルシリル)アミド)コバルト(((EtMeSi)N)Co)、ビス(ジ(プロピルジメチルシリル)アミド)コバルト(((PrMeSi)N)Co)、ビス(ジ(トリメチルシリル)アミド)コバルト(((MeSi)N)Co)、トリス(ジ(トリメチルシリル)アミド)コバルト(((MeSi)N)Co)、それらの誘導体、それらの錯体、それらのプラズマ、またはそれらの組合せを包含する。
いくつかの例となるコバルト前駆体は、メチルシクロペンタジエニルコバルトビス(カルボニル)(MeCpCo(CO))、エチルシクロペンタジエニルコバルトビス(カルボニル)(EtCpCo(CO))、ペンタメチルシクロペンタジエニルコバルトビス(カルボニル)(MeCpCo(CO))、ジコバルトオクタ(カルボニル)(Co(CO))、ニトロシルコバルトトリス(カルボニル)((ON)Co(CO))、ビス(シクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(シクロヘキサジエニル)、シクロペンタジエニルコバルト(1,3−ヘキサジエニル)、(シクロブタジエニル)コバルト(シクロペンタジエニル)、ビス(メチルシクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(5−メチルシクロペンタジエニル)、ビス(エチレン)コバルト(ペンタメチルシクロペンタジエニル)、コバルトテトラカルボニルヨウ化物、コバルトテトラカルボニルトリクロロシラン、塩化カルボニルトリス(トリメチルフォスフィン)コバルト、コバルトトリカルボニル−ハイドロトリブチルフォスフィン、アセチレンジコバルトヘキサカルボニル、アセチレンジコバルトペンタカルボニルトリエチルフォスフィン、それらの誘導体、それらの錯体、それらのプラズマ、またはそれらの組合せを包含する。
本明細書で述べられるプロセスによってコバルト含有材料(例えば、金属コバルト、コバルトキャッピング層、またはコバルト合金)を形成するのに有用である、還元剤を包含する適切な試薬は、水素(例えば、Hまたは原子状H)、原子状N、アンモニア(NH)、ヒドラジン(N)、水素およびアンモニアの混合物(H/NH)、ボラン(BH)、ジボラン(B)、トリエチルボラン(EtB)、シラン(SiH)、ジシラン(Si)、トリシラン(Si)、テトラシラン(Si10)、メチルシラン(SiCH)、ジメチルシラン(SiC)、フォスフィン(PH)、それらの誘導体、それらのプラズマ、またはそれらの組合せを包含する。
プロセス100のステップ140の間に、誘電障壁層220は、図2Eで描写されるように、コバルトキャッピング層216を覆っておよび基板200上に堆積できる。低誘電率を有する誘電障壁層220は、基板200上に、基板領域210にわたって、およびコバルトキャッピング層216を覆って堆積されてもよい。誘電障壁層220は、炭化シリコン、窒化シリコン、酸化シリコン、酸窒化シリコン、炭化シリコン酸化物もしくは炭素ドープ酸化シリコン材料、それらの誘導体、またはそれらの組合せなどの、低k誘電材料を含有してもよい。一例では、Santa Clara、CaliforniaにあるApplied Materials、Inc.から入手できるBLOK(登録商標)低k誘電材料が、誘電障壁層220のための低k誘電材料として利用されてもよい。誘電障壁層220に適した材料の例は、参照により本明細書に組み込まれる、本願の譲受人に譲渡された米国特許第6,537,733号、第6,790,788号および第6,890,850号で述べられるプロセスなどのCVDまたはプラズマ増強CVD(PE−CVD)プロセスを使用して形成される炭化シリコンベースの膜である。
本明細書で述べられる実施形態の間に使用されるALD処理チャンバーは、Santa Clara、CaliforniaにあるApplied Materials、Inc.から入手できる。ALD処理チャンバーの詳細な記述は、参照によりそれらの全体が本明細書に組み込まれる、本願の譲受人に譲渡された米国特許第6,916,398号および第6,878,206号、2002年10月25日に出願され、米国特許出願公開第2003−0121608号として公開された、本願の譲受人に譲渡された米国特許出願第10/281,079号、ならびに各々が2006年11月6日に出願され、米国特許出願公開第2007−0119379号、第2007−0119371号、第2007−0128862号、第2007−0128863号および第2007−0128864号として公開された、本願の譲受人に譲渡された米国特許出願第11/556,745号、第11/556,752号、第11/556,756号、第11/556,758号、第11/556,763号で見いだすことができる。別の実施形態では、コバルト含有材料を堆積させるために使用されてもよい、ALDモードならびに従来のCVDモードの両方で動作するように構成されるチャンバーは、参照によりその全体が本明細書に組み込まれる、本願の譲受人に譲渡された米国特許第7,204,886号で述べられる。コバルト含有材料を形成するためのALDプロセスの詳細な記述はさらに、参照によりそれらの全体が本明細書に組み込まれる、2003年5月22日に出願され、米国特許出願公開第2005−0220998号として公開された、本願の譲受人に譲渡された米国特許出願第10/443,648号、および本願の譲受人に譲渡された米国特許第7,264,846号で開示される。他の実施形態では、コバルト含有材料を堆積させるために使用されてもよい、ALDモードならびに従来のCVDモードの両方で動作するように構成されるチャンバーは、Santa Clara、CaliforniaにあるApplied Materials、Inc.から入手できるTXZ(登録商標)シャワーヘッドおよびCVDチャンバーである。
本明細書で使用されるような「基板表面」または「基板」は、製作プロセスの間に膜処理が実行される基板の上に形成される任意の基板または材料表面のことである。例えば、処理が実行されてもよい基板表面は、単結晶、多結晶もしくは非晶質シリコン、歪みシリコン、シリコンオンインシュレータ(SOI)、ドープシリコン、シリコンゲルマニウム、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、酸化シリコン、窒化シリコン、酸窒化シリコン、および/またはSiOなどの炭素ドープ酸化シリコンなどの材料、例えばSanta Clara、CaliforniaにあるApplied Materials、Inc.から入手できるBLACK DIAMOND(登録商標)低k誘電体を包含する。基板は、200mmもしくは300mm直径ウェハー、ならびに長方形または正方形ペインなどの、さまざまな寸法を有してもよい。そうでないと注記されない限り、本明細書で述べられる実施形態および例は、好ましくは200mm直径または300mm直径、より好ましくは300mm直径を持つ基板上で実施される。本明細書で述べられるプロセスの実施形態は、多くの基板および表面、特にシリコン含有誘電材料の上にコバルトシリサイド材料、金属コバルト材料、および他のコバルト含有材料を堆積させる。本発明の実施形態が有用なこともある基板は、結晶シリコン(例えば、Si<100>またはSi<111>)、酸化シリコン、歪みシリコン、シリコンゲルマニウム、ドープまたは非ドープポリシリコン、ドープまたは非ドープシリコンウェハー、およびパターン化または非パターン化ウェハーなどの半導体ウェハーを包含するが、限定はされない。基板は、基板表面を研磨する、エッチングする、還元する、酸化する、水酸化する、アニールする、および/またはベークするために前処理プロセスにさらされてもよい。
前述のものは、本発明の実施形態を対象にするが、本発明の他のおよびさらなる実施形態が、本発明の基本的範囲から逸脱することなく考案されてもよく、本発明の範囲は、次に来る特許請求の範囲によって決定される。

Claims (15)

  1. 基板上の銅表面をキャッピングするための方法であって、
    汚染された銅表面および誘電表面を含む基板を処理チャンバー内に位置決めするステップと、
    前処理プロセスの間に金属銅表面を形成している間に前記汚染された銅表面を還元剤にさらすステップと、
    気相堆積プロセスの間に前記誘電表面を露出したままにしながら前記金属銅表面上にコバルトキャッピング層を選択的に形成するために前記基板をコバルト前駆体ガスにさらすステップと、
    前記コバルトキャッピング層および前記誘電表面の上に誘電障壁層を堆積させるステップと
    を含む方法。
  2. 前記前処理プロセスの間に前記金属銅表面を形成するために前記汚染された銅表面上の酸化銅を化学的に還元するステップをさらに含む、請求項1に記載の方法。
  3. 前記汚染された銅表面は前記還元剤にさらされ、前記前処理プロセスの間にプラズマが点火され、前記還元剤が、窒素(N)、アンモニア(NH)、水素(H)、アンモニア/窒素混合物、およびそれらの組合せから成る群から選択される試薬を含む、請求項1に記載の方法。
  4. 前記汚染された銅表面は約5秒から約15秒の範囲内の時間に亘って前記プラズマにさらされる、請求項3に記載の方法。
  5. 前記還元剤は水素ガスを含み、前記前処理プロセスは熱プロセスであり、前記基板は前記熱プロセスの間に約200℃から約400℃の範囲内の温度に加熱される、請求項1に記載の方法。
  6. 前記誘電障壁層を堆積させるステップに先行する後処理プロセスの間に前記コバルトキャッピング層を試薬およびプラズマにさらすステップをさらに含み、前記試薬が、窒素(N)、アンモニア(NH)、水素(H)、アンモニア/窒素混合物、およびそれらの組合せから成る群から選択される、請求項1に記載の方法。
  7. 堆積−処理サイクルは、前記気相堆積プロセスを実行した後に前記後処理プロセスを実行するステップを含み、前記誘電表面を露出したままにしながら互いの上に複数のコバルトキャッピング層を堆積させるために2回、3回、または4回以上実行される、請求項6に記載の方法。
  8. 前記コバルトキャッピング層の各々は、前記堆積−処理サイクルの各々の間に約3Åから約5Åの範囲内の厚さに堆積される、請求項7に記載の方法。
  9. 前記コバルトキャッピング層は約4Åから約20Åの範囲内の厚さを有する、請求項1に記載の方法。
  10. 前記基板は前記気相堆積プロセスの間に前記コバルト前駆体ガスおよび水素ガスを含む堆積ガスにさらされ、前記気相堆積プロセスは熱化学気相堆積プロセスまたは原子層堆積プロセスである、請求項9に記載の方法。
  11. 前記コバルト前駆体ガスは、一般化学式(CO)Coを有するコバルト前駆体を含み、ここで、
    Xは、1、2、3、4、5、6、7、8、9、10、11、または12であり、
    Yは、1、2、3、4、または5であり、
    Zは、1、2、3、4、5、6、7、または8であり、
    Lは、シクロペンタジエニル、アルキルシクロペンタジエニル、メチルシクロペンタジエニル、ペンタメチルシクロペンタジエニル、ペンタジエニル、アルキルペンタジエニル、シクロブタジエニル、ブタジエニル、アリル、エチレン、プロピレン、アルケン、ジアルケン、アルキン、ニトロシル、アンモニア、それらの誘導体、およびそれらの組合せから成る群から単独で選択されるリガンドである、請求項1に記載の方法。
  12. 前記コバルト前駆体ガスは、トリカルボニルアリルコバルト、シクロペンタジエニルコバルトビス(カルボニル)、メチルシクロペンタジエニルコバルトビス(カルボニル)、エチルシクロペンタジエニルコバルトビス(カルボニル)、ペンタメチルシクロペンタジエニルコバルトビス(カルボニル)、ジコバルトオクタ(カルボニル)、ニトロシルコバルトトリス(カルボニル)、ビス(シクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(シクロヘキサジエニル)、シクロペンタジエニルコバルト(1,3−ヘキサジエニル)、(シクロブタジエニル)コバルト(シクロペンタジエニル)、ビス(メチルシクロペンタジエニル)コバルト、(シクロペンタジエニル)コバルト(5−メチルシクロペンタジエニル)、ビス(エチレン)コバルト(ペンタメチルシクロペンタジエニル)、それらの誘導体、それらの錯体、それらのプラズマ、およびそれらの組合せから成る群から選択されるコバルト前駆体を含む、請求項1に記載の方法。
  13. 前記コバルト前駆体は、シクロペンタジエニルコバルトビス(カルボニル)を含む、請求項12に記載の方法。
  14. 基板上の銅表面をキャッピングするための方法であって、
    汚染された銅表面および誘電表面を含む基板を処理チャンバー内に位置決めするステップと、
    前処理プロセスの間に金属銅表面を形成している間に前記汚染された銅表面を還元剤にさらすステップと、
    堆積−処理サイクルの間に前記誘電表面を露出したままにしながら前記金属銅表面を覆ってコバルトキャッピング材料を堆積させるステップであって、前記堆積−処理サイクルが、
    気相堆積プロセスの間に前記誘電表面を露出したままにしながら前記金属銅表面を覆って第1のコバルト層を選択的に形成するために前記基板をコバルト前駆体ガスにさらすステップと、
    処理プロセスの間に前記第1のコバルト層を窒素(N)、アンモニア、アンモニア/窒素混合物、または水素を含むプラズマにさらすステップと、
    前記気相堆積プロセスの間に前記誘電表面を露出したままにしながら前記第1のコバルト層上に第2のコバルト層を選択的に形成するために前記基板を前記コバルト前駆体ガスにさらすステップと、
    前記処理プロセスの間に前記第2のコバルト層を前記プラズマにさらすステップと
    を含むステップと、
    前記コバルトキャッピング材料および前記誘電表面の上に誘電障壁層を堆積させるステップと
    を含む方法。
  15. 前記気相堆積プロセスの間に前記誘電表面を露出したままにしながら前記第2のコバルト層上に第3のコバルト層を選択的に形成するために前記基板を前記コバルト前駆体ガスにさらすステップと、
    前記処理プロセスの間に前記第3のコバルト層を前記プラズマにさらすステップと
    をさらに含む、請求項14に記載の方法。
JP2011507595A 2008-04-29 2009-04-29 銅表面上への選択的コバルト堆積 Active JP6146948B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/111,921 2008-04-29
US12/111,921 US20090269507A1 (en) 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces
PCT/US2009/042030 WO2009134840A2 (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces

Publications (2)

Publication Number Publication Date
JP2011524078A true JP2011524078A (ja) 2011-08-25
JP6146948B2 JP6146948B2 (ja) 2017-06-14

Family

ID=41215285

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011507595A Active JP6146948B2 (ja) 2008-04-29 2009-04-29 銅表面上への選択的コバルト堆積

Country Status (6)

Country Link
US (4) US20090269507A1 (ja)
JP (1) JP6146948B2 (ja)
KR (4) KR101764163B1 (ja)
CN (1) CN102007573B (ja)
TW (2) TWI530580B (ja)
WO (1) WO2009134840A2 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016166166A (ja) * 2014-06-09 2016-09-15 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
JP2016540368A (ja) * 2013-09-27 2016-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
JP2017007975A (ja) * 2015-06-22 2017-01-12 東ソー株式会社 置換シクロペンタジエニルコバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
KR20170046733A (ko) * 2014-08-27 2017-05-02 어플라이드 머티어리얼스, 인코포레이티드 알코올 선택적 환원 및 보호에 의한 선택적 증착
JP2017534173A (ja) * 2014-10-15 2017-11-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
JP2019535900A (ja) * 2016-11-01 2019-12-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コバルト化合物、その製造方法及びその使用方法
JP2020021802A (ja) * 2018-07-31 2020-02-06 株式会社アルバック Co膜製造方法

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101069440B1 (ko) 2010-04-16 2011-09-30 주식회사 하이닉스반도체 반도체 소자의 금속 패턴 및 그 형성방법
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20120161319A1 (en) * 2010-12-23 2012-06-28 Stmicroelectronics Pte Ltd. Ball grid array method and structure
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728934B2 (en) * 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
KR102193925B1 (ko) 2012-09-25 2020-12-22 엔테그리스, 아이엔씨. 코발트계 박막의 저온 ald 또는 cvd를 위한 코발트 전구체
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
CN103972156B (zh) * 2013-02-06 2016-09-14 中芯国际集成电路制造(上海)有限公司 半导体互连结构及其制作方法
WO2014189671A1 (en) 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9153482B2 (en) * 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
TWI661072B (zh) 2014-02-04 2019-06-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沈積
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
CN104152863B (zh) * 2014-08-27 2019-10-25 上海华力微电子有限公司 一种提高钴阻挡层沉积选择比的方法
US11476158B2 (en) * 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102264160B1 (ko) 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
KR20160122399A (ko) * 2015-04-14 2016-10-24 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
KR102641862B1 (ko) * 2015-04-30 2024-02-27 시스타 케미칼즈 유엘씨 화학 상 증착용 유기금속 화합물
WO2016183435A1 (en) * 2015-05-13 2016-11-17 National Oilwell DHT, L.P. Methods for fabricating cutter elements for drill bits
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US9837350B2 (en) 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10157827B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TW201819665A (zh) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10355204B2 (en) 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
KR102592166B1 (ko) * 2017-12-15 2023-10-19 버슘머트리얼즈 유에스, 엘엘씨 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법
TWI672390B (zh) * 2017-12-21 2019-09-21 美商慧盛材料美國責任有限公司 二取代炔基二鈷六羰基化合物、其製造及使用方法
TWI810808B (zh) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
WO2020034063A1 (en) * 2018-08-13 2020-02-20 Yangtze Memory Technologies Co., Ltd. Bonding contacts having capping layer and method for forming the same
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
SG11202106002VA (en) 2018-12-05 2021-07-29 Lam Res Corp Void free low stress fill
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US10961624B2 (en) 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
KR102034602B1 (ko) * 2019-07-30 2019-10-22 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
US11239421B2 (en) 2020-01-24 2022-02-01 International Business Machines Corporation Embedded BEOL memory device with top electrode pillar
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146516A (ja) * 2002-10-23 2004-05-20 Tokyo Electron Ltd 成膜方法
JP2004221190A (ja) * 2003-01-10 2004-08-05 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および製造装置
JP2005029821A (ja) * 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
JP2007059901A (ja) * 2005-08-23 2007-03-08 Taiwan Semiconductor Manufacturing Co Ltd 配線構造およびその製造方法
JP2007505995A (ja) * 2003-09-19 2007-03-15 アプライド マテリアルズ インコーポレイテッド 無電解堆積のエンドポイントを検出するための装置および方法
WO2007121249A2 (en) * 2006-04-11 2007-10-25 Applied Materials, Inc. Process for forming cobalt-containing materials
WO2008027216A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Processes and integrated systems for engineering a substrate surface for metal deposition
JP2010503205A (ja) * 2006-08-30 2010-01-28 ラム リサーチ コーポレーション 金属堆積のために基板表面を設計するためのプロセスおよび統合システム

Family Cites Families (65)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2738333B2 (ja) * 1995-03-30 1998-04-08 日本電気株式会社 半導体装置の製造方法
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6921712B2 (en) * 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
JP4333900B2 (ja) * 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6943451B2 (en) * 2001-07-02 2005-09-13 International Business Machines Corporation Semiconductor devices containing a discontinuous cap layer and methods for forming same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
TW559933B (en) 2002-09-25 2003-11-01 Applied Materials Inc An apparatus and a method for reducing copper oxide on a copper layer
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
JP2004140315A (ja) * 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
KR20040039591A (ko) * 2002-11-04 2004-05-12 주식회사 하이닉스반도체 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
WO2004074932A2 (en) * 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
KR100485386B1 (ko) * 2003-04-08 2005-04-27 삼성전자주식회사 금속막 증착용 조성물 및 이를 이용한 금속막 형성 방법
KR100539274B1 (ko) * 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7119019B2 (en) * 2004-03-31 2006-10-10 Intel Corporation Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7799683B2 (en) * 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
TW200704794A (en) 2005-03-18 2007-02-01 Applied Materials Inc Process for electroless copper deposition
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP5558815B2 (ja) * 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド ナノ結晶の形成
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
EP2065927B1 (en) * 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
CN102859662B (zh) * 2009-10-23 2015-11-25 哈佛大学校长及研究员协会 用于互连的自对准阻挡层和封盖层
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
WO2016081146A1 (en) * 2014-11-21 2016-05-26 Applied Materials, Inc. Alcohol assisted ald film deposition
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
TW201819665A (zh) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US10290540B2 (en) * 2016-11-01 2019-05-14 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US11440929B2 (en) * 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146516A (ja) * 2002-10-23 2004-05-20 Tokyo Electron Ltd 成膜方法
JP2004221190A (ja) * 2003-01-10 2004-08-05 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および製造装置
JP2005029821A (ja) * 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
JP2007505995A (ja) * 2003-09-19 2007-03-15 アプライド マテリアルズ インコーポレイテッド 無電解堆積のエンドポイントを検出するための装置および方法
JP2007059901A (ja) * 2005-08-23 2007-03-08 Taiwan Semiconductor Manufacturing Co Ltd 配線構造およびその製造方法
WO2007121249A2 (en) * 2006-04-11 2007-10-25 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2009533877A (ja) * 2006-04-11 2009-09-17 アプライド マテリアルズ インコーポレイテッド コバルト含有材料を形成するプロセス
WO2008027216A2 (en) * 2006-08-30 2008-03-06 Lam Research Corporation Processes and integrated systems for engineering a substrate surface for metal deposition
JP2010503205A (ja) * 2006-08-30 2010-01-28 ラム リサーチ コーポレーション 金属堆積のために基板表面を設計するためのプロセスおよび統合システム

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016540368A (ja) * 2013-09-27 2016-12-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
JP2016166166A (ja) * 2014-06-09 2016-09-15 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
KR20170046733A (ko) * 2014-08-27 2017-05-02 어플라이드 머티어리얼스, 인코포레이티드 알코올 선택적 환원 및 보호에 의한 선택적 증착
JP2017528597A (ja) * 2014-08-27 2017-09-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated アルコールの選択的還元および保護による選択的堆積
KR102403684B1 (ko) * 2014-08-27 2022-05-27 어플라이드 머티어리얼스, 인코포레이티드 알코올 선택적 환원 및 보호에 의한 선택적 증착
JP7096666B2 (ja) 2014-08-27 2022-07-06 アプライド マテリアルズ インコーポレイテッド アルコールの選択的還元および保護による選択的堆積
JP2017534173A (ja) * 2014-10-15 2017-11-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
JP2017007975A (ja) * 2015-06-22 2017-01-12 東ソー株式会社 置換シクロペンタジエニルコバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
JP2019535900A (ja) * 2016-11-01 2019-12-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー コバルト化合物、その製造方法及びその使用方法
JP2020021802A (ja) * 2018-07-31 2020-02-06 株式会社アルバック Co膜製造方法
JP7164349B2 (ja) 2018-07-31 2022-11-01 株式会社アルバック Co膜製造方法

Also Published As

Publication number Publication date
JP6146948B2 (ja) 2017-06-14
KR101938841B1 (ko) 2019-01-15
US20090269507A1 (en) 2009-10-29
US11959167B2 (en) 2024-04-16
CN102007573B (zh) 2013-02-13
TWI441939B (zh) 2014-06-21
US20220298625A1 (en) 2022-09-22
KR20170091171A (ko) 2017-08-08
KR101654001B1 (ko) 2016-09-05
TW201447012A (zh) 2014-12-16
KR20100137582A (ko) 2010-12-30
TWI530580B (zh) 2016-04-21
CN102007573A (zh) 2011-04-06
WO2009134840A3 (en) 2010-01-14
KR101802452B1 (ko) 2017-11-28
TW201009107A (en) 2010-03-01
KR101764163B1 (ko) 2017-08-02
US20170321320A1 (en) 2017-11-09
KR20160102574A (ko) 2016-08-30
US20150325446A1 (en) 2015-11-12
KR20170132901A (ko) 2017-12-04
WO2009134840A2 (en) 2009-11-05
US11384429B2 (en) 2022-07-12

Similar Documents

Publication Publication Date Title
US11959167B2 (en) Selective cobalt deposition on copper surfaces
JP6449217B2 (ja) 障壁表面上のコバルト堆積
US8765601B2 (en) Post deposition treatments for CVD cobalt films
CN106887380B (zh) 实现无缝钴间隙填充的方法
KR101174946B1 (ko) 코발트-함유 물질들을 형성하기 위한 프로세스
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20160141203A1 (en) Cobalt selectivity improvement in selective cobalt process sequence
WO2016073707A1 (en) Methods for thermally forming a selective cobalt layer
WO2009134925A2 (en) Process for forming cobalt and cobalt silicide materials in copper contact applications

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120427

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131112

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140207

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140217

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140509

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20141224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150424

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20150507

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20150904

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161012

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161101

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170516

R150 Certificate of patent or registration of utility model

Ref document number: 6146948

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250