KR101938841B1 - 기판 상에 구리 표면을 캡핑하기 위한 방법 - Google Patents

기판 상에 구리 표면을 캡핑하기 위한 방법 Download PDF

Info

Publication number
KR101938841B1
KR101938841B1 KR1020177033840A KR20177033840A KR101938841B1 KR 101938841 B1 KR101938841 B1 KR 101938841B1 KR 1020177033840 A KR1020177033840 A KR 1020177033840A KR 20177033840 A KR20177033840 A KR 20177033840A KR 101938841 B1 KR101938841 B1 KR 101938841B1
Authority
KR
South Korea
Prior art keywords
cobalt
substrate
capping
copper surface
layer
Prior art date
Application number
KR1020177033840A
Other languages
English (en)
Other versions
KR20170132901A (ko
Inventor
상호 유
케빈 모래스
세샤드리 간구리
후아 츙
시-잉 판
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170132901A publication Critical patent/KR20170132901A/ko
Application granted granted Critical
Publication of KR101938841B1 publication Critical patent/KR101938841B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • C23C16/0218Pretreatment of the material to be coated by heating in a reactive atmosphere
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Abstract

본 발명의 실시예들은 노출된 유전체 위에 있는 구리 표면 상에 코발트 층을 선택적으로 형성하기 위한 공정을 제공한다. 일 실시예에서, 기판 상에 구리 표면을 캡핑하기 위한 방법이 제공되며, 이 방법은 예비-처리 공정 중에 금속 구리 표면을 형성하면서 처리 챔버 내의 기판의 오염된 구리 표면을 환원제에 노출시키는 단계와, 기상 증착 공정 중에 유전체 표면을 그대로 노출시키면서 상기 금속 구리 표면 상에 또는 위에 코발트 캡핑 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스에 노출시키는 단계, 및 상기 코발트 캡핑 층과 유전체 표면 상에 또는 위에 유전체 배리어 층을 증착시키는 단계를 포함한다. 다른 실시예에서, 증착 처리 사이클은 기상 증착 공정을 수행하고 계속해서 후-처리 공정을 수행하는 단계를 포함하며, 증착 처리 사이클은 반복되어 다수의 코발트 캡핑 층들을 형성할 수 있다.

Description

기판 상에 구리 표면을 캡핑하기 위한 방법{A METHOD FOR CAPPING A COPPER SURFACE ON A SUBSTRATE}
본 발명의 실시예들은 일반적으로 반도체 소자들을 제작하기 위한 금속배선 공정(metallization process)에 관한 것이며, 보다 구체적으로는 기판 상에 코발트 재료들을 증착함으로써 구리 디웨팅(dewetting)을 방지하는 것에 관한 것이다.
구리는 반도체 소자 제작에 중요한 다층 금속배선 공정들에 사용하기 위해 선택되는 현재 통용되는 금속이다. 제작 공정들을 추진하는 다층 상호접점(interconnect)들은 콘택들, 비아들, 라인들, 및 다른 피처들을 포함하는 고 종횡비 구멍들의 평탄화를 필요로 한다. 공동들의 형성이나 피처 형상(geometry)의 변형 없이 피처들을 충진하는 것은 피처들이 더 높은 종횡비들을 가질 때 더욱 어렵다. 또한, 제작자들이 회로 밀도 및 회로 품질을 증대시키고자 노력함에 따라 상호접점들의 신뢰가능한 형성은 더욱 어렵다.
구리의 상대적으로 저렴한 비용과 처리 특징들 때문에 구리의 사용이 시장에 널리 보급됨에 따라, 반도체 제작자들은 구리 확산과 디웨팅을 감소시킴으로써 구리와 유전체 재료 사이의 경계 영역들을 개선하고자 하는 방법들을 계속해서 찾고 있다. 피처 사이즈들이 감소함에 따라 구리 상호접점들을 제작하기 위한 여러 처리 방법들이 개발되어 왔다. 각각의 처리 방법은 경계 영역들에 걸친 구리 확산, 구리 결정질 구조의 변형, 및 디웨팅과 같은 에러들의 가능성을 증가시킬 수 있다. 물리 기상 증착(PVD), 화학 기상 증착(CVD), 원자 층 증착(ALD), 화학 기계적 폴리싱(CMP), 전기 화학적 도금(ECP), 전기 화학 기계적 폴리싱(ECMP), 및 구리 층들을 증착 및 제거하는 다른 방법들은 상호접점들을 형성하는 구리를 조절하기 위해 기계적, 전기적, 또는 화학적 방법들을 사용한다. 배리어 및 캡핑 층들이 구리를 포함하도록 증착될 수 있다.
과거에, 탄탈륨, 탄탈륨 질화물, 또는 주석, 알루미늄 또는 망간과의 구리 합금의 층이 배리어 층을 제공하거나 구리와 다른 재료들 간의 접착 조촉매(promoter)를 제공하기 위해 사용되었다. 이들 옵션들은 고가이거나 단지 부분적으로만 효과적이거나 또는 고가이면서 부분적으로만 효과적이다. 경계 영역들을 따라 구리 원자들이 다단계 반도체 처리 동안 일반적인 온도, 압력, 대기 조건들, 또는 다른 공정 변수들의 변화들을 겪기 때문에, 구리는 경계 영역들을 따라 이동할 수 있으며 응집된 구리가 될 수 있다. 또한, 구리는 경계 영역들을 따라 덜 균일하게 분산될 수 있으며 디웨팅된 구리가 될 수 있다. 경계 영역에서의 이러한 변화들은 응력 이동 및 구리 원자들의 전자 이동(electromigration)을 포함한다. 유전체 층들 또는 다른 구조들에 걸친 구리의 응력 이동 및 전자 이동은 결과적인 구조물들의 저항을 증가시키며 결과적인 소자들의 신뢰도를 감소시킨다.
코발트를 포함한 배리어 층들은 PVD, CVD, 및 ALD 공정들에 의해 증착되었다. 코발트를 증착하기 위한 PVD 공정들은 종종 정밀한 증착 두께들을 제어하는데 어려움을 겪는다. CVD 공정들은 보통 열악한 등각성(conformality)과 증착된 코발트 층 내의 오염들을 겪는다. 통상적인 ALD 공정 동안, 원하는 코발트 층을 형성하기 위해 코발트 전구체와 환원제가 기판에 연속적으로 노출된다. ALD 공정들은 매우 등각인 필름들 및 고 종횡비 비아들로 증착하기 위한 능력과 같이, 다른 기상 증착 공정들에 비해 여러 장점들을 가진다. 그러나, ALD 공정의 증착 속도들은 종종 너무 느리므로, ALD 공정들은 상업적 적용 분야들에 자주 사용되지 않는다.
그러므로, 구리 함유 층들의, 특히 구리 시드 층들에 대한 안정성과 접착력을 개선할 필요성이 존재한다. 또한, 유전체 재료들과 같은 인근 재료들로의 구리 확산을 방지하면서 구리 함유 층의, 특히 구리 라인 형성들에 대한 전자 이동(EM) 신뢰성을 개선할 필요성이 존재한다. 코발트 재료들을 증착하기 위한 개선된 기상 증착 공정에 대한 추가 필요성이 존재한다.
본 발명의 실시예들은 노출된 유전체 표면들 위에서 구리 표면 상에 코발트 층을 선택적으로 형성하기 위한 공정들을 제공한다. 일 실시예에서, 오염된 구리 표면과 유전체 표면을 포함하는 기판을 처리 챔버 내에 위치시키는 단계와, 예비-처리 공정 동안 금속 구리 표면을 형성하면서 상기 오염된 구리 표면을 환원제에 노출시키는 단계와, 기상 증착 공정 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 금속 구리 표면 상에 또는 위에 코발트 캡핑 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스에 노출시키는 단계, 및 상기 코발트 캡핑 층과 유전체 표면 상에 또는 위에 유전체 배리어 층을 증착시키는 단계를 포함하는, 기판 상에 구리 표면을 캡핑(capping)하기 위한 방법이 제공된다.
몇몇 예들에서, 상기 방법은 예비-처리 공정 동안 상기 금속 구리 표면을 형성하도록 상기 오염된 구리 표면 상의 구리 산화물들을 화학적으로 환원하는 단계를 더 포함한다. 상기 오염된 구리 표면은 환원제에 노출될 수 있으며 예비-처리 공정 동안 플라즈마가 점화되며 환원제는 질소(N2), 암모니아(NH3), 수소(H2), 암모니아/질소 혼합물, 또는 이들의 조합물들과 같은 시약(reagent)을 포함할 수 있다. 몇몇 예들에서, 오염된 구리 표면은 약 5초 내지 약 15초 범위 내의 시간 기간 동안 플라즈마에 노출될 수 있다. 다른 예에서, 환원제는 수소 가스를 포함하며, 예비-처리 공정은 열 공정이며, 상기 기판은 열 공정 동안 약 200 ℃ 내지 약 400 ℃ 범위 내의 온도로 가열된다.
다른 예들에서, 상기 방법은 상기 유전체 배리어 층을 증착하기 이전의 후-처리 공정 동안 시약 및 플라즈마에 상기 코발트 캡핑 층을 노출시키는 단계를 더 포함한다. 상기 시약은 질소, 암모니아, 수소, 암모니아/질소 혼합물, 또는 이들의 조합물들을 포함할 수 있다.
다른 실시예에서, 증착 처리 사이클은 기상 증착 공정 및 그 이후의 후-처리 공정을 수행하는 단계를 포함하며, 상기 증착 처리 사이클은 다수의 코발트 캡핑 층들을 증착하기 위해 2회, 3회 또는 그보다 여러 번 수행된다. 코발트 캡핑 층들 각각은 각각의 증착 처리 사이클들 동안 약 3Å 내지 약 5Å 범위 내의 두께로 증착될 수 있다. 전체 코발트 캡핑 재료 또는 코발트 캡핑 층은 약 4Å 내지 약 20Å, 바람직하게는 약 5Å 내지 약 15Å 범위 내의 두께를 가질 수 있다. 몇몇 예들에서, 코발트 캡핑 층은 약 2Å 내지 약 8Å과 같은 약 10Å 미만의 두께를 가진다.
기판은 기상 증착 공정 동안 코발트 전구체 가스와 수소 가스를 포함하는 증착 가스에 노출될 수 있으며, 기상 증착 공정은 열 화학 기상 증착 공정 또는 원자 층 증착 공정이며, 여기서 코발트 전구체 가스는 일반적인 화학식 [(CO)xCoyLz]을 가지는 코발트 전구체를 포함하며, 여기서 X는 1,2,3,4,5,6,7,8,9,10,11, 또는 12이며, Y는 1,2,3,4, 또는 5이며, Z는 1,2,3,4,5,6,7, 또는 8이며, 그리고 L은 사이클로펜타디에닐, 알킬사이클로펜타디에닐, 메틸사이클로펜타디에닐, 펜타메틸사이클로펜타디에닐, 펜타디에닐, 알킬펜타디에닐, 사이클로부타디에닐, 부타디에닐, 알릴, 에틸렌, 프로필렌, 알켄들, 디알켄들, 알킨들, 니트로실, 암모니아, 이들의 유도체들, 또는 이들의 조합물들로부터 독립적으로 선택되는 리간드(ligand)이다. 코발트 전구체 가스는 트리카르보닐 알릴 코발트, 사이클로펜타디에닐 코발트 비스(카르보닐), 메틸사이클로펜타디에닐 코발트 비스(카르보닐), 에틸사이클로펜타디에닐 코발트 비스(카르보닐), 펜타메틸사이클로펜타디에닐 코발트 비스(카르보닐), 디코발트 옥타(카르보닐), 니트로실 코발트 트리스(카르보닐), 비스(사이클로펜타디에닐) 코발트, (사이클로펜타디에닐) 코발트 (사이클로헥사디에닐), 사이클로펜타디에닐 코발트 (1,3-헥사디에닐), (사이클로부타디에닐) 코발트 (사이클로펜타디에닐), 비스(메틸사이클로펜타디에닐) 코발트, (사이클로펜타디에닐) 코발트 (5-메틸사이클로펜타디에닐), 비스(에틸렌) 코발트 (펜타메틸사이클로펜타디에닐), 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마들, 또는 이들의 조합물들로 이루어지는 그룹으로부터 선택되는 코발트 전구체를 포함할 수 있다. 일 예에서, 코발트 전구체는 사이클로펜타디에닐 코발트 비스(카르보닐)을 포함한다.
다른 실시예에서, 구리 산화물 표면과 유전체 표면을 포함하는 기판을 처리 챔버 내에 위치시키는 단계와, 예비-처리 공정 동안 금속 구리 표면을 형성하면서 상기 구리 산화물 표면을 암모니아 플라즈마 또는 수소 플라즈마에 노출시키는 단계와, 기상 증착 공정 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 금속 구리 표면 상에 또는 위에 코발트 캡핑 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스에 노출시키는 단계, 후-처리 공정 동안 상기 코발트 캡핑 층을 플라즈마에 노출시키는 단계 및 상기 코발트 캡핑 층과 유전체 표면 상에 또는 위에 유전체 배리어 층을 증착시키는 단계를 포함하는, 기판 상에 구리 표면을 캡핑하기 위한 방법이 제공된다.
몇몇 예들에서, 증착 처리 사이클은 기상 증착 공정 및 그 이후의 후-처리 공정을 수행함으로써 형성된다. 상기 증착 처리 사이클은 다수의 코발트 캡핑 층들을 증착하기 위해 2회, 3회 또는 그보다 여러 번 수행될 수 있다. 코발트 캡핑 층들 각각은 각각의 증착 처리 사이클들 동안 약 3Å 내지 약 5Å 범위 내의 두께로 증착될 수 있다.
다른 예에서, 상기 구리 산화물 표면은 예비-처리 공정 동안 약 5초 내지 약 15초 범위 내의 시간 기간 동안 암모니아 플라즈마 또는 수소 플라즈마에 노출될 수 있다. 상기 플라즈마는 후-처리 공정 동안 코발트 캡핑 층에 노출될 수 있으며 질소, 암모니아, 암모니아/질소 혼합물, 또는 수소를 포함한다.
다른 실시예에서, 구리 산화물 표면과 유전체 표면을 포함하는 기판을 처리 챔버 내에 위치시키는 단계와, 예비-처리 공정 동안 금속 구리 표면을 형성하면서 상기 구리 산화물 표면을 암모니아 플라즈마 또는 수소 플라즈마에 노출시키는 단계와, 기상 증착 공정 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 금속 구리 표면 상에 또는 위에 코발트 캡핑 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스 및 수소 가스에 노출시키는 단계, 및 후-처리 공정 동안 질소, 암모니아, 수소, 암모니아/질소 혼합물, 및 이들의 조합물들로 이루어진 그룹으로부터 선택되는 플라즈마 및 시약에 상기 코발트 캡핑 층을 노출시키는 단계를 포함하는, 기판 상에 구리 표면을 캡핑하기 위한 방법이 제공된다.
다른 실시예에서, 오염된 구리 표면과 유전체 표면을 포함하는 기판을 처리 챔버 내에 위치시키는 단계와, 예비-처리 공정 동안 금속 구리 표면을 형성하면서 상기 오염된 구리 표면을 환원제에 노출시키는 단계, 및 증착 처리 사이클 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 금속 구리 표면 상에 코발트 캡핑 재료를 증착하는 단계를 포함하는, 기판 상에 구리 표면을 캡핑하기 위한 방법이 제공된다. 일 예에서, 상기 증착 처리 사이클은 기상 증착 공정 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 금속 구리 표면 상에 또는 위에 제 1 코발트 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스에 노출시키는 단계와, 처리 공정 동안 질소, 암모니아, 암모니아/질소 혼합물, 또는 수소를 포함하는 플라즈마에 상기 제 1 코발트 층을 노출시키는 단계와, 상기 기상 증착 공정 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 제 1 코발트 층 상에 또는 위에 제 2 코발트 층을 선택적으로 형성하도록 상기 기판을 상기 코발트 전구체 가스에 노출시키는 단계, 및 상기 처리 공정 동안 상기 제 2 코발트 층을 상기 플라즈마에 노출시키는 단계를 포함한다. 상기 방법은 상기 코발트 캡핑 재료와 상기 유전체 표면 상에 또는 위에 유전체 배리어 층을 증착하는 단계를 더 제공한다.
몇몇 예들에서, 상기 방법은 기상 증착 공정 동안 상기 유전체 표면을 노출시키게 유지하면서 상기 제 2 코발트 층 상에 또는 위에 제 3 코발트 층을 선택적으로 형성하도록 상기 기판을 상기 코발트 전구체 가스에 노출시키는 단계, 및 상기 처리 공정 동안 상기 제 3 코발트 층을 상기 플라즈마에 노출시키는 단계를 제공한다.
본 발명의 상기 열거된 특징들이 상세하게 이해될 수 있는 방식으로, 앞서 간단하게 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 실시예들 중 일부는 첨부된 도면들로 예시된다. 그러나, 첨부된 도면들은 본 발명의 전형적인 실시예들만을 도시하므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 동등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 본 발명에서 설명하는 실시예에 따른 처리 및 증착 공정을 설명하는 흐름도를 나타내며,
도 2a 내지 도 2e는 본 발명에서 설명하는 실시예에 따른 상이한 공정 단계들에서의 기판의 개략도들을 나타내며,
도 3은 본 발명에서 설명하는 다른 실시예에 따른 증착 공정을 설명하는 흐름도를 나타낸다.
본 발명의 실시예들은 상호접점 경계 영역들에서 구리 확산 및 디웨팅을 방지하기 위해 코발트 캡핑 층 또는 재료를 이용하는 방법을 제공한다. 전이 금속 예를 들어, 코발트는 접착력을 촉진하고, 확산 및 응집을 감소시키며, 처리 동안 기판 표면의 균일한 표면 거칠기 및 습윤화(wetting)를 향상시키도록 구리 경계 영역 특성들을 개선한다. 기판 상에 유전체 표면들을 노출시키게 유지하면서 기판 상의 구리 콘택 또는 표면 상에 코발트 캡핑 층이 선택적으로 증착될 수 있는 실시예들이 제공된다.
도 1은 본 발명의 실시예에 따른 공정(100)을 설명하는 흐름도를 나타낸다. 공정(100)은 폴리싱 공정 후에 기판 상의 구리 콘택 표면을 세정 및 캡핑하는데 사용될 수 있다. 일 실시예에서, 공정(100)의 단계들(110-140)은 도 2a 내지 도 2e에 도시된 기판(200) 상에서 사용될 수 있다. 공정(100)은 기판을 예비-처리 공정에 노출시키는 단계(단계(110))와, 기판의 노출된 구리 표면들 상에 코발트 캡핑 층을 증착시키는 단계(단계(120)), 기판을 후-처리 공정에 노출시키는 단계(단계(130)), 및 유전체 배리어 층을 기판 상에 증착시키는 단계(단계(140))를 포함한다.
도 2a는 폴리싱 공정에 노출된 후에 하부 층(202) 위에 배치되는 유전체 층(204)을 포함하는 기판(200)을 도시한다. 구리 콘택들(208)이 유전체 층(204) 내에 배치되며 배리어 층(206)에 의해 유전체 층(204)으로부터 분리된다. 유전체 층(204)은 저-k 유전체 재료와 같은 유전체 재료를 포함한다. 일 예에서, 유전체 층(204)은 실리콘 카바이드 산화물 재료 또는 탄소 도핑된 실리콘 산화물 재료와 같은 저-k 유전체 재료, 예를 들어 미국 캘리포니아 산타 클라라에 소재하는 어플라이드 머티리얼즈, 인코포레이티드로부터 입수할 수 있는 BLACK DIAMOND
Figure 112017116476478-pat00001
Ⅱ 저-k 유전체 재료를 포함한다.
배리어 층(206)은 유전체 층(204) 내의 구멍 내에 등각으로 증착될 수 있다. 배리어 층(206)은 PVD 공정, ALD 또는 CVD 공정에 의해 형성 또는 증착될 수 있으며, 약 5Å 내지 약 50Å 범위, 바람직하게는 약 10Å 내지 약 30Å 범위 내의 두께를 가질 수 있다. 배리어 층(206)은 티타늄, 티타늄 질화물, 탄탈륨, 탄탈륨 질화물, 텅스텐, 텅스텐 질화물, 이들의 실리사이드들, 이들의 유도체들, 또는 이들의 조합물들을 포함할 수 있다. 몇몇 실시예들에서, 배리어 층(206)은 탄탈륨/탄탈륨 질화물 이중 층 또는 티타늄/티타늄 질화물 이중 층을 포함할 수 있다. 일 예에서, 배리어 층(206)은 PVD 공정들에 의해 증착되는 탄탈륨 질화물 및 금속 탄탈륨 층들을 포함한다.
화학 기계적 폴리싱(CMP) 공정과 같은 폴리싱 공정 동안, 구리 콘택들(208)의 상부 표면은 기판 영역(210)에 걸쳐 노출되며 오염물들(212)이 구리 콘택들(212) 상에 형성된다. 오염물들(212)은 보통 폴리싱 공정 동안 또는 이후에 형성되는 구리 산화물들을 포함한다. 구리 콘택들(208)의 노출 표면들은 과산화물들, 물, 또는 폴리싱 용액 내의 다른 시약들에 의해 또는 주변 공기 내의 산소에 의해 산화될 수 있다. 오염물들(212)은 또한, 습기, 표면 활성제들 및 다른 첨가물들을 포함하는 폴리싱 용액 잔류물들, 또는 폴리싱된 재료들의 입자들을 포함할 수 있다.
공정(100)의 단계(110)에서, 오염물들(212)은 기판(200)을 예비-처리 공정에 노출시킴으로써 기판 영역(210)으로부터 제거될 수 있다. 도 2b에 도시된 바와 같이, 일단 오염물들(212)이 처리되거나 구리 콘택들(208)로부터 제거되면, 구리 표면들(214)이 노출된다. 구리 산화물들은 환원제에 기판(200)을 노출시킴으로써 화학적으로 환원될 수 있다. 예비-처리 공정은 열 공정 또는 플라즈마 공정 동안 기판(200)을 환원제에 노출시킨다. 환원제는 액체 상태, 가스 상태, 플라즈마 상태, 또는 이들의 조합 상태들을 가질 수 있다. 예비-처리 공정 동안 유용한 환원제는 수소(예를 들어, H2 또는 원자-H), 암모니아(NH3), 수소와 암모니아 혼합물(H2/NH3), 원자-N, 히드라진(N2H4), 알콜들(예를 들어, 메탄올, 에탄올, 또는 프로판올), 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다. 기판(200)은 예비-처리 공정 동안 원위치(in situ) 또는 원거리에서 형성된 플라즈마에 노출될 수 있다.
일 실시예에서, 기판(200)은 구리 표면들(214)을 형성하면서 오염물들(212)을 구리 콘택들(208)로부터 제거하기 위해 열 예비-처리 공정에 노출된다. 기판(200)은 처리 챔버 내에 위치되며, 환원제에 노출되며, 약 200℃ 내지 약 800℃ 범위, 바람직하게는 약 250℃ 내지 약 600℃ 범위, 더 바람직하게는 약 300℃ 내지 약 500℃ 범위 내의 온도로 가열될 수 있다. 기판(200)은 약 2분 내지 약 20분 범위, 바람직하게는 약 5 분 내지 약 15분 범위 내의 시간 기간 동안 가열될 수 있다. 예를 들어, 기판(200)은 약 12분 동안 수소 분위기를 포함하는 처리 챔버 내에서 약 500 ℃로 가열될 수 있다.
다른 실시예에서, 기판(200)은 구리 표면들(214)을 형성하면서 오염물들(212)을 구리 콘택들(208)로부터 제거하기 위해 플라즈마 예비-처리 공정에 노출된다. 기판(200)은 처리 챔버 내에 위치되며, 환원제에 노출되고 약 100℃ 내지 약 400℃ 범위, 바람직하게는 약 125℃ 내지 약 350℃ 범위, 더 바람직하게는 약 150℃ 내지 약 300℃ 범위 내의 온도, 예컨대 약 200℃ 또는 약 250℃로 가열될 수 있다. 처리 챔버는 원위치 플라즈마를 생성할 수 있거나 원거리 플라즈마 소스(RPS)를 갖출 수 있다. 일 실시예에서, 기판(200)은, 약 2초 내지 약 60초 범위, 바람직하게는 약 3 초 내지 약 30 초 범위, 더 바람직하게는 약 5 초 내지 약 15 초 범위 내의 시간 기간, 예컨데 약 10초 동안 상기 플라즈마에(예컨데, 원위치 또는 원거리로) 노출될 수 있다. 플라즈마는 약 200와트 내지 약 1000와트 범위, 바람직하게는 약 400 와트 내지 약 800 와트 범위 내의 전력에서 생성될 수 있다. 일 예에서, 기판(200)은 약 5 Torr에서 약 10초 동안 400 와트에서 플라즈마가 생성되는 동안 수소 가스에 노출될 수 있다. 다른 예에서, 약 5 Torr에서 약 20 초 동안 800 와트에서 플라즈마가 생성되는 동안 기판(200)이 암모니아 가스에 노출될 수 있다. 다른 예에서, 약 5 Torr에서 약 15 초 동안 400 와트에서 플라즈마가 생성되는 동안 기판(200)이 수소 및 암모니아 가스 혼합물에 노출될 수 있다.
공정(100)의 단계(120)에서, 코발트 캡핑 층(216)은 도 2c에 도시한 바와 같이, 기판 영역들(210)에 걸쳐서 유전체 층(204)의 노출된 표면들을 그대로 유지하면서 구리 표면들(214) 상에 선택적으로 증착 또는 형성될 수 있다. 그러므로, 유전체 층(204)의 표면들을 코발트 캡핑 층(216) 없이 또는 적어도 실질적으로 없게 유지하면서 기판 영역(210)을 따라 코발트 캡핑 층(216)이 구리 표면들(214) 상에 선택적으로 증착된다. 초기에, 코발트 캡핑 층(216)은 구리 표면들(214)에 걸친 연속 층이거나 불연속 층일 수 있으나, 다수의 증착 사이클들 이후에는 연속 층이다.
오염물들(218)은 도 2c에 도시한 바와 같이, 기판 영역(210) 도처에, 예컨대 코발트 캡핑 층(216)뿐만 아니라 유전체 층(204)의 표면들 상에서 수집될 수 있다. 오염물들(218)은 카본, 유기 잔류물, 전구체 잔류물, 및 기판 영역(210) 상에서 수집된 다른 바람직하지 않은 재료들과 같은, 증착 공정으로부터의 부산물들을 포함할 수 있다.
기판(200)은 공정(100)의 단계(130)에서 후-처리 공정 동안 원위치 또는 원거리에서 형성된 플라즈마에 노출될 수 있다. 후-처리 공정은 코발트 캡핑 층(216)을 더욱 밀집화하면서 기판(200)으로부터 오염물들의 양을 제거하거나 감소시킨다. 후-처리 공정은 플라즈마 공정 동안 기판(200) 및 코발트 캡핑 층(216)을 환원제에 노출시킬 수 있다. 후-처리 공정 동안 유용한 환원제는 수소(예를 들어, H2 또는 원자-H), 암모니아(NH3), 수소와 암모니아 혼합물(H2/NH3), 질소(예를 들어, N2 또는 원자-N), 히드라진(N2H4), 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다. 코발트 캡핑 층(216)은 약 2초 내지 약 60초 범위, 바람직하게는 약 3 초 내지 약 30 초 범위, 더 바람직하게는 약 5 초 내지 약 15 초 범위 내의 시간 기간 동안 후-처리 공정 동안 플라즈마에 노출될 수 있다.
일 예에서, 코발트 캡핑 층은 처리 챔버의 원위치 또는 원거리에서 수소 가스를 점화시킴으로써 형성된 수소 플라즈마에 노출된다. 다른 예에서, 코발트 캡핑 층은 처리 챔버의 원위치 또는 원거리에서 암모니아 가스를 점화시킴으로써 형성된 암모니아 플라즈마에 노출된다. 다른 예에서, 코발트 캡핑 층은 처리 챔버의 원위치 또는 원거리에서 수소 가스와 암모니아 가스의 혼합물을 점화시킴으로써 형성된 수소/암모니아 플라즈마에 노출된다.
플라즈마는 예를 들어, 원거리 플라즈마 소스(RPS) 시스템에 의해 처리 챔버 외부에서 생성될 수 있거나, 바람직하게 플라즈마는 단계(130) 또는 단계(330)에서와 같은 플라즈마 처리 공정 동안 PE-CVD 챔버와 같은 원위치 플라즈마 가능한 증착 챔버 내에서 생성될 수 있다. 플라즈마는 마이크로파(MW) 주파수 생성기 또는 무선 주파수(RF) 생성기로부터 생성될 수 있다. 바람직한 예에서, 원위치 플라즈마는 RF 생성기에 의해 생성된다. 처리 챔버는 약 0.1 Torr 내지 약 80 Torr 범위, 바람직하게는 약 0.5 Torr 내지 약 10 Torr 범위, 더 바람직하게는 약 1 Torr 내지 약 5 Torr 범위 내의 압력으로 플라즈마 처리 공정 동안 가압될 수 있다. 또한, 챔버 또는 기판은 약 500℃ 미만, 바람직하게는 약 100℃ 내지 약 450℃ 범위, 더 바람직하게는 약 150℃ 내지 약 400℃ 범위 이내, 예를 들어, 약 300℃의 온도로 가열될 수 있다.
처리 공정들 동안, 플라즈마는 원위치 플라즈마 공정을 위해 처리 챔버 내에서 점화될 수 있거나, 대안으로 RPS 시스템과 같은 외부 소스에 의해 형성될 수 있다. RF 생성기는 약 100 ㎑ 내지 약 60 ㎒ 범위 내의 주파수로 설정될 수 있다. 일 예에서, 13.56 ㎒의 주파수를 갖는 RF 생성기는 약 100 와트 내지 약 1000 와트, 바람직하게는 약 250 와트 내지 약 600 와트, 더 바람직하게는 약 300 와트 내지 약 500 와트 범위 내의 전력 출력을 갖도록 설정될 수 있다. 일 예에서, 350 ㎑의 주파수를 갖는 RF 생성기는 약 200 와트 내지 약 2000 와트, 바람직하게는 약 500 와트 내지 약 1500 와트, 더 바람직하게는 약 800 와트 내지 약 1200 와트 범위 내의, 예를 들어, 1000 와트의 전력 출력을 갖도록 설정될 수 있다. 기판 표면은 약 0.01 와트/㎠ 내지 약 10.0 와트/㎠, 바람직하게는 약 0.05 와트/㎠내지 6.0 와트/㎠ 범위 내의 표면적 당 전력 값을 갖는 플라즈마에 노출될 수 있다.
다른 실시예에서, 단계(120)는 적어도 한 번, 두 번 또는 그보다 여러 번 반복된다. 단계(120)는 코발트 캡핑 층(216)의 단일 층을 형성하도록 한번 수행되거나, 코발트 캡핑 층(216)의 2, 3, 4, 5, 또는 그보다 많은 수의 층들과 같은 코발트 캡핑 층(216)의 다수 층들을 형성하도록 여러 번 수행될 수 있다. 다른 실시예에서, 단계(120) 및 단계(130)는 적어도 한 번, 그렇지 않으면 2, 3, 4 또는 그보다 많은 회수로 연속적으로 반복된다. 코발트 캡핑 층(216)은 약 2Å 내지 약 30Å, 바람직하게는 약 3Å 내지 약 25Å, 더 바람직하게는 약 4Å 내지 약 20Å, 더욱 바람직하게는 약 5Å 내지 약 15Å, 더욱 바람직하게는 약 5Å 내지 약 10Å 범위 내의, 예컨대 약 7Å 또는 약 8Å의 두께를 갖도록 증착될 수 있다. 일 예에서, 약 7Å의 두께를 갖는 코발트 캡핑 층(216)을 형성하도록 단계(120) 및 단계(130)의 두 사이클들이 수행된다. 다른 예에서, 약 8Å의 두께를 갖는 코발트 캡핑 층(216)을 형성하도록 단계(120) 및 단계(130)의 세 사이클들이 수행된다.
코발트 캡핑 층(216)은 단계(120) 동안 불활성 가스에 의해 전달되는 코발트 함유 전구체의 열적 분해에 의해 증착될 수 있다. 환원 가스는 처리 챔버 내로 코발트 전구체와 함께 유동되거나 교대로 펄싱될 수 있다. 기판은 약 50℃ 내지 약 600℃ 범위, 바람직하게는 약 100℃ 내지 약 500℃, 더 바람직하게는 약 200℃ 내지 약 400℃ 범위 내의 온도로 가열될 수 있다. 대안으로, 코발트 캡핑 층(216)은 ALD 또는 CVD 공정에서 코발트 함유 전구체 가스에 기판을 노출시킴으로써 증착될 수 있다.
도 3은 코발트 캡핑 층(216)과 같은 코발트 함유 재료들을 형성하는데 사용될 수 있는 공정(300)의 흐름도를 나타낸다. 일 실시예에서, 공정(300)은 코발트 캡핑 재료를 형성하도록 증착 가스에 기판을 노출하는 단계(단계(310))와, 선택적으로, 증착 챔버를 퍼지(purge)하는 단계(단계(320))와, 플라즈마 처리 공정에 기판을 노출시키는 단계(단계(330))와, 증착 챔버를 퍼지하는 단계(단계(340)), 및 미리 결정된 두께의 코발트 캡핑 재료가 기판 상에 형성되었는지 여부를 결정하는 단계(단계(350))를 포함한다. 일 실시예에서, 미리 결정된 두께를 갖는 코발트 캡핑 재료가 형성되지 않았다면 단계들(310-350)의 사이클이 반복될 수 있다. 다른 실시예에서, 미리 결정된 두께를 갖는 코발트 캡핑 재료가 형성되지 않았다면 단계(310) 및 단계(330)의 사이클이 반복될 수 있다. 대안으로, 미리 결정된 두께를 갖는 코발트 캡핑 재료가 형성되었다면 공정(300)이 중단될 수 있다.
일 실시예에서, 기상 증착 공정 동안 유전체 표면을 노출시키게 유지하면서 금속 구리 표면 상에 또는 위에 코발트 캡핑 층을 선택적으로 형성하도록 코발트 전구체 가스와 수소 가스에 기판을 노출시키는 단계, 및 후-처리 공정 동안 질소, 암모니아, 수소, 암모니아/질소 혼합물들, 또는 이들의 조합물들과 같은 시약과 플라즈마에 상기 코발트 캡핑 층을 노출시키는 단계를 포함하는, 기판 상에 구리 표면을 캡핑하기 위한 방법이 제공된다.
다른 실시예에서, 증착 처리 사이클 동안 유전체 표면을 노출시키게 유지하면서 금속 구리 표면 위에 코발트 캡핑 재료를 증착하는 단계를 포함하는, 기판 상에 구리 표면을 캡핑하기 위한 방법이 제공된다. 일 예에서, 증착 처리 사이클은 기상 증착 공정 동안 유전체 표면을 노출시키게 유지하면서 금속 구리 표면 상에 또는 위에 제 1 코발트 층을 선택적으로 형성하도록 기판을 코발트 전구체 가스에 노출시키는 단계와, 처리 공정 동안 질소, 암모니아, 암모니아/질소 혼합물, 또는 수소를 포함하는 플라즈마에 제 1 코발트 층을 노출시키는 단계를 포함한다. 상기 방법은 기상 증착 공정 동안 유전체 표면을 노출시키게 유지하면서 제 1 코발트 층 상에 또는 위에 제 2 코발트 층을 선택적으로 형성하도록 코발트 전구체 가스에 기판을 노출시키는 단계, 및 처리 공정 동안 상기 플라즈마에 제 2 코발트 층을 노출시키는 단계를 더 제공한다.
몇몇 예들에서, 상기 방법은 기상 증착 공정 동안 유전체 표면을 노출시키게 유지하면서 제 2 코발트 층 상에 또는 위에 제 3 코발트 층을 선택적으로 형성하도록 코발트 전구체 가스에 기판을 노출시키는 단계, 및 처리 공정 동안 상기 플라즈마에 제 3 코발트 층을 노출시키는 단계를 제공한다.
본 발명에서 설명된 CVD 또는 ALD 공정들에 의해 코발트 함유 재료들(예를 들어, 금속 코발트 또는 코발트 합금들)을 형성하는데 적합한 코발트 전구체들은 코발트 카르보닐 복합물들, 코발트 아미디네이트(amidinate) 화합물들, 코발토센(cobaltocene) 화합물들, 코발트 디에닐 복합물들, 코발트 니트로실 복합물들, 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다. 몇몇 실시예들에서, 코발트 재료들은 공동 양도된 미국 특허 제 7,264,846호 및 2003년 5월 22일자로 출원되어 US 2005-0220998호로 공개된 미국 출원 번호 10/443,648호에서 더 설명되는 CVD 및 ALD 공정들에 의해 증착될 수 있으며, 이들 공보는 인용에 의해 본 발명에 포함된다.
몇몇 실시예들에서, 코발트 카르보닐 화합물들 또는 복합물들은 코발트 전구체들로서 사용될 수 있다. 코발트 카르보닐 화합물들 또는 복합물들은 일반적인 화학식 [(CO)xCoyLz]을 가지며, 여기서 X는 1,2,3,4,5,6,7,8,9,10,11, 또는 12일 수 있고, Y는 1,2,3,4, 또는 5일 수 있으며, Z는 1,2,3,4,5,6,7, 또는 8일 수 있다. 그룹 L은 없거나, 하나의 리간드이거나, 동일한 리간드 또는 상이한 리간드들일 수 있는 다수의 리간드들이며, 사이클로펜타디에닐, 알킬사이클로펜타디에닐(예를 들어, 메틸사이클로펜타디에닐 또는 펜타메틸사이클로펜타디에닐), 펜타디에닐, 알킬펜타디에닐, 사이클로부타디에닐, 부타디에닐, 에틸렌, 알릴(또는 프로필렌), 알켄들, 디알켄들, 알킨들, 아세틸렌, 부틸아세틸렌, 니트로실, 암모니아, 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다. 몇몇 예시적인 코발트 카르보닐 복합물들은 사이클로펜타디에닐 코발트 비스(카르보닐)[CpCo(CO)2], 트리카르보닐 알릴 코발트[((CO)3Co(CH2CH=CH2))], 디코발트 헥사카르보닐 부틸아세틸렌[(CCTBA, (CO)6Co2(HC≡CtBu))], 디코발트 헥사카르보닐 메틸부틸아세틸렌[(CO)6Co2(MeC≡CtBu))], 디코발트 헥사카르보닐 페닐아세틸렌[(CO)6Co2(HC≡CPh))], 헥사카르보닐 메틸페닐아세틸렌[(CO)6Co2(MeC≡CPh))], 디코발트 헥사카르보닐 메틸아세틸렌[(CO)6Co2(HC≡CMe))], 디코발트 헥사카르보닐 디메틸아세틸렌[(CO)6Co2(MeC≡CMe))], 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다.
다른 실시예에서, 코발트 아미디네이트들 또는 코발트 아미도 복합물들이 코발트 전구체들로서 사용될 수 있다. 코발트 아미도 복합물들은 일반적인 화학식 (RR'N)xCo를 가지며, 여기서 X는 1, 2, 또는 3일 수 있으며, R 및 R'은 독립적으로 수소, 메틸, 에틸, 프로필, 부틸, 알킬, 실릴, 알킬실릴, 이들의 유도체들, 또는 이들의 조합물들이다. 몇몇 예시적인 코발트 아미도 복합물들은 비스(디(부틸디메틸실릴)아미도) 코발트 (((BuMe2Si)2N)2Co), 비스(디(에틸디메틸실릴)아미도) 코발트 (((EtMe2Si)2N)2Co), 비스(디(프로필디메틸실릴)아미도) 코발트 (((PrMe2Si)2N)2Co), 비스(디(트리메틸실릴)아미도) 코발트 (((Me3Si)2N)2Co), 트리스(디(트리메틸실릴)아미도) 코발트 (((Me3Si)2N)3Co), 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다.
몇몇 예시적인 코발트 전구체들은 메틸사이클로펜타디에닐 코발트 비스(카르보닐)(MeCpCo(CO)2), 에틸사이클로펜타디에닐 코발트 비스(카르보닐)(EtCpCo(CO)2), 펜타메틸사이클로펜타디에닐 코발트 비스(카르보닐)(Me5CpCo(CO)2), 디코발트 옥타(카르보닐)(Co2(CO)8), 니트로실 코발트 트리스(카르보닐)((ON)Co(CO)3), 비스(사이클로펜타디에닐) 코발트, (사이클로펜타디에닐) 코발트 (사이클로헥사디에닐), 사이클로펜타디에닐 코발트(1,3-헥사디에닐), (사이클로부타디에닐) 코발트 (사이클로펜타디에닐), 비스(메틸사이클로펜타디에닐) 코발트, (사이클로펜타디에닐) 코발트 (5-메틸사이클로펜타디에닐), 비스(에틸렌) 코발트 (펜타메틸사이클로펜타디에닐), 코발트 테트라카르보닐 아이오다이드(iodide), 코발트 테트라카르보닐 트리클로로실란, 카르보닐 클로라이드 트리스(트리메틸포스핀) 코발트, 코발트 트리카르보닐-하이드로트리부틸포스핀, 아세틸렌 디코발트 헥사카르보닐, 아세틸렌 디코발트 펜타카르보닐 트리에틸포스핀, 이들의 유도체들, 이들의 복합물들, 이들의 플라즈마, 또는 이들의 조합물들을 포함한다.
본 발명에서 설명된 공정들에 의해 코발트 함유 재료들(예를 들어, 금속 코발트, 코발트 캡핑 층들, 또는 코발트 합금들)을 형성하는데 유용한, 환원제들을 포함하는 적합한 시약들은 수소(예를 들어, H2 또는 원자-H), 원자-N, 암모니아(NH3), 히드라진(N2H4), 수소와 암모니아 혼합물(H2/NH3), 보란(BH3), 디보란(B2H6), 트리에틸보란(Et3B), 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 테트라실란(Si4H10), 메틸 실란(SiCH6), 디메틸실란(SiC2H8), 포스핀(PH3), 이들의 유도체들, 이들의 플라즈마들, 또는 이들의 조합물들을 포함한다.
공정(100)의 단계(140) 동안, 유전체 배리어 층(220)은 도 2e에 도시한 바와 같이, 기판(200) 상에서 그리고 코발트 캡핑 층(216) 위에 증착될 수 있다. 낮은 유전체 상수를 갖는 유전체 배리어 층(220)은 기판 영역(210)에 걸쳐 그리고 코발트 캡핑 층(216) 위에서 기판(200) 상에 증착될 수 있다. 유전체 배리어 층(220)은 실리콘 카바이드, 실리콘 질화물, 실리콘 산화물, 실리콘 산질화물, 실리콘 카바이드 산화물 또는 탄소 도핑된 실리콘 산화물 재료, 이들의 유도체들, 또는 이들의 조합물들과 같은 저-k 유전체 재료를 포함할 수 있다. 일 예에서, 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드로부터 입수할 수 있는 BLOK
Figure 112017116476478-pat00002
저-k 유전체 재료가 유전체 배리어 층(220)을 위한 저-k 유전체 재료로서 사용될 수 있다. 유전체 배리어 층(220)을 위한 적합한 재료의 일 예는 공동 양도된 미국 특허 제 6,537,733호, 제 6,790,788호 및 제 6,890,850호에 설명된 공정들과 같은 CVD 또는 플라즈마 강화 CVD(PE-CVD) 공정들을 사용하여 형성된 실리콘 카바이드 계열의 필름이며, 이러한 특허들은 인용에 의해 본 명세서에 포함된다.
본 발명에서 실시예들을 설명하는 동안 사용된 ALD 처리 챔버는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드로부터 입수할 수 있다. ALD 처리 챔버의 상세한 설명은 공동 양도된 미국 특허 제 6,916,398호 및 제 6,878,206호, 2002년 10월 25일자로 출원되고 미국 공개 번호 2003-0121608호로 공개된, 공동 양도된 미국 출원 번호 10/281,079호, 및 각각 2006년 11월 6일자로 출원되고 미국 공개 번호 2007-0119379호, 2007-0119371호, 2007-0128862호, 2007-0128863호, 및 2007-0128864호로 공개된, 공동 양도된 미국 출원 번호 11/556,745호, 11/556,752호, 11/556,756호, 11/556,758호, 11/556,763호에서 찾아 볼 수 있으며, 이들은 이로써 그 전체가 인용에 의해 포함된다. 다른 실시예에서, 코발트 함유 재료들을 증착시키는데 사용될 수 있는 ALD 모드뿐만 아니라 종래의 CVD 모드 모두에서 동작하도록 구성된 챔버가 본 발명에 그 전체가 인용에 의해 포함된, 공동 양도된 미국 특허 제 7,204,886호에 설명되어 있다. 코발트 함유 재료들을 형성하기 위한 ALD 공정의 상세한 설명은 2003년 5월 22일자로 출원되고 미국 공개 번호 2005-0220998호로 공개된, 공동 양도된 미국 출원 번호 10/443,648호, 및 공동 양도된 미국 특허 제 7,264,846호에 더 기재되어 있으며, 이들은 이로써 그 전체가 인용에 의해 포함된다. 다른 실시예들에서, 코발트 함유 재료들을 증착시키는데 사용될 수 있는 ALD 모드뿐만 아니라 종래의 CVD 모드 모두에서 동작하도록 구성된 챔버는 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드로부터 입수할 수 있는 TXZ
Figure 112017116476478-pat00003
샤워헤드 및 CVD 챔버이다.
본 발명에 사용된 바와 같은 "기판 표면" 또는 "기판"은 제조 공정 동안 필름 처리가 수행되는 기판 상에 형성된 임의의 기판 또는 재료 표면을 지칭한다. 예를 들어, 처리가 수행될 수 있는 기판 표면은 단결정질, 다결정질 또는 비정질 실리콘, 변형(strained) 실리콘, 절연체 상의 실리콘(SOI), 도핑된 실리콘, 실리콘 게르마늄, 게르마늄, 갈륨 비화물(arsenide), 유리, 사파이어, 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물, 및/또는 SiOxCy와 같은 탄소 도핑된 실리콘 산화물들, 예를 들어 미국 캘리포니아 산타 클라라 소재의 어플라이드 머티리얼즈 인코포레이티드로부터 입수할 수 있는 BLACK DIAMOND
Figure 112017116476478-pat00004
저-k 유전체와 같은 재료들을 포함한다. 기판들은 200 mm 또는 300 mm 직경의 웨이퍼들뿐만 아니라, 직사각형, 또는 정사각형 판유리들과 같은 다양한 치수들을 가질 수 있다. 달리 언급하지 않는 한, 본 발명에서 설명한 실시예와 예들은 바람직하게, 200 mm 직경 또는 300 mm 직경, 더 바람직하게는 300 mm 직경을 갖는 기판들에 대해 수행된다. 본 발명에서 설명된 공정들의 실시예들은 코발트 실리사이드 재료들, 금속 코발트 재료들, 및 다른 코발트 함유 재료들, 특히 실리콘 함유 유전체 재료들을 다수의 기판들 및 표면들에 증착한다. 본 발명의 실시예들이 유용할 수 있는 기판들은 결정질 실리콘(예를 들어, Si<100> 또는 Si<111>), 실리콘 산화물, 변형 실리콘, 실리콘 게르마늄, 도핑된 또는 도핑되지 않은 폴리실리콘, 도핑된 또는 도핑되지 않은 실리콘 웨이퍼들, 및 패턴화된 또는 패턴화되지 않은 웨이퍼들과 같은 반도체 웨이퍼들을 포함하나, 이에 한정되지 않는다. 기판들은 기판 표면을 폴리싱, 에칭, 환원, 산화, 수산화 처리, 어닐링, 및/또는 소성(bake) 처리하기 위한 예비-처리 공정에 노출될 수 있다.
상기는 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며 본 발명의 범주는 이후의 특허청구범위들에 의해 결정된다.

Claims (28)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 기판 상에 구리 표면을 캡핑(capping)하기 위한 방법으로서,
    오염된 구리 표면과 유전체 표면을 포함하는 기판을 처리 챔버 내에 위치시키는 단계;
    예비-처리 공정 동안에 금속 구리 표면을 형성하면서 상기 오염된 구리 표면을 수소 플라즈마에 노출시키는 단계;
    원자 층 증착(atomic layer deposition) 공정 동안에 상기 유전체 표면을 노출된 상태로 두면서 상기 금속 구리 표면 상에 코발트 캡핑 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스에 노출시키는 단계;
    후-처리 공정 동안에 상기 코발트 캡핑 층을 암모니아 플라즈마에 노출시키는 단계; 및
    상기 코발트 캡핑 층과 상기 유전체 표면 상에 유전체 층을 증착시키는 단계를 포함하고,
    상기 코발트 캡핑 층은 2Å 내지 30Å 범위 내의 두께를 갖고,
    상기 기판을 코발트 전구체 가스에 노출시키는 단계와 상기 코발트 캡핑 층을 암모니아 플라즈마에 노출시키는 단계는 복수 회에 걸쳐 반복되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  9. 삭제
  10. 제 8 항에 있어서,
    상기 오염된 구리 표면은 5 초 내지 15 초 범위 내의 시간 기간(time period) 동안 상기 수소 플라즈마에 노출되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  11. 제 8 항에 있어서,
    상기 후-처리 공정 동안에 상기 기판은 100 ℃ 내지 400 ℃ 범위 내의 온도까지 가열되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  12. 제 8 항에 있어서,
    상기 암모니아 플라즈마는 암모니아(NH3), 수소(H2), 암모니아/질소 혼합물, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 환원제로부터 형성되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  13. 제 8 항에 있어서,
    상기 코발트 캡핑 층은 4Å 내지 20Å 범위 내의 두께를 가지는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 기판은 상기 원자 층 증착 공정 중에 상기 코발트 전구체 가스와 수소 가스에 노출되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  15. 제 8 항에 있어서,
    상기 코발트 전구체 가스는 일반 화학식(general chemical formula) (CO)xCoyLz을 가지는 코발트 전구체를 포함하며, 여기서
    X는 1,2,3,4,5,6,7,8,9,10,11, 또는 12이며,
    Y는 1,2,3,4, 또는 5이며,
    Z는 1,2,3,4,5,6,7, 또는 8이며, 그리고
    L은 사이클로펜타디에닐, 알킬사이클로펜타디에닐, 메틸사이클로펜타디에닐, 펜타메틸사이클로펜타디에닐, 펜타디에닐, 알킬펜타디에닐, 사이클로부타디에닐, 부타디에닐, 알릴, 에틸렌, 프로필렌, 알켄, 디알켄, 알킨, 니트로실, 암모니아, 이들의 유도체, 및 이들의 조합물로 이루어지는 그룹으로부터 독립적으로 선택되는 리간드인,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  16. 제 8 항에 있어서,
    상기 코발트 전구체 가스는 트리카보닐 알릴 코발트, 사이클로펜타디에닐 코발트 비스(카보닐), 메틸사이클로펜타디에닐 코발트 비스(카보닐), 에틸사이클로펜타디에닐 코발트 비스(카보닐), 펜타메틸사이클로펜타디에닐 코발트 비스(카보닐), 디코발트 옥타(카보닐), 니트로실 코발트 트리스(카보닐), 비스(사이클로펜타디에닐) 코발트, (사이클로펜타디에닐) 코발트 (사이클로헥사디에닐), 사이클로펜타디에닐 코발트 (1,3-헥사디에닐), (사이클로부타디에닐) 코발트 (사이클로펜타디에닐), 비스(메틸사이클로펜타디에닐) 코발트, (사이클로펜타디에닐) 코발트 (5-메틸사이클로펜타디에닐), 비스(에틸렌) 코발트 (펜타메틸사이클로펜타디에닐), 이들의 유도체, 이들의 복합물(complexes), 이들의 플라즈마, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 코발트 전구체를 포함하는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 코발트 전구체는 사이클로펜타디에닐 코발트 비스(카보닐)을 포함하는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  18. 제 8 항에 있어서,
    상기 예비-처리 공정 중에 상기 수소 플라즈마는 원거리 플라즈마 소스에 의해 형성되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  19. 제 8 항에 있어서,
    상기 후-처리 공정 중에 상기 암모니아 플라즈마는 원거리 플라즈마 소스에 의해 형성되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  20. 기판 상에 구리 표면을 캡핑하기 위한 방법으로서,
    오염된 구리 표면과 유전체 표면을 포함하는 기판을 처리 챔버 내에 위치시키는 단계;
    예비-처리 공정 동안에 금속 구리 표면을 형성하면서 상기 오염된 구리 표면을 수소 플라즈마에 노출시키는 단계;
    증착-처리 사이클(deposition-treatment cycle) 동안에 상기 유전체 표면을 노출된 상태로 두면서 상기 금속 구리 표면에 걸쳐 코발트 캡핑 재료를 증착시키는 단계로서,
    상기 코발트 캡핑 재료를 증착시키는 단계는:
    원자 층 증착(atomic layer deposition) 공정 동안에 상기 유전체 표면을 노출된 상태로 두면서 상기 금속 구리 표면에 걸쳐 제 1 코발트 층을 선택적으로 형성하도록 상기 기판을 코발트 전구체 가스에 노출시키는 단계 - 상기 코발트 전구체 가스는 사이클로펜타디에닐 코발트 비스(카보닐)을 포함함 - ;
    후-처리 공정 동안에 상기 제 1 코발트 층을 암모니아 플라즈마에 노출시키는 단계;
    상기 유전체 표면을 노출된 상태로 두면서 상기 제 1 코발트 층 상에 제 2 코발트 층을 선택적으로 형성하도록 상기 기판을 상기 코발트 전구체 가스에 노출시키는 단계; 및
    후-처리 공정 동안에 상기 제 2 코발트 층을 암모니아 플라즈마에 노출시키는 단계를 포함하는, 상기 코발트 캡핑 재료를 증착시키는 단계; 및
    상기 코발트 캡핑 재료와 상기 유전체 표면 상에 유전체 층을 증착시키는 단계 - 상기 코발트 캡핑 재료는 2Å 내지 30Å 범위 내의 두께를 가짐 - ;
    를 포함하는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  21. 제 20 항에 있어서,
    원자 층 증착 공정은 환원 가스와 코발트 전구체 가스를 교대로 펄싱하는 것을 포함하는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  22. 제 20 항에 있어서,
    예비-처리 공정 동안에 상기 기판은 100 ℃ 내지 400 ℃ 범위 내의 온도까지 가열되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  23. 제 20 항에 있어서,
    암모니아 플라즈마는 암모니아(NH3), 수소(H2), 암모니아/질소 혼합물, 및 이들의 조합물로 이루어지는 그룹으로부터 선택되는 환원제로부터 형성되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  24. 제 20 항에 있어서,
    상기 코발트 캡핑 층은 4Å 내지 20Å 범위 내의 두께를 가지는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  25. 제 24 항에 있어서,
    상기 기판은 원자 층 증착 공정 중에 상기 코발트 전구체 가스와 수소 가스에 노출되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  26. 제 20 항에 있어서,
    예비-처리 공정 중에 수소 플라즈마는 원거리 플라즈마 소스에 의해 형성되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  27. 제 20 항에 있어서,
    후-처리 공정 중에 암모니아 플라즈마는 원거리 플라즈마 소스에 의해 형성되는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.
  28. 제 8 항에 있어서,
    원자 층 증착 공정은 환원 가스와 코발트 전구체 가스를 교대로 펄싱하는 것을 포함하는,
    기판 상에 구리 표면을 캡핑하기 위한 방법.

KR1020177033840A 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법 KR101938841B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/111,921 US20090269507A1 (en) 2008-04-29 2008-04-29 Selective cobalt deposition on copper surfaces
US12/111,921 2008-04-29
PCT/US2009/042030 WO2009134840A2 (en) 2008-04-29 2009-04-29 Selective cobalt deposition on copper surfaces

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020177021040A Division KR101802452B1 (ko) 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법

Publications (2)

Publication Number Publication Date
KR20170132901A KR20170132901A (ko) 2017-12-04
KR101938841B1 true KR101938841B1 (ko) 2019-01-15

Family

ID=41215285

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020177033840A KR101938841B1 (ko) 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법
KR1020107026817A KR101654001B1 (ko) 2008-04-29 2009-04-29 구리 표면들 상의 선택적인 코발트 증착
KR1020177021040A KR101802452B1 (ko) 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법
KR1020167022431A KR101764163B1 (ko) 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법

Family Applications After (3)

Application Number Title Priority Date Filing Date
KR1020107026817A KR101654001B1 (ko) 2008-04-29 2009-04-29 구리 표면들 상의 선택적인 코발트 증착
KR1020177021040A KR101802452B1 (ko) 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법
KR1020167022431A KR101764163B1 (ko) 2008-04-29 2009-04-29 기판 상에 구리 표면을 캡핑하기 위한 방법

Country Status (6)

Country Link
US (4) US20090269507A1 (ko)
JP (1) JP6146948B2 (ko)
KR (4) KR101938841B1 (ko)
CN (1) CN102007573B (ko)
TW (2) TWI530580B (ko)
WO (1) WO2009134840A2 (ko)

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101069440B1 (ko) 2010-04-16 2011-09-30 주식회사 하이닉스반도체 반도체 소자의 금속 패턴 및 그 형성방법
TWI509695B (zh) 2010-06-10 2015-11-21 Asm Int 使膜選擇性沈積於基板上的方法
US20120161319A1 (en) * 2010-12-23 2012-06-28 Stmicroelectronics Pte Ltd. Ball grid array method and structure
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8728934B2 (en) * 2011-06-24 2014-05-20 Tessera, Inc. Systems and methods for producing flat surfaces in interconnect structures
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2014052316A1 (en) 2012-09-25 2014-04-03 Advanced Technology Materials, Inc. Cobalt precursors for low temperature ald or cvd of cobalt-based thin films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103972156B (zh) * 2013-02-06 2016-09-14 中芯国际集成电路制造(上海)有限公司 半导体互连结构及其制作方法
WO2014189671A1 (en) 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
KR102271202B1 (ko) * 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US20150206798A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect Structure And Method of Forming
US9153482B2 (en) * 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
JP6584150B2 (ja) * 2014-06-09 2019-10-02 東ソー株式会社 コバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9899234B2 (en) * 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN104152863B (zh) * 2014-08-27 2019-10-25 上海华力微电子有限公司 一种提高钴阻挡层沉积选择比的方法
US20160064275A1 (en) * 2014-08-27 2016-03-03 Applied Materials, Inc. Selective Deposition With Alcohol Selective Reduction And Protection
KR102487441B1 (ko) * 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. 구리 및 유전체 상의 코발트 침착 선택성
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6710204B2 (ja) * 2014-10-15 2020-06-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ損傷保護のための多層誘電体スタック
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
KR102321209B1 (ko) * 2014-11-03 2021-11-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US9184060B1 (en) 2014-11-14 2015-11-10 Lam Research Corporation Plated metal hard mask for vertical NAND hole etch
KR102264160B1 (ko) 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
KR20160122399A (ko) * 2015-04-14 2016-10-24 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
KR102641862B1 (ko) * 2015-04-30 2024-02-27 시스타 케미칼즈 유엘씨 화학 상 증착용 유기금속 화합물
EP3505654B1 (en) * 2015-05-13 2020-11-25 National Oilwell DHT, L.P. Cutter elements for drill bits and methods for fabricating same
JP6537365B2 (ja) * 2015-06-22 2019-07-03 東ソー株式会社 置換シクロペンタジエニルコバルト錯体及びその製造方法、コバルト含有薄膜及びその作製方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
JP2017069313A (ja) * 2015-09-29 2017-04-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
US9460959B1 (en) * 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US9837350B2 (en) 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10157827B2 (en) 2016-06-29 2018-12-18 International Business Machines Corporation Semiconductor contact
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
TW201819665A (zh) 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US20180135174A1 (en) * 2016-11-01 2018-05-17 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
CN108122821B (zh) * 2016-11-29 2021-05-04 中芯国际集成电路制造(上海)有限公司 互连结构及其形成方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10355204B2 (en) 2017-03-07 2019-07-16 International Business Machines Corporation Selective growth of seed layer for magneto-resistive random access memory
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10242879B2 (en) * 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11404313B2 (en) 2017-04-26 2022-08-02 Applied Materials, Inc. Selective tungsten deposition at low temperatures
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US9947582B1 (en) 2017-06-02 2018-04-17 Asm Ip Holding B.V. Processes for preventing oxidation of metal thin films
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US20190148150A1 (en) * 2017-11-13 2019-05-16 Applied Materials, Inc. Methods for forming capping protection for an interconnection structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) * 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR102592166B1 (ko) * 2017-12-15 2023-10-19 버슘머트리얼즈 유에스, 엘엘씨 이치환된 알킨 디코발트 헥사카보닐 화합물들, 이를 제조하는 방법, 및 이의 사용 방법
TWI672390B (zh) * 2017-12-21 2019-09-21 美商慧盛材料美國責任有限公司 二取代炔基二鈷六羰基化合物、其製造及使用方法
TWI810808B (zh) * 2017-12-22 2023-08-01 美商應用材料股份有限公司 在導電表面上沉積阻擋層的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7164349B2 (ja) * 2018-07-31 2022-11-01 株式会社アルバック Co膜製造方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
CN109155301A (zh) * 2018-08-13 2019-01-04 长江存储科技有限责任公司 具有帽盖层的键合触点及其形成方法
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
KR102034602B1 (ko) * 2019-07-30 2019-10-22 (주)디엔에프 코발트 함유 박막의 제조방법 및 이에 따라 제조된 코발트 함유 박막
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US20210062330A1 (en) * 2019-08-30 2021-03-04 Applied Materials, Inc. Selective cobalt deposition on copper surfaces
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11239421B2 (en) 2020-01-24 2022-02-01 International Business Machines Corporation Embedded BEOL memory device with top electrode pillar
US11699618B2 (en) * 2020-01-24 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric damage prevention
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11739429B2 (en) 2020-07-03 2023-08-29 Applied Materials, Inc. Methods for refurbishing aerospace components
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146516A (ja) * 2002-10-23 2004-05-20 Tokyo Electron Ltd 成膜方法
WO2007121249A2 (en) * 2006-04-11 2007-10-25 Applied Materials, Inc. Process for forming cobalt-containing materials

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2738333B2 (ja) * 1995-03-30 1998-04-08 日本電気株式会社 半導体装置の製造方法
US6861356B2 (en) * 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6294836B1 (en) * 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
EP1077274A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Lid cooling mechanism and method for optimized deposition of low-k dielectric using tri methylsilane-ozone based processes
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6627995B2 (en) * 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US7494927B2 (en) * 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
WO2001088972A1 (en) * 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
US6444263B1 (en) * 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6527855B2 (en) * 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
KR100869326B1 (ko) * 2000-11-30 2008-11-18 에이에스엠 인터내셔널 엔.브이. 자기장치용 박막
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6943451B2 (en) * 2001-07-02 2005-09-13 International Business Machines Corporation Semiconductor devices containing a discontinuous cap layer and methods for forming same
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20090004850A1 (en) * 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
KR100805843B1 (ko) * 2001-12-28 2008-02-21 에이에스엠지니텍코리아 주식회사 구리 배선 형성방법, 그에 따라 제조된 반도체 소자 및구리 배선 형성 시스템
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) * 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
TW559933B (en) 2002-09-25 2003-11-01 Applied Materials Inc An apparatus and a method for reducing copper oxide on a copper layer
JP2004140315A (ja) * 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
US6936528B2 (en) * 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
KR20040039591A (ko) * 2002-11-04 2004-05-12 주식회사 하이닉스반도체 구리 확산방지막 형성방법 및 이를 이용한 구리배선제조방법
US6917108B2 (en) 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
JP4401656B2 (ja) * 2003-01-10 2010-01-20 パナソニック株式会社 半導体装置の製造方法
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
JP4673290B2 (ja) * 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
KR100485386B1 (ko) * 2003-04-08 2005-04-27 삼성전자주식회사 금속막 증착용 조성물 및 이를 이용한 금속막 형성 방법
JP2005029821A (ja) * 2003-07-09 2005-02-03 Tokyo Electron Ltd 成膜方法
KR100539274B1 (ko) * 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7067407B2 (en) * 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7534298B2 (en) * 2003-09-19 2009-05-19 Applied Materials, Inc. Apparatus and method of detecting the electroless deposition endpoint
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
US7119019B2 (en) * 2004-03-31 2006-10-10 Intel Corporation Capping of copper structures in hydrophobic ILD using aqueous electro-less bath
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US7799683B2 (en) * 2004-11-08 2010-09-21 Tel Epion, Inc. Copper interconnect wiring and method and apparatus for forming thereof
US20070184656A1 (en) * 2004-11-08 2007-08-09 Tel Epion Inc. GCIB Cluster Tool Apparatus and Method of Operation
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
TW200704794A (en) 2005-03-18 2007-02-01 Applied Materials Inc Process for electroless copper deposition
US20070048991A1 (en) * 2005-08-23 2007-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Copper interconnect structures and fabrication method thereof
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US8747960B2 (en) * 2005-08-31 2014-06-10 Lam Research Corporation Processes and systems for engineering a silicon-type surface for selective metal deposition to form a metal silicide
US20070099417A1 (en) 2005-10-28 2007-05-03 Applied Materials, Inc. Adhesion and minimizing oxidation on electroless CO alloy films for integration with low K inter-metal dielectric and etch stop
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
MY148605A (en) * 2006-08-30 2013-05-15 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
KR101506352B1 (ko) 2006-08-30 2015-03-26 램 리써치 코포레이션 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
EP2065927B1 (en) * 2007-11-27 2013-10-02 Imec Integration and manufacturing method of Cu germanide and Cu silicide as Cu capping layer
US7648899B1 (en) * 2008-02-28 2010-01-19 Novellus Systems, Inc. Interfacial layers for electromigration resistance improvement in damascene interconnects
KR101770537B1 (ko) * 2009-10-23 2017-08-22 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 상호 접속부를 위한 자기―정렬 배리어 및 캡핑 층
US9633861B2 (en) * 2013-03-12 2017-04-25 Applied Materials, Inc. Cu/barrier interface enhancement
WO2014189671A1 (en) * 2013-05-24 2014-11-27 Applied Materials, Inc. Cobalt selectivity improvement in selective cobalt process sequence
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10043709B2 (en) * 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
CN107208262B (zh) * 2014-11-21 2019-09-13 应用材料公司 醇类辅助ald膜沉积
CN104795358B (zh) * 2015-04-13 2018-06-22 上海华力微电子有限公司 钴阻挡层的形成方法和金属互连工艺
TW201819665A (zh) * 2016-09-10 2018-06-01 美商應用材料股份有限公司 用於選擇性沉積之選擇性改良的原位預清潔
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10290540B2 (en) * 2016-11-01 2019-05-14 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
US11440929B2 (en) * 2018-06-19 2022-09-13 Versum Materials Us, Llc Bis(diazadiene)cobalt compounds, method of making and method of use thereof

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004146516A (ja) * 2002-10-23 2004-05-20 Tokyo Electron Ltd 成膜方法
WO2007121249A2 (en) * 2006-04-11 2007-10-25 Applied Materials, Inc. Process for forming cobalt-containing materials

Also Published As

Publication number Publication date
WO2009134840A2 (en) 2009-11-05
KR101654001B1 (ko) 2016-09-05
US11959167B2 (en) 2024-04-16
US20170321320A1 (en) 2017-11-09
KR20170091171A (ko) 2017-08-08
CN102007573B (zh) 2013-02-13
TW201447012A (zh) 2014-12-16
KR101802452B1 (ko) 2017-11-28
US20220298625A1 (en) 2022-09-22
KR101764163B1 (ko) 2017-08-02
US20150325446A1 (en) 2015-11-12
KR20100137582A (ko) 2010-12-30
JP2011524078A (ja) 2011-08-25
TWI530580B (zh) 2016-04-21
CN102007573A (zh) 2011-04-06
JP6146948B2 (ja) 2017-06-14
US11384429B2 (en) 2022-07-12
TWI441939B (zh) 2014-06-21
TW201009107A (en) 2010-03-01
US20090269507A1 (en) 2009-10-29
KR20160102574A (ko) 2016-08-30
KR20170132901A (ko) 2017-12-04
WO2009134840A3 (en) 2010-01-14

Similar Documents

Publication Publication Date Title
US11959167B2 (en) Selective cobalt deposition on copper surfaces
JP6449217B2 (ja) 障壁表面上のコバルト堆積
US8765601B2 (en) Post deposition treatments for CVD cobalt films
CN106887380B (zh) 实现无缝钴间隙填充的方法
KR101174946B1 (ko) 코발트-함유 물질들을 형성하기 위한 프로세스
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US9478460B2 (en) Cobalt selectivity improvement in selective cobalt process sequence
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant