TWI849834B - 用於高壓處理腔室的氣體分配系統 - Google Patents
用於高壓處理腔室的氣體分配系統 Download PDFInfo
- Publication number
- TWI849834B TWI849834B TW112113014A TW112113014A TWI849834B TW I849834 B TWI849834 B TW I849834B TW 112113014 A TW112113014 A TW 112113014A TW 112113014 A TW112113014 A TW 112113014A TW I849834 B TWI849834 B TW I849834B
- Authority
- TW
- Taiwan
- Prior art keywords
- chamber
- pressure
- gas
- gas distribution
- substrate
- Prior art date
Links
- 238000009931 pascalization Methods 0.000 title claims abstract description 33
- 239000000758 substrate Substances 0.000 claims abstract description 123
- 238000012545 processing Methods 0.000 claims abstract description 110
- 238000009826 distribution Methods 0.000 claims description 110
- 238000000034 method Methods 0.000 claims description 50
- 238000002955 isolation Methods 0.000 claims description 42
- 239000000203 mixture Substances 0.000 claims description 7
- 230000008878 coupling Effects 0.000 claims description 6
- 238000010168 coupling process Methods 0.000 claims description 6
- 238000005859 coupling reaction Methods 0.000 claims description 6
- 238000005259 measurement Methods 0.000 claims description 4
- 230000004044 response Effects 0.000 claims description 3
- 239000007789 gas Substances 0.000 description 183
- 238000012546 transfer Methods 0.000 description 53
- 230000008569 process Effects 0.000 description 33
- 239000000463 material Substances 0.000 description 22
- 238000000137 annealing Methods 0.000 description 20
- 238000000151 deposition Methods 0.000 description 11
- 229910052751 metal Inorganic materials 0.000 description 11
- 239000002184 metal Substances 0.000 description 11
- 230000008021 deposition Effects 0.000 description 9
- 239000000112 cooling gas Substances 0.000 description 6
- 239000007788 liquid Substances 0.000 description 6
- 229910021332 silicide Inorganic materials 0.000 description 6
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 6
- 230000007547 defect Effects 0.000 description 5
- 238000010438 heat treatment Methods 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 4
- 229910052802 copper Inorganic materials 0.000 description 4
- 239000010949 copper Substances 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 230000003647 oxidation Effects 0.000 description 4
- 238000007254 oxidation reaction Methods 0.000 description 4
- 238000005240 physical vapour deposition Methods 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000005229 chemical vapour deposition Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 239000011261 inert gas Substances 0.000 description 3
- 238000004377 microelectronic Methods 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910000531 Co alloy Inorganic materials 0.000 description 2
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 2
- XEEYBQQBJWHFJM-UHFFFAOYSA-N Iron Chemical compound [Fe] XEEYBQQBJWHFJM-UHFFFAOYSA-N 0.000 description 2
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 229910001080 W alloy Inorganic materials 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 239000002775 capsule Substances 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 238000011109 contamination Methods 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 238000007872 degassing Methods 0.000 description 2
- 239000002019 doping agent Substances 0.000 description 2
- 239000010408 film Substances 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000011068 loading method Methods 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910000640 Fe alloy Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910000990 Ni alloy Inorganic materials 0.000 description 1
- 101100233916 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) KAR5 gene Proteins 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- QXZUUHYBWMWJHK-UHFFFAOYSA-N [Co].[Ni] Chemical compound [Co].[Ni] QXZUUHYBWMWJHK-UHFFFAOYSA-N 0.000 description 1
- YCOASTWZYJGKEK-UHFFFAOYSA-N [Co].[Ni].[W] Chemical compound [Co].[Ni].[W] YCOASTWZYJGKEK-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 239000012080 ambient air Substances 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910017052 cobalt Inorganic materials 0.000 description 1
- JPNWDVUTVSTKMV-UHFFFAOYSA-N cobalt tungsten Chemical compound [Co].[W] JPNWDVUTVSTKMV-UHFFFAOYSA-N 0.000 description 1
- 238000004891 communication Methods 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- 239000002360 explosive Substances 0.000 description 1
- -1 for example Substances 0.000 description 1
- 238000000227 grinding Methods 0.000 description 1
- 150000002431 hydrogen Chemical class 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 229910052742 iron Inorganic materials 0.000 description 1
- UGKDIUIOSMUOAW-UHFFFAOYSA-N iron nickel Chemical compound [Fe].[Ni] UGKDIUIOSMUOAW-UHFFFAOYSA-N 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052758 niobium Inorganic materials 0.000 description 1
- 239000010955 niobium Substances 0.000 description 1
- GUCVJGMIXFAOAE-UHFFFAOYSA-N niobium atom Chemical compound [Nb] GUCVJGMIXFAOAE-UHFFFAOYSA-N 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 239000002245 particle Substances 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 229910052697 platinum Inorganic materials 0.000 description 1
- 230000008439 repair process Effects 0.000 description 1
- 238000007789 sealing Methods 0.000 description 1
- 239000004065 semiconductor Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 239000002341 toxic gas Substances 0.000 description 1
- 238000009489 vacuum treatment Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4412—Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45557—Pulsed pressure or control pressure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/324—Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67098—Apparatus for thermal treatment
- H01L21/67109—Apparatus for thermal treatment mainly by convection
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67196—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67242—Apparatus for monitoring, sorting or marking
- H01L21/67253—Process monitoring, e.g. flow or thickness monitoring
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67745—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/677—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
- H01L21/67739—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
- H01L21/67748—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
Landscapes
- Engineering & Computer Science (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Chemical Vapour Deposition (AREA)
- Filling Or Discharging Of Gas Storage Vessels (AREA)
- Electrodes Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
Abstract
本案揭示了一種高壓處理系統,用於處理基板上的層。系統包含:第一腔室;支座,支座用於將基板固持於第一腔室中;第二腔室,第二腔室鄰接第一腔室;前級,前級用於從第二腔室移除氣體;真空處理系統,真空處理系統經配置以將第二腔室內的壓力降低至接近真空;閥組件,閥組件在第一腔室與第二腔室之間,用於將第一腔室內的壓力隔離自第二腔室內的壓力;氣體分配系統,氣體分配系統經配置以在第一腔室與第二腔室隔離的同時將第一腔室內的壓力提升至至少10個大氣壓;排氣系統,排氣系統包含排氣線以從第一腔室移除氣體;以及共同外殼,共同外殼圍繞第一氣體分配模組與第二氣體分配模組兩者。
Description
本發明相關於用於積體電路製造的高壓處理腔室。
微電子電路與其他微型裝置,一般而言由基板製成,諸如矽基板或其他半導體材料的基板。在基板上施加多個金屬層,以形成微電子部件或其他微型部件,或提供電性連結。這些金屬層(例如銅)被由一系列的光刻、電鍍、蝕刻、研磨或其他作業,鍍上基板並形成部件與交互連結。
為了取得所需的材料性質,基板通常經過退火製程,其中基板通常被快速加熱至約200至500°C。基板可在一段相對短的時間,例如60至300秒內被保持在這些溫度下。基板隨後被快速冷卻,而整體製程通常僅需要數分鐘。退火可用於改變基板上的材料層性質。退火亦可用於活性化摻雜劑、在基板上的薄膜之間驅動摻雜劑、改變膜對膜介面或膜對基板介面、使經沈積薄膜緻密化、或修復離子植入所造成的傷害。
隨著微電子裝置與交互連結的特徵尺寸縮小,可允許的缺陷率大大地降低。一些缺陷來自污染物粒子。其他缺陷可來自基板的某些區域的不完全處理,例如沒有在溝槽底部長成薄膜。
過去已使用了各種退火腔室。在單一基板處理設備中,這些退火腔室通常將基板放置在加熱元件與冷卻元件之間(或之上),以控制基板的溫度輪廓。然而,取得精確的、可重複的溫度輪廓以及可接受的缺陷位準,可呈現工程上的挑戰。
在一個範例中,提供一種高壓處理系統,用於處理基板上的層。系統包含:第一腔室;支座,支座用於將基板固持於第一腔室中;第二腔室,第二腔室鄰接第一腔室;前級,前級用於從第二腔室移除氣體;真空處理系統,真空處理系統經配置以將第二腔室內的壓力降低;閥組件,閥組件在第一腔室與第二腔室之間,用於將第一腔室內的壓力隔離自第二腔室內的壓力;氣體分配系統,氣體分配系統經配置以將一或更多種氣體引入第一腔室,並在氣體位於第一腔室中的同時且在第一腔室與第二腔室隔離的同時將第一腔室內的壓力提升至至少10個大氣壓; 控制器,控制器經配置以操作氣體分配系統與閥組件;排氣系統,排氣系統包含排氣線以從第一腔室移除氣體;以及共同外殼,共同外殼圍繞第一氣體分配模組與第二氣體分配模組兩者。氣體分配系統包含第一氣體分配模組以在第一壓力下(至少10個大氣壓)分配第一氣體,以及第二氣體分配模組以在第二壓力下(小於第一壓力但大於1個大氣壓)分配第一氣體或成分不同於第一氣體的第二氣體。
實施例可包含下列特徵之一或更多者。
可配置第二排氣系統以從共同外殼移除氣體。第二排氣系統可經配置以從外殼引導氣體至前級。第一與第二遞送線可將第一與第二氣體分配模組耦接至第一腔室。可配置包封殼體以將從第一與第二遞送線洩漏的氣體轉向至前級。共同外殼可與包封殼體流體性地隔離。
在另一範例中,一種用於處理基板上的層的高壓處理系統包含:第一腔室;支座,支座用於將基板固持於第一腔室中;第二腔室,第二腔室鄰接第一腔室;前級,前級用於從第二腔室移除氣體;真空處理系統,真空處理系統經配置以將第二腔室內的壓力降低至接近真空;閥組件,閥組件在第一腔室與第二腔室之間,用於將第一腔室內的壓力隔離自第二腔室內的壓力;氣體分配系統,氣體分配系統經配置以將一或更多種氣體引入第一腔室,並在氣體位於第一腔室中的同時且在第一腔室與第二腔室隔離的同時將第一腔室內的壓力提升至至少10個大氣壓;排氣系統,排氣系統包含排氣線以從第一腔室移除氣體;以及控制器。氣體分配系統包含第一氣體分配模組以在第一壓力下(至少10個大氣壓)分配第一氣體,以及第二氣體分配模組以在第二壓力下(小於第一壓力但大於1個大氣壓)分配第一氣體或成分不同於第一氣體的第二氣體。控制器經配置以操作氣體分配系統、閥組件、真空處理系統與排氣系統,使得閥組件將第一腔室隔離自第二腔室,隨後第二氣體分配模組將第一腔室從低於1個大氣壓的一壓力提升至第二壓力,隨後第二氣體分配模組被隔離自第一腔室,且隨後第一氣體分配模組將第一腔室從第二壓力提升至第一壓力。
實施例可包含下列特徵之一或更多者。
第一氣體分配模組可包含幫浦,幫浦經配置以在將第一氣體分配至第一腔室之前,提升第一氣體的壓力。第二氣體分配模組可使用質量流量控制器、液體流量計或液體流量控制器,以將氣體引導至第一腔室。第一腔室中可定位第一壓力感測器,而第二腔室中可定位第二壓力感測器。控制器可經配置以使排氣系統減少第一腔室中的壓力,並使真空處理系統減少第二腔室中的壓力。控制器可經配置以比較來自第一壓力感測器與第二壓力感測器的測量結果,並控制排氣系統與真空處理系統,使得第一腔室中的壓力高於第二腔室中的壓力。
在另一範例中,一種操作高壓處理系統的方法,包含以下步驟:將第一腔室與第二腔室調整至第一壓力,第一壓力小於一個大氣壓;在第一腔室與第二腔室之間的隔離閥為打開的同時,將基板從第二腔室移送入第一腔室;在隔離閥為關閉的同時,將第一腔室從第一壓力減少至第二壓力,並將第二腔室從第一壓力減少至第三壓力;由第二氣體分配模組將第一腔室加壓至第四壓力,第四壓力高於大氣壓力並小於10個大氣壓;由第一氣體分配模組將第一腔室加壓至第五壓力,第五壓力高於10個大氣壓;在第一腔室位於第五壓力下的同時,處理基板;對第一腔室抽氣;以及打開隔離閥並將基板從第一腔室移除。
實施例可包含下列特徵之一或更多者。
將第一腔室加壓至第五壓力之步驟可包含:供應第一氣體至第一腔室,且將第一腔室加壓至第四壓力之步驟可包含:供應具有不同成分的第二氣體至第一腔室。第一氣體可包含H
2或NH
3之至少一者。由第二氣體分配模組將第一腔室加壓之步驟可包含:由在第一氣體分配模組與第一腔室之間的遞送線中的高壓隔離閥,將第一氣體分配模組隔離自第一腔室;以及藉由打開在第二氣體分配模組與第一腔室之間的遞送線中的低壓隔離閥,流體性地耦接第二氣體分配模組與第一腔室。由第一氣體分配模組將第一腔室加壓之步驟可包含:由低壓隔離閥將第二氣體分配模組隔離自第一腔室;以及藉由打開高壓隔離閥流體性地耦接第一氣體分配模組與第一腔室。
第三壓力可小於第二壓力。可比較來自第一腔室中的第一壓力感測器與第二腔室中的第二壓力感測器的測量結果,並繼續減少第一腔室與第二腔室中的壓力,直到第一腔室中的壓力高於第二腔室中的壓力為止。對第一腔室抽氣之步驟可包含:將第一腔室中的壓力降低至第六壓力,第六壓力小於第一壓力。第六壓力可大於第三壓力。
實施例可包含下列優點之一或更多者。
可更安全地在腔室中建立高壓。可偵測洩漏。
可在基板上更均勻地處理或形成層。此外,高壓處理亦可進行在低壓下無法進行的化學反應。
在附加圖式與下面的說明中揭示一或更多個具體實施例的細節。根據說明書、圖式以及申請專利範圍,將可顯然理解其他特徵、目的與優點。
如前述,一些缺陷可因基板某些區域的不完全處理而造成。然而,高壓處理(例如退火或沈積)可提升基板上處理的一致性。特定而言,退火可發生在高壓環境中,在使用退火製程形成層時(例如藉由熱氧化或其他製程,其中化學物質擴散到設置在基板上的材料中並與其反應),高壓可幫助提升基板上材料層的表面覆蓋的徹底性。例如,層在溝槽中的處理形成的問題可被減少。因此,在基板上可更均勻地處理或形成層。此外,高壓處理(例如退火或沈積)亦可進行在低壓下無法進行的化學反應。
另一議題為,在溫度超過約70° C下,某些材料(諸如銅)將在暴露至氧時快速氧化。若銅或其他材料氧化,則基板可不再能被使用,或者在進一步處理之前必需先將氧化層移除。這些都是對於有效率地進行製造所無法接受的選項。因此,一個設計因素為將基板隔離自氧,特別是在基板溫度超過約70° C時。因為氧當然會存在於周遭空氣中,在退火期間避免銅氧化也可呈現工程挑戰。如本文所述,基板可被移送於高壓處理腔室與低壓(例如接近真空)環境中的不同的處理腔室之間,以避免基板被污染與氧化。
另一個考量是壓力。非常高的壓力可提升所產生的基板的一致性與品質。然而,具有高壓(例如高於10 atm、高於15 atm或上至20 atm)的系統存在高度的破裂與失控風險。具有增強安全特徵的系統,有益於使用在這種超高壓處理中。
第1圖圖示整合多腔室基板處理系統,此系統適合用於執行物理氣相沈積、化學氣相沈積及(或)退火製程的至少一個具體實施例。一般而言,多腔室基板處理系統包含至少一個高壓處理腔室(例如能夠操作在高於10大氣壓的壓力下以執行高壓製程,諸如沈積或退火)以及至少一個低壓處理腔室(例如能夠操作在低壓(例如低於1個大氣壓)之下以執行低壓製程,諸如蝕刻、沈積或熱處理)。在一些實施例中,多腔室處理系統為具有中央移送腔室的群集工具,中央移送腔室位於低壓且可從中央移送腔室訪問多個處理腔室。
本文所述之製程與系統的一些具體實施例,相關於沈積用於特徵定義的材料層(例如金屬與金屬矽化物阻障)。例如,第一金屬層被沈積在矽基板上並經過退火以形成金屬矽化物層。第二金屬層隨後被沈積在金屬矽化物層上以填充特徵。形成金屬矽化物層的退火製程可由多個退火作業執行。
第1圖為處理平臺100的一個具體實施例的示意俯視圖,包含兩個移送腔室102、104、分別位於移送腔室102、104中的移送機器人106、108、以及設置在兩個移送腔室102、104上的處理腔室110、112、114、116、118、130。第一與第二移送腔室102、104為中央真空腔室,與鄰接的處理腔室110、112、114、116、118、130介面連接。
第一移送腔室102與第二移送腔室104係由穿越腔室120分隔開,穿越腔室120可包含冷卻腔室或預熱腔室。在第一移送腔室102與第二移送腔室104操作在不同壓力下時,在處理基板期間內穿越腔室120亦可被抽氣或通氣。例如,第一移送腔室102可操作在約100毫托與約5托之間(諸如約40毫托)的壓力下,且第二移送腔室104可操作在約1x10
-5托與約1x10
-8托之間(諸如約1x10
-7托)的壓力下。
由經編程控制器122操作處理平臺100。控制器122可控制移送機器人106、108以在腔室之間移送基板,並可使處理平臺100的每一腔室執行個別的作業以處理基板。
第一移送腔室102耦接於兩個去氣腔室124、兩個裝載閘腔室128、反應預清洗腔室118、至少一個物理氣相沈積腔室110、以及穿越腔室120。預清洗腔室可為購於美國加州聖塔克拉拉市的應用材料公司的PreClean II腔室。透過裝載閘腔室128將基板(未圖示)裝載入處理平臺100。例如,工廠介面模組132(若存在)將負責接收來自人類操作者或自動化基板處理系統的一或更多個基板(例如基板盒)或封閉式基板艙。工廠介面模組132可開啟基板盒或基板艙(若可應用),並移動基板自/至裝載閘腔室128。處理腔室110、112、114、116、118、130從移送腔室102、104接收基板、處理基板、並允許基板被移送回移送腔室102、104。在被裝載入處理平臺100之後,在去氣腔室124與預清洗腔室118中分別循序對基板去氣並清洗。
每一處理腔室由隔離閥隔離自移送腔室102、104,隔離閥允許處理腔室操作在與移送腔室102、104不同的真空位準下,並防止處理腔室中使用的任何氣體被引入移送腔室。裝載閘腔室128亦由隔離閥隔離自移送腔室102、104。每一裝載閘腔室128具有門,門向外部環境開啟,例如向工廠介面模組132開啟。在正常作業中,從工廠介面模組132通過門將裝載了基板的盒放入裝載閘腔室128,並關閉門。裝載閘腔室128隨後被抽氣至與移送腔室102相同的壓力,並打開裝載閘腔室128與移送腔室102之間的隔離閥。移送腔室102中的機器人被移入定位,且一個基板被從裝載閘腔室128移除。裝載閘腔室128裝配了升降機機制,以在一個基板被從盒移除時,升降機移動盒中的基板堆疊以放置另一基板於移送平面中,使得基板可被放置在機械刃上。
移送腔室102中的移送機器人106隨著基板旋轉,使得基板對齊處理腔室位置。處理腔室中的任何有毒氣體被沖出、壓力位準被設為與移送腔室相同、並開啟隔離閥。移送機器人106接著將基板移入處理腔室,其中基板被舉離機器人。移送機器人106隨後從處理腔室縮回,並關閉隔離閥。處理腔室隨後經過一系列的作業,以對基板執行所指定的製程。在完成時,處理腔室被調回與移送腔室102相同的環境,並開啟隔離閥。移送機器人106將基板從處理腔室移除,且隨後將基板移至另一處理腔室以進行另一作業,或將基板再放回裝載閘腔室128以在基板盒整體已被處理後移出處理平臺100。
移送機器人106、108分別包含機械臂107、109,機械臂107、109支撐並於不同的處理腔室之間移動基板。移送機器人106在去氣腔室124與預清洗腔室118之間移動基板。基板隨後可被移送至長間距(long throw)PVD腔室110,以在基板上沈積材料。
第二移送腔室104耦接至處理腔室116、112、114、130群集。處理腔室116、112可為用於依操作者所需來沈積材料(諸如鎢)的化學氣相沈積(CVD)腔室。經PVD處理的基板被從第一移送腔室102,經由穿越腔室120移入第二移送腔室104。此後,移送機器人108移動基板於處理腔室116、112、114、130之一或更多者之間,以進行處理所需的材料沈積與退火。
當然,前述實施例僅為示例性的;每一移送腔室可具有不同數量的處理腔室(例如一至五個腔室);處理腔室可具有不同的功能分配;系統可具有不同數量的移送腔室(例如僅有單一移送腔室);以及移送腔室可被整體省略,且系統可僅具有單一個獨立式處理腔室。
第2圖圖示說明受控制的高壓處理系統200,高壓處理系統200創建高壓環境以處理基板,並在基板被移送於處理腔室之間時對基板創建低壓環境。受控制的高壓處理系統200包含高壓內側第一腔室202與低壓外側第二腔室204。
第一腔室202可對應於處理平臺100的處理腔室110、112、114、116、118、130中之一者,且第二腔室204可對應於處理平臺100的移送腔室102、104中之一者。或者在一些實施例中,處理腔室110、112、114、116、118、130中之一者包含第一腔室202與第二腔室204兩者。第一腔室202可對應於內側腔室,且第二腔室204可對應於圍繞內側腔室的外側腔室。
可獨立於第二腔室204中的壓力,來控制第一腔室202內的壓力。若第一與第二腔室202、204不同於移送腔室,則可獨立於移送腔室內的壓力來控制第一與第二腔室202、204的壓力。受控制的高壓處理系統200進一步包含氣體分配系統206、真空處理系統208以及控制器210。在一些範例中,處理平臺100的控制器122可包含控制器210。
第一腔室202經配置(例如密封與加強)以容納非常高的壓力(例如至少10個大氣壓的壓力,例如40-80 atm的壓力)。相對的,第二腔室204經配置(例如密封與加強)以容納非常低的壓力(例如低於1個大氣壓的壓力,例如下至約100毫托的壓力)。第二腔室204的低壓環境,可禁止基板或基板上沈積的材料的污染及(或)氧化。
第二腔室204鄰接於第一腔室202。在一些實施例中,第二腔室204亦圍繞第一腔室202(若第二腔室204未圍繞第一腔室,則第二腔室仍可被視為外側腔室,因為基板將穿越第二腔室以到達第一腔室)。在一些實施例中,第二腔室204實質上圍繞(例如至少80%的)第一腔室202。
如前述,第二腔室204可對應於移送腔室(例如移送腔室102或移送腔室104),移送腔室於不同的處理腔室之間接收基板。或者,第二腔室204可為位於第一腔室202與移送腔室102(或移送腔室104)之間的個別腔室。
內側(例如第一)腔室202包含基板支座218(例如底座)以支撐工件(諸如基板10),工件欲被處理(例如經受退火或在其上沈積材料層)。支座218被定位或可被定位在第一腔室202內。在一些實施例中,基板10直接位在底座的平坦頂表面上。在一些實施例中,基板位在從底座凸起的升舉銷上。
第一腔室202與第二腔室204之間的第一閥組件212,使第一腔室202內的壓力隔離自第二腔室204內的壓力。第一腔室202內的高壓環境可因此被密封隔離自第二腔室204內的低壓環境。第一閥組件212可開啟以使基板10能被從第二腔室204(或通過第二腔室204)移送進入第一腔室202,或使基板能被從第一腔室202移送進入第二腔室204(或通過第二腔室204)。
第二腔室204與外部環境(例如移送腔室)之間的第二閥組件213,使第二腔室204內的壓力隔絕自第二腔室204外側的壓力。
氣體分配系統206經配置以加壓第一腔室202。特定而言,氣體分配系統206可分配處理氣體至第一腔室202並在第一腔室中建立高壓,例如於至少10大氣壓的壓力,例如高於15 atm、高於20 atm、高於30 atm、上至50 atm、上至60 atm、上至70 atm、上至80 atm。處理氣體可例如在退火製程期間內與基板10(例如基板10上的層)反應,或作為要沈積到基板上的材料的來源。
在一些實施例中,氣體分配系統206包含第一氣體分配模組242以分配第一氣體至第一腔室202,以及第二氣體分配模組244以分配第一氣體或具有不同於第一氣體的成分的第二氣體至第一腔室202。第一氣體分配模組242經配置以於高壓(例如於10至80 bar的壓力)分配第一氣體至第一腔室202。相對的,第二氣體分配模組244經配置以於低壓(例如於少於1 bar)分配氣體。
分配模組242、244連接至設施供應,或連接至供應各別氣體的氣體槽。分配模組242、244由各自的遞送線252、254連接至第一腔室202。至第一氣體分配模組242的遞送線252可包含高壓隔離閥232,且至第二氣體分配模組244的遞送線254可包含低壓隔離閥234。
可於高於大氣壓力但相較於第一腔室中的最終壓力而言仍為相對低的壓力下,將第一氣體供應至第一氣體分配模組242。例如,可於40至80 psi(約2.7至5.4 atm)的壓力下,將第一氣體分配至第一氣體分配模組242。第一氣體分配模組242包含幫浦(例如增壓幫浦)。幫浦提升入送第一氣體(諸如(例如)氫氣)的壓力。幫浦可提升壓力約二至二十倍,在一些情況中高達80 atm。
可於高於大氣壓力但相較於第一腔室中的最終壓力而言仍為相對低的壓力下,將氣體供應至第二氣體分配模組244。例如,亦可於40至80 psi(約2.7至5.4 atm)的壓力下,將氣體分配至第二氣體分配模組244。然而,第二氣體分配模組244不需要包含幫浦。相對的,可使用習知的質量流量控制器、液體流量計或液體流量控制器,以將氣體引導至第一腔室202。
第一氣體分配模組242與第二氣體分配模組244可被包含在共同外殼246內。在一些實施例中,外殼246內部被與下面所論述的其他包封容器流體隔離。排氣系統248可用於對外殼246的內部抽氣。這可防止在氣體分配系統發生洩漏時,腐蝕性或爆炸性的氣體集聚在外殼內。在一些實施例中,包封組裝包含多個零件,每一零件為包含壓力的外殼,其圍繞並封裝相應的氣體分配模組。例如,第一氣體分配模組242可被封裝在第一外殼中,第二氣體分配模組244在外殼中。排氣系統248可被耦接至前級214,或被耦接至個別的真空系統。
第一氣體包含處理氣體,例如H
2、NH
3、O
2或O
3。在一些實施例中,第一氣體為實質上純淨的處理氣體。或者,第一氣體可包含處理氣體與惰性氣體(例如氬氣)兩者。
如前述,來自第二氣體分配模組244的氣體的成分可與第一氣體相同,或可為不同的第二氣體。第二氣體亦可為實質上純淨的處理氣體,或處理氣體與惰性氣體的結合。在一些實施例中,第二氣體包含水,例如第二氣體可為水蒸汽,諸如乾燥或過熱蒸汽。
高壓處理系統200包含前級214,前級214將第二腔室204連接至真空處理系統208。外側隔離閥216被沿著前級214設置,以將第二腔室204內的壓力隔離自真空處理系統208的壓力。外側隔離閥216可被操作以調整第二腔室204內的壓力,以及釋放第二腔室204內的氣體。外側隔離閥216可被結合真空處理系統208操作,以調節第二腔室204內的壓力。
真空處理系統208經配置以降低第二腔室204的壓力至幾乎真空的壓力,例如少於1毫托。特定而言,真空處理系統208可將第二腔室204內的壓力降至幾乎真空,從而產生適當的低壓環境以用於移送基板。在作業期間內,在第一腔室202中達到的超高壓力(例如高於10 atm、高於15 atm)需要第二腔室204中的相應較高壓力(低於約1 atm(例如約0.85 atm或640托))。
在一些實例中,真空處理系統208包含乾線幫浦。為了容許異常高的壓力(例如防止由洩漏所造成的高壓穿透乾線幫浦),氣體在抵達乾線幫浦之前被擴展。在一些實例中,氣體流過大直徑擴散器(例如20吋乘以5呎高的擴散器)。
氣體分配系統206包含排氣線211以從第一腔室202排出第一氣體,藉以將第一腔室202減壓。在一些實施例中,排氣線被耦接至排氣系統(例如前級214與真空處理系統208)或個別的真空系統來源。排氣線211可包含內側排氣隔離閥230,內側排氣隔離閥230可被關閉以將第一腔室202隔離自排氣系統。
為了提升安全性,處理系統200可包含包封組件。包封組件可包含至少一包封殼體260,包封殼體260在遞送線252、254進入要被流體連接至第一腔室202的第二腔室204處包圍遞送線252、254。此外,每一遞送線252、254可被包圍在各自的導管256、258中,導管256、258延伸於外殼246與包封殼體260之間。
包封組件亦可包含包封排氣線268。包封排氣線268包圍包封殼體260與排氣系統之間的排氣線211。包封排氣線268也將包封殼體260流體連接至排氣系統(例如至前級214與真空處理系統208)或個別的真空系統來源。因此,在遞送線252、254中(或來自遞送線與第二腔室204的接點)的任何洩漏,被抽吸通過包封殼體260並流至排氣系統。
遞送線252、254之每一線具有減壓線,減壓線在包封殼體260內具有減壓閥252a、254a。由減壓線釋放的任何積累在遞送線252、254內的壓力,將流入包封殼體260並從處理系統200移除(例如藉由包封排氣線268),或在一些實例中經由連接至排氣系統的個別排氣管道移除。
處理系統200亦包含將第一腔室202耦接至減壓閥276的減壓線。減壓閥276可被定位在第二腔室204中。在此情況中,若第一腔室202中的壓力超過可允許位準,則由減壓閥276釋放的氣體將流入第二腔室204且被透過前級214移除。或者,減壓閥276可被定位在包封殼體260中。在此情況中,由減壓閥276釋放的氣體將被透過排氣線211移除。
因此,所有加壓部件可被保持在包封組件內,使得處理系統200可處理非期望的洩漏、破裂或突破,而不用將加壓氣體暴露至大氣。
處理系統200中包含多個氣體感測器280。特定而言,氣體感測器280可為氫感測器。氣體感測器280被整合入可能的洩漏位置中,例如在包封殼體260內與包封排氣線268內。若任何氣體感測器280偵測到氣體洩漏(例如氫洩漏),則控制器210將偵測來自氣體感測器280的訊號,並將關閉氣體分配模組242、關閉第一氣體分配模組242內的幫浦、或採取其他適當的行動。回應於由一或更多個氣體感測器280偵測到的洩漏,遞送線252、254中的隔離閥亦可被關閉。
此外,處理系統200可包含一或更多個壓力感測器282。例如,第一腔室202中可有第一壓力感測器282,而第二腔室204中可有第二壓力感測器282。壓力感測器282耦接至控制器210。
第3圖圖示說明一種操作處理系統200以處理基板的方法。處理系統200開始於打開第一閥組件212、第二閥組件213。由機器人106或108通過開啟第一閥組件212、第二閥組件213與第二腔室204,將基板插入第一腔室202(於作業302)。控制器可操作機器人以將基板10載入第一腔室202,並將基板10放置到底座上。
第一與第二腔室202、204被真空系統抽氣至第一壓力(例如100至300毫托),且隨後在基板10的移送期間內維持在低壓(於作業304)。這可幫助防止基板10氧化。
第一閥組件212被關閉(於作業306)。可選的,亦可關閉第二閥組件213。
使用真空系統以進一步將第一腔室202抽氣至低於第一壓力的第二壓力,並將第二腔室抽氣至低於第二壓力的第三壓力(於作業308)。例如,第一與第二壓力兩者可為1至50毫托。第一壓力可為100至300毫托,且第二壓力可為1至50毫托。
第一與第二腔室202、204中的壓力被由壓力感測器282測量,且控制器可接收來自壓力感測器282的訊號。
若第一腔室202、第二腔室204任一者中的壓力超過洩漏臨限值,則此可指示氣體正從外部環境洩漏入腔室。在此情況中,可中止對基板的處理。
此外,控制器可比較測得的壓力(於作業310)。若第一腔室中的壓力P1與第二腔室中的壓力P2之間的差異未超過臨限值,則可繼續對腔室抽氣。
一旦第一腔室202、第二腔室204到達所需壓力,則關閉內側排氣隔離閥230並打開低壓隔離閥234(於作業312)。這將第一腔室202隔離自排氣系統,但將第一腔室202耦接至第二氣體分配模組244。
接著,第二氣體分配模組244將第一氣體或第二氣體分配至第一腔室202(於作業314)。這將第一腔室202中的壓力提升至高於第一壓力的第四壓力。第四壓力可高於大氣壓力,例如為40至80 psi的壓力。可使用常見的流速率控制(例如不需要壓力伺服控制演算法)來執行由第二氣體分配模組244分配氣體。
一旦第一腔室202已被升高至第四壓力,則關閉低壓隔離閥234並打開高壓隔離閥232(於作業316)。這將第一腔室202隔離自第二氣體分配模組244,例如以避免隨後作業中的高壓對第二氣體分配模組244造成傷害。這亦將第一腔室202耦接至第一氣體分配模組242。
接著第一氣體分配模組242將第一氣體分配至第一腔室202(於作業318)。這將第一腔室202中的壓力提升至高於第四壓力的第五壓力。如前述,第五壓力可為10至80大氣壓。第一氣體分配模組242的氣體分配,可由控制器210使用壓力伺服控制演算法來控制。
控制器可比較第一腔室202內的測得的壓力P1與所需的處理壓力PP(於作業320)。若第一腔室中的壓力P1小於所需處理壓力PP,則可繼續對第一腔室202加壓。
一旦第一腔室202已升至第五壓力,則關閉高壓隔離閥232(於作業322)。這使第一腔室202隔離自第一氣體分配模組242。
現在在第一腔室202中處理基板10(於作業324)。處理可持續一設定時間,例如由控制器中的定時器測量。第一氣體可為與基板10上的層反應的退火氣體。或者,氣體可包含要沈積到基板10上的材料。第一腔室202中的適當溫度與壓力條件,可使得材料退火或沈積發生。在處理期間內(例如退火或沈積),控制器可操作支座218中的一或更多個加熱元件219,以對基板10加熱,以協助處理基板10上的材料層。
在對基板10上材料層的處理完成時,關閉外側隔離閥216,並打開內側隔離閥230(於作業326)。這只將第一腔室202耦接至排氣系統,同時保持密封第二腔室204。
內側腔室被抽氣至第六壓力(於作業328)。第六壓力可小於第一壓力但大於第三壓力,例如約等於第二壓力。因此,壓力位於接近真空的壓力,使得第一腔室202與第二腔室204之間的壓力差為小的。
同樣的,控制器可比較所測得的壓力(於作業330)。若第一腔室中的壓力P1與第二腔室中的壓力P2之間的差異未超過臨限值,則可繼續對腔室抽氣。
一旦第一腔室202到達第六壓力,則打開第一閥組件212(於作業332)。此外,第二隔離閥若為關閉,則亦可將其打開。接著打開外側排氣隔離閥116。因為內側與外側排氣共享相同的前級,在內側排氣期間內保持關閉外側排氣隔離閥,可保護升舉銷和加熱器波紋管免受損壞。
最後,可使用機器人106或108將基板10從第一腔室202移除,且在需要時移送至隨後的處理腔室。
第6圖圖示說明受控制的高壓處理系統200',高壓處理系統200'創建高壓環境以處理基板,並在基板被移送於處理腔室之間時對基板創建低壓環境。處理系統200'可相同於處理系統200,除了第二氣體分配模組244'為可在高壓下(例如在10至80 bar的壓力下)將第二氣體分配至第一腔室202的高壓氣體分配模組之外。第二氣體為液體蒸汽,例如水蒸汽。遞送線254中的閥234'為第二高壓隔離閥。
第5圖圖示說明一種操作處理系統200或200'以處理基板的方法。此程序類似於參照第3圖所說明的程序,除了下面所論述的以外。
特定而言,操作處理系統200或200'的方法,在單一作業中而非在多個階段中在第一腔室202中供應氣體以到達高壓。因此,可僅使用處理系統200的第一氣體分配模組242、或僅使用處理系統200'的第一氣體分配模組242、或僅使用處理系統200'的第二氣體分配模組244'、或使用處理系統200'的第一氣體分配模組242與第二氣體分配模組244'兩者但操作第二氣體分配模組244'以模擬第一氣體分配模組242(例如同時開關氣體分配模組的隔離閥等等),來執行此程序。
特定而言,關閉內側排氣隔離閥230(於作業312'),並打開高壓隔離閥232及(或)234'(於作業316')。第一氣體分配模組242及(或)第二氣體分配模組244',將第一氣體及(或)第二氣體分配至第一腔室202(於作業318')。這將第一腔室202中的壓力從第二壓力提升至第五壓力。如前述,第五壓力可為10至80大氣壓。第一氣體分配模組242的氣體分配,可由控制器210使用壓力伺服控制演算法來控制。
分配至第一腔室202的氣體可包含H
2或NH
3,例如若僅使用處理系統200的第一氣體分配模組242或僅使用處理系統200'的第一氣體分配模組242。或者,分配至第一腔室202的氣體可包含液體蒸汽(例如水蒸汽),例如若僅使用處理系統200'的第二氣體分配模組244'。或者,分配至第一腔室202的氣體可包含水蒸汽與另一處理氣體的混合物,例如若使用處理系統200'的第一氣體分配模組242與第二氣體分配模組244'兩者。
第4圖圖示說明高壓處理系統200(或200')中第一腔室202與第二腔室204的可能配置。高壓處理系統200進一步包含第一腔室202與第二腔室204之間的第一閥組件212。此實施例可讓第二腔室204作為移送腔室的部分(例如在均等壓力中)。
第二腔室204可由內側壁420與外側壁424之間的容積來界定。此外,基板10可被支撐在(提供基板支座218的)底座418上。一或更多個元件219(例如電阻式加熱器)可被嵌入底座418。基板可直接位於底座418上,或位於延伸穿過底座的升舉銷組件430上。
由臂425形成第一閥組件212,臂425可相對於第一腔室202的內側壁420與基座422移動。特定而言,第一閥組件212包含第一腔室202與第二腔室204之間的狹縫閥423。狹縫閥423包含狹縫423a與臂425。狹縫423a延伸穿過第一腔室402的內側壁420中之一者。臂425的垂直端425a定位在第一腔室202之外,同時臂425的水平端425b定位在第一腔室202內。臂425的垂直端425a可被定位在第二腔室204內,並由定位在第二腔室204內的致動器驅動。或者,臂425的垂直端425a被定位在第二腔室204外,並由也定位在第二腔室204外的致動器428驅動。
臂425延伸通過狹縫423a,並可被相對於內側壁420移動,使得臂425可被移動至與內側壁420形成密封的位置。致動器428被耦接至臂425的垂直端425a,並相對於內側壁420驅動臂425的水平端425b。臂425可垂直移動以覆蓋或露出狹縫423a。特定而言,臂425的垂直端425a可為凸緣(或包含凸緣),凸緣實質平行於內側壁420的鄰接內側表面而延伸。臂425亦可被橫向驅動,使得臂425的水平端425b可接合或脫開內側壁420。臂425亦可延伸通過外側壁424中的孔426。
第一閥組件212可在打開位置與關閉位置之間移動。在第一閥組件212位於打開位置時,臂425的水平端425b與內側壁420(例如內側壁420的內側表面)橫向間隔開。此外,臂425的水平端425b被垂直定位,以露出狹縫423a。狹縫423a因此提供開口以致能第一腔室202與第二腔室204之間的流體連通,並亦致能基板10被移入移出第一腔室202(例如藉由上文論述的機器人)。
在第一閥組件212位於關閉位置時,臂425的水平端425b覆蓋狹縫423a並接觸內側壁420之一者,藉以形成密封而將第一腔室202隔離自第二腔室204。在加壓時,凸緣或水平端425b接觸內側壁420界定第一腔室202的內側表面。沿著水平端425b的周圍在接觸內側壁420的表面上放置O形環,在第一腔室402被加壓時幫助加強包封的密封程度。
底座418中的加熱元件219加熱第一腔室202中的氣體(例如上至250°C)。為了防止O形環受傷害,臂425可包含內部氣體管道480。內部氣體管道480被從冷卻氣體供應484供應,並為讓冷卻氣體流過臂425的導管。內部氣體管道480可延伸通過水平端425b,或通過水平端425b與垂直端425a兩者。內部氣體管道與冷卻氣體供應484可經配置,使得在第一閥組件212位於打開位置時,沒有氣體從冷卻氣體供應484提供,而在移送基板時防止冷卻氣體流入。
已說明了本發明的數個具體實施例。然而應瞭解到,可進行各種修改,而不脫離本發明的精神與範圍。例如,儘管上文說明由鈷或鎳薄膜層形成金屬矽化物層,但在一些實施例中可使用其他材料。例如,其他材料可包括鈦、鉭、鎢、鉬、鉑、鐵、鈮、鈀及其組合,以及其他合金,包括鎳鈷合金、鈷鎢合金、鈷鎳鎢合金、摻雜的鈷和鎳合金、或鎳鐵合金,以形成如本文所述的金屬矽化物材料。
儘管上文是在退火或沈積系統的背景內容中進行說明,但取決於所提供的氣體,高壓腔室可用於蝕刻系統。或者,高壓腔室可被填充惰性氣體,且高壓腔室可被單純用於在高壓下進行熱處理。本文所說明的處理平臺可包含其他類型的處理腔室。例如,處理平臺可包含蝕刻腔室,以將圖樣蝕刻到基板表面上。
處理平臺的不同的腔室中之每一者,可具有不同的壓力環境,範圍從接近真空到多於50個大氣壓。腔室之間的隔離閥(例如真空閥),可隔離彼此的壓力,使得每一腔室內可維持這些不同的壓力環境。
因此,存在位於下列申請專利範圍的範圍內的其他具體實施例。
10:基板
100:處理平臺
102:腔室
104:腔室
106:機器人
107:機械臂
108:機器人
109:機械臂
110:處理腔室
112:處理腔室
114:鄰接處理腔室
116:鄰接處理腔室
118:預清洗腔室
120:穿越腔室
122:控制器
124:去氣腔室
128:裝載閘腔室
130:處理腔室
132:工廠介面模組
200:高壓處理系統
202:高壓內側第一腔室
204:低壓外側第二腔室
206:氣體分配系統
208:真空處理系統
210:控制器
211:排氣線
212:第一閥組件
213:第二閥組件
214:前級
216:外側隔離閥
218:基板支座
219:加熱元件
230:內側排氣隔離閥
232:高壓隔離閥
234:低壓隔離閥
242:分配模組
244:分配模組
246:外殼
248:排氣系統
252:遞送線
252a:減壓閥
254:遞送線
254a:減壓閥
256:導管
258:導管
260:包封殼體
268:包封排氣線
276:減壓閥
280:氣體感測器
282:壓力感測器
288:氣體感測器
300:系統
302:作業
304:作業
306:作業
308:作業
310:作業
311:排氣系統
312:作業
312:作業
314:作業
316:作業
318:作業
320:作業
322:作業
324:作業
326:作業
328:作業
330:作業
352:遞送線
354:遞送線
356:遞送線
360:包封殼體
368:包封排氣線
369:排氣管道
372:幫浦
402:第一腔室
418:底座
420:內側壁
422:基座
423:狹縫閥
423a:狹縫
424:外側壁
425:臂
425a:垂直端
425b:水平端
426:孔
428:致動器
430:升舉銷組件
480:內部氣體管道
484:冷卻氣體供應
第1圖為處理平臺的示意圖。
第2圖為具有增強安全特徵的高壓處理系統的示意圖。
第3圖為圖示說明用於操作高壓處理系統的方法的流程圖。
第4圖為具有增強安全特徵的高壓處理系統的另一實施例的示意圖。
第5圖為圖示說明用於操作高壓處理系統的方法的流程圖。
第6圖為用於高壓處理系統的腔室的示意側視圖。
在各種圖式中,類似的元件符號指示類似的元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記)
無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記)
無
10:基板
100:處理平臺
102:腔室
104:腔室
106:機器人
107:機械臂
108:機器人
109:機械臂
110:處理腔室
112:處理腔室
114:鄰接處理腔室
116:鄰接處理腔室
118:預清洗腔室
120:穿越腔室
122:控制器
124:去氣腔室
128:裝載閘腔室
130:處理腔室
132:工廠介面模組
Claims (20)
- 一種高壓處理系統,用於處理一基板上的一層,該高壓處理系統包含:一第一腔室;一第二腔室,該第二腔室鄰接該第一腔室;一閥組件,該閥組件在該第一腔室與該第二腔室之間,該閥組件經配置以將該第一腔室隔離自該第二腔室;一氣體分配系統,該氣體分配系統經配置以:在該第一腔室被保持與該第二腔室隔離的情況下,將該第一腔室加壓至一第一壓力,該第一壓力至少為約10大氣壓;以及在該第一腔室被保持與該第二腔室隔離的情況下,將該第一腔室減壓至一第二壓力,該第二壓力在大於約1大氣壓且小於該第一壓力的一範圍內;以及第一與第二遞送線,該等第一與第二遞送線將該氣體分配系統耦接至該第一腔室。
- 如請求項1所述之高壓處理系統,其中該第一遞送線與該第二遞送線進入該第二腔室的一第一側並流體連接至該第一腔室。
- 如請求項2所述之高壓處理系統,該高壓處理系統進一步包含: 一前級,該前級經配置以從該第二腔室移除氣體,該前級連接至該第二腔室的與該第一側相對的一第二側。
- 如請求項3所述之高壓處理系統,該高壓處理系統進一步包含:一第一排氣系統,該第一排氣系統包含一排氣線,且該第一排氣系統經配置以從該第一腔室移除氣體,其中該排氣線經由該第二腔室的該第一側連接至該第一腔室。
- 如請求項4所述之高壓處理系統,其中該氣體分配系統包含一第一氣體分配模組與一第二氣體分配模組,且其中該高壓處理系統進一步包含:一共同外殼,該共同外殼圍繞該第一氣體分配模組與該第二氣體分配模組;以及一第二排氣系統,該第二排氣系統經配置以將氣體從該共同外殼引導至該前級。
- 如請求項5所述之高壓處理系統,該高壓處理系統進一步包含一包封殼體,該包封殼體經配置以將從該等第一與第二遞送線洩漏的氣體轉向至該前級。
- 如請求項1所述之高壓處理系統,該高壓處理系統進一步包含: 一控制器,該控制器經配置以:操作該閥組件以使該第一腔室隔離自該第二腔室;操作該氣體分配系統以將該第一腔室加壓至該第一壓力;以及操作該氣體分配系統以將該第二腔室加壓至該第二壓力。
- 如請求項7所述之高壓處理系統,其中該控制器進一步經配置以:使一排氣系統在該第一腔室中產生一第三壓力,該第三壓力小於該第一壓力;使一真空處理系統在該第二腔室中產生一第四壓力,該第四壓力小於該第二壓力;比較來自該第一腔室中的一第一壓力感測器與該第二腔室中的一第二壓力感測器;以及控制該排氣系統與該真空處理系統以維持該第三壓力大於該第四壓力。
- 如請求項1所述之高壓處理系統,其中該氣體分配系統進一步經配置以:遞送一第一氣體進入該第一腔室;和遞送一第二氣體進入該第二腔室。
- 如請求項9所述之高壓處理系統,其中該氣體分配系統包含一幫浦,該幫浦經配置以在將該第 一氣體分配至該第一腔室之前,提升該第一氣體的壓力。
- 一種操作一高壓處理系統的方法,該方法包含以下步驟:使一第一腔室與一第二腔室具有一第一壓力,該第一壓力小於1大氣壓;在一隔離閥為關閉的同時,將該第一腔室從該第一壓力減少至一第二壓力,並將該第二腔室從該第一壓力減少至一第三壓力;由一氣體分配系統將該第一腔室加壓至一第四壓力,該第四壓力高於大氣壓力並小於10大氣壓;由該氣體分配系統將該第一腔室加壓至一第五壓力,該第五壓力大於10大氣壓;以及在該第一腔室處於該第五壓力的同時處理一基板。
- 如請求項11所述之方法,該方法包含以下步驟:在位於該第一腔室與該第二腔室之間的該隔離閥為開啟的同時,將該基板從該第二腔室運輸到該第一腔室中;回應於完成該基板的該處理而將該第一腔室排氣;以及回應於排氣該第一腔室而開啟該隔離閥並將該基板 從該第一腔室移除。
- 如請求項12所述之方法,其中將該第一腔室排氣之步驟包含以下步驟:將該第一腔室中的一壓力降低至一第六壓力,該第六壓力小於該第一壓力。
- 如請求項13所述之方法,其中該第六壓力大於該第三壓力。
- 如請求項11所述之方法,其中將該第一腔室加壓至該第五壓力之步驟包含以下步驟:供應一第一氣體至該第一腔室,且其中加壓該第一腔室至該第四壓力之步驟包含以下步驟:供應具有不同成分的一第二氣體至該第一腔室。
- 如請求項15所述之方法,其中該第一氣體包含H2或NH3中的至少一個。
- 如請求項11所述之方法,其中將該第一腔室加壓至該第四壓力之步驟包含以下步驟:使該氣體分配系統的一第一氣體分配模組隔離自該第一腔室;和使該氣體分配系統的一第二氣體分配模組流體耦接至該第一腔室。
- 如請求項17所述之方法,其中將該第一腔室加壓至該第五壓力之步驟包含以下步驟:使該第二氣體分配模組隔離自該第一腔室;和 使該第二氣體分配模組流體耦接至該第一腔室。
- 如請求項11所述之方法,其中該第三壓力小於該第二壓力。
- 如請求項11所述之方法,該方法進一步包含以下步驟:比較來自該第一腔室中的一第一壓力感測器以及來自該第二腔室中的一第二壓力感測器的測量結果;以及基於該等測量結果的該比較,控制該第一腔室中的一壓力與該第二腔室中的一壓力。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762584794P | 2017-11-11 | 2017-11-11 | |
US62/584,794 | 2017-11-11 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202333273A TW202333273A (zh) | 2023-08-16 |
TWI849834B true TWI849834B (zh) | 2024-07-21 |
Family
ID=66432396
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW112113014A TWI849834B (zh) | 2017-11-11 | 2018-11-09 | 用於高壓處理腔室的氣體分配系統 |
TW107139833A TWI800550B (zh) | 2017-11-11 | 2018-11-09 | 用於高壓處理腔室的氣體分配系統 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW107139833A TWI800550B (zh) | 2017-11-11 | 2018-11-09 | 用於高壓處理腔室的氣體分配系統 |
Country Status (8)
Country | Link |
---|---|
US (3) | US10720341B2 (zh) |
EP (2) | EP3707746B1 (zh) |
JP (2) | JP7112490B2 (zh) |
KR (3) | KR102396319B1 (zh) |
CN (3) | CN117936417A (zh) |
SG (1) | SG11202003355QA (zh) |
TW (2) | TWI849834B (zh) |
WO (1) | WO2019094481A1 (zh) |
Families Citing this family (31)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
CN111095513B (zh) | 2017-08-18 | 2023-10-31 | 应用材料公司 | 高压高温退火腔室 |
CN111095524B (zh) | 2017-09-12 | 2023-10-03 | 应用材料公司 | 用于使用保护阻挡物层制造半导体结构的设备和方法 |
CN117936417A (zh) | 2017-11-11 | 2024-04-26 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
SG11202003438QA (en) | 2017-11-16 | 2020-05-28 | Applied Materials Inc | High pressure steam anneal processing apparatus |
WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
WO2019173006A1 (en) | 2018-03-09 | 2019-09-12 | Applied Materials, Inc. | High pressure annealing process for metal containing materials |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
DE102018120580A1 (de) * | 2018-08-23 | 2020-02-27 | Infineon Technologies Ag | Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck |
US11380564B2 (en) | 2018-09-19 | 2022-07-05 | Applied Materials, Inc. | Processing system having a front opening unified pod (FOUP) load lock |
JP7179172B6 (ja) | 2018-10-30 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 半導体用途の構造体をエッチングするための方法 |
KR20210077779A (ko) | 2018-11-16 | 2021-06-25 | 어플라이드 머티어리얼스, 인코포레이티드 | 강화된 확산 프로세스를 사용한 막 증착 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
KR20220026713A (ko) * | 2020-08-26 | 2022-03-07 | 주식회사 원익아이피에스 | 기판처리방법과, 그에 따른 기판처리장치 및 반도체 소자 제조방법 |
KR20220056750A (ko) | 2020-10-28 | 2022-05-06 | 주식회사 원익아이피에스 | 기판 처리 방법 |
TW202229620A (zh) * | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
US20220285230A1 (en) * | 2021-03-05 | 2022-09-08 | Taiwan Semiconductor Manufacturing Company Limited | System and methods for controlling an amount of primer in a primer application gas |
JP7308330B2 (ja) * | 2021-05-10 | 2023-07-13 | ピコサン オーワイ | 基板処理装置及び方法 |
FI129948B (en) * | 2021-05-10 | 2022-11-15 | Picosun Oy | SUBSTRATE PROCESSING APPARATUS AND METHOD |
JP7197739B2 (ja) * | 2021-05-10 | 2022-12-27 | ピコサン オーワイ | 基板処理装置及び方法 |
US20230060192A1 (en) * | 2021-09-02 | 2023-03-02 | Entegris, Inc. | Methods and apparatus for processing an electrostatic chuck |
KR102396669B1 (ko) * | 2021-12-20 | 2022-05-12 | 주식회사 에이치피에스피 | 반도체 챔버의 공정 전과 공정 후 잔류 가스 검출 장치 |
KR102452714B1 (ko) * | 2021-12-23 | 2022-10-07 | 주식회사 에이치피에스피 | 고압 및 진공공정 병행 챔버장치 |
JP2023173097A (ja) * | 2022-05-25 | 2023-12-07 | 東京エレクトロン株式会社 | 基板処理装置及び酸素混入抑制方法 |
US20240068093A1 (en) * | 2022-08-25 | 2024-02-29 | Applied Materials, Inc. | System and method for controlling foreline pressure |
KR20240028609A (ko) * | 2022-08-25 | 2024-03-05 | 주식회사 원익아이피에스 | 기판처리장치 및 가스공급방법 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6475902B1 (en) * | 2000-03-10 | 2002-11-05 | Applied Materials, Inc. | Chemical vapor deposition of niobium barriers for copper metallization |
US6491978B1 (en) * | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6797336B2 (en) * | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
US20130200518A1 (en) * | 2012-01-26 | 2013-08-08 | Khaled Z. Ahmed | Devices Including Metal-Silicon Contacts Using Indium Arsenide Films and Apparatus and Methods |
US9121515B2 (en) * | 2011-03-18 | 2015-09-01 | Tokyo Electron Limited | Gate valve unit, substrate processing device and substrate processing method thereof |
Family Cites Families (604)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4524587A (en) | 1967-01-10 | 1985-06-25 | Kantor Frederick W | Rotary thermodynamic apparatus and method |
US3684592A (en) | 1969-09-30 | 1972-08-15 | Westinghouse Electric Corp | Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same |
US3758316A (en) | 1971-03-30 | 1973-09-11 | Du Pont | Refractory materials and process for making same |
US3749383A (en) | 1971-04-29 | 1973-07-31 | Rca Corp | Apparatus for processing semiconductor devices |
US4409260A (en) | 1979-08-15 | 1983-10-11 | Hughes Aircraft Company | Process for low-temperature surface layer oxidation of a semiconductor substrate |
US4424101A (en) | 1980-11-06 | 1984-01-03 | The Perkin-Elmer Corp. | Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques |
GB2106709B (en) * | 1981-09-17 | 1986-11-12 | Itt Ind Ltd | Semiconductor processing |
US4589193A (en) | 1984-06-29 | 1986-05-20 | International Business Machines Corporation | Metal silicide channel stoppers for integrated circuits and method for making the same |
US4576652A (en) | 1984-07-12 | 1986-03-18 | International Business Machines Corporation | Incoherent light annealing of gallium arsenide substrate |
JPS634616A (ja) | 1986-06-25 | 1988-01-09 | Hitachi Tokyo Electron Co Ltd | 蒸気処理装置 |
JPS6367721A (ja) | 1986-09-09 | 1988-03-26 | Meidensha Electric Mfg Co Ltd | アモルフアス炭素半導体膜の製造方法 |
JPH0748489B2 (ja) | 1987-07-27 | 1995-05-24 | 富士通株式会社 | プラズマ処理装置 |
US4879259A (en) | 1987-09-28 | 1989-11-07 | The Board Of Trustees Of The Leland Stanford Junion University | Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure |
CA1308496C (en) | 1988-02-18 | 1992-10-06 | Rajiv V. Joshi | Deposition of tungsten on silicon in a non-self-limiting cvd process |
US5114513A (en) | 1988-10-27 | 1992-05-19 | Omron Tateisi Electronics Co. | Optical device and manufacturing method thereof |
US5167717A (en) | 1989-02-15 | 1992-12-01 | Charles Boitnott | Apparatus and method for processing a semiconductor wafer |
JP2730695B2 (ja) | 1989-04-10 | 1998-03-25 | 忠弘 大見 | タングステン膜の成膜装置 |
US5126117A (en) | 1990-05-22 | 1992-06-30 | Custom Engineered Materials, Inc. | Device for preventing accidental releases of hazardous gases |
US5175123A (en) | 1990-11-13 | 1992-12-29 | Motorola, Inc. | High-pressure polysilicon encapsulated localized oxidation of silicon |
US5050540A (en) | 1991-01-29 | 1991-09-24 | Arne Lindberg | Method of gas blanketing a boiler |
JP2996524B2 (ja) | 1991-03-18 | 2000-01-11 | 松下電子工業株式会社 | ポリイミド硬化装置 |
US5314541A (en) | 1991-05-28 | 1994-05-24 | Tokyo Electron Limited | Reduced pressure processing system and reduced pressure processing method |
ATE251342T1 (de) | 1991-05-28 | 2003-10-15 | Trikon Technologies Ltd | Verfahren zum füllen eines hohraumes in einem substrat |
US6238588B1 (en) | 1991-06-27 | 2001-05-29 | Applied Materials, Inc. | High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process |
JPH0521347A (ja) | 1991-07-11 | 1993-01-29 | Canon Inc | スパツタリング装置 |
JPH0521310A (ja) | 1991-07-11 | 1993-01-29 | Canon Inc | 微細パタン形成方法 |
JPH05129296A (ja) | 1991-11-05 | 1993-05-25 | Fujitsu Ltd | 導電膜の平坦化方法 |
US5300320A (en) | 1992-06-23 | 1994-04-05 | President And Fellows Of Harvard College | Chemical vapor deposition from single organometallic precursors |
US5319212A (en) | 1992-10-07 | 1994-06-07 | Genus, Inc. | Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors |
JPH06283496A (ja) | 1993-03-26 | 1994-10-07 | Dainippon Screen Mfg Co Ltd | 洗浄処理後の基板の乾燥処理装置 |
US5607002A (en) | 1993-04-28 | 1997-03-04 | Advanced Delivery & Chemical Systems, Inc. | Chemical refill system for high purity chemicals |
US5578132A (en) | 1993-07-07 | 1996-11-26 | Tokyo Electron Kabushiki Kaisha | Apparatus for heat treating semiconductors at normal pressure and low pressure |
JPH0766424A (ja) | 1993-08-20 | 1995-03-10 | Semiconductor Energy Lab Co Ltd | 半導体装置およびその作製方法 |
JPH07158767A (ja) | 1993-12-09 | 1995-06-20 | Kokusai Electric Co Ltd | ゲートバルブ |
US5460689A (en) | 1994-02-28 | 1995-10-24 | Applied Materials, Inc. | High pressure plasma treatment method and apparatus |
US5880041A (en) | 1994-05-27 | 1999-03-09 | Motorola Inc. | Method for forming a dielectric layer using high pressure |
US5597439A (en) | 1994-10-26 | 1997-01-28 | Applied Materials, Inc. | Process gas inlet and distribution passages |
US5808245A (en) | 1995-01-03 | 1998-09-15 | Donaldson Company, Inc. | Vertical mount catalytic converter muffler |
JPH08195493A (ja) | 1995-01-13 | 1996-07-30 | Toshiba Corp | 薄膜トランジスタの製造方法 |
US5620524A (en) | 1995-02-27 | 1997-04-15 | Fan; Chiko | Apparatus for fluid delivery in chemical vapor deposition systems |
KR100251341B1 (ko) | 1995-05-08 | 2000-05-01 | 오카노 사다오 | 광도파로의 제조방법 |
JP2872637B2 (ja) | 1995-07-10 | 1999-03-17 | アプライド マテリアルズ インコーポレイテッド | マイクロ波プラズマベースアプリケータ |
JP3684624B2 (ja) | 1995-08-02 | 2005-08-17 | ソニー株式会社 | 反応ガス供給装置 |
US5747383A (en) | 1995-09-05 | 1998-05-05 | Taiwan Semiconductor Manufacturing Company Ltd | Method for forming conductive lines and stacked vias |
US5857368A (en) | 1995-10-06 | 1999-01-12 | Applied Materials, Inc. | Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion |
JPH09296267A (ja) * | 1995-11-21 | 1997-11-18 | Applied Materials Inc | 高圧押出しによる、半導体基板における金属パスの製造装置および方法 |
US5877087A (en) | 1995-11-21 | 1999-03-02 | Applied Materials, Inc. | Low temperature integrated metallization process and apparatus |
US5677230A (en) | 1995-12-01 | 1997-10-14 | Motorola | Method of making wide bandgap semiconductor devices |
US6077571A (en) | 1995-12-19 | 2000-06-20 | The Research Foundation Of State University Of New York | Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation |
US5895274A (en) | 1996-01-22 | 1999-04-20 | Micron Technology, Inc. | High-pressure anneal process for integrated circuits |
US5918149A (en) | 1996-02-16 | 1999-06-29 | Advanced Micro Devices, Inc. | Deposition of a conductor in a via hole or trench |
KR980012044A (ko) | 1996-03-01 | 1998-04-30 | 히가시 데츠로 | 기판건조장치 및 기판건조방법 |
US5998305A (en) | 1996-03-29 | 1999-12-07 | Praxair Technology, Inc. | Removal of carbon from substrate surfaces |
US5738915A (en) | 1996-09-19 | 1998-04-14 | Lambda Technologies, Inc. | Curing polymer layers on semiconductor substrates using variable frequency microwave energy |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
US6082950A (en) | 1996-11-18 | 2000-07-04 | Applied Materials, Inc. | Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding |
US5886864A (en) | 1996-12-02 | 1999-03-23 | Applied Materials, Inc. | Substrate support member for uniform heating of a substrate |
US6071810A (en) | 1996-12-24 | 2000-06-06 | Kabushiki Kaisha Toshiba | Method of filling contact holes and wiring grooves of a semiconductor device |
US5888888A (en) | 1997-01-29 | 1999-03-30 | Ultratech Stepper, Inc. | Method for forming a silicide region on a silicon body |
JP2980052B2 (ja) | 1997-03-31 | 1999-11-22 | 日本電気株式会社 | 半導体装置の製造方法 |
US6334249B2 (en) | 1997-04-22 | 2002-01-01 | Texas Instruments Incorporated | Cavity-filling method for reducing surface topography and roughness |
KR100560049B1 (ko) | 1997-05-10 | 2006-05-25 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 성막방법 |
JP2976931B2 (ja) | 1997-06-04 | 1999-11-10 | 日本電気株式会社 | 半導体装置の製造方法 |
US6309713B1 (en) | 1997-06-30 | 2001-10-30 | Applied Materials, Inc. | Deposition of tungsten nitride by plasma enhanced chemical vapor deposition |
US6136664A (en) | 1997-08-07 | 2000-10-24 | International Business Machines Corporation | Filling of high aspect ratio trench isolation |
US20030049372A1 (en) | 1997-08-11 | 2003-03-13 | Cook Robert C. | High rate deposition at low pressures in a small batch reactor |
KR100261017B1 (ko) | 1997-08-19 | 2000-08-01 | 윤종용 | 반도체 장치의 금속 배선층을 형성하는 방법 |
US6348376B2 (en) | 1997-09-29 | 2002-02-19 | Samsung Electronics Co., Ltd. | Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same |
US5963817A (en) | 1997-10-16 | 1999-10-05 | International Business Machines Corporation | Bulk and strained silicon on insulator using local selective oxidation |
JP3199006B2 (ja) | 1997-11-18 | 2001-08-13 | 日本電気株式会社 | 層間絶縁膜の形成方法および絶縁膜形成装置 |
US6442980B2 (en) | 1997-11-26 | 2002-09-03 | Chart Inc. | Carbon dioxide dry cleaning system |
US6140235A (en) | 1997-12-05 | 2000-10-31 | Applied Materials, Inc. | High pressure copper fill at low temperature |
KR100275727B1 (ko) | 1998-01-06 | 2001-01-15 | 윤종용 | 반도체 장치의 커패시터 형성방법 |
JP3296281B2 (ja) | 1998-01-22 | 2002-06-24 | 日本電気株式会社 | スパッタリング装置及びスパッタリング方法 |
US6846739B1 (en) | 1998-02-27 | 2005-01-25 | Micron Technology, Inc. | MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer |
US6164412A (en) | 1998-04-03 | 2000-12-26 | Arvin Industries, Inc. | Muffler |
JP3955386B2 (ja) | 1998-04-09 | 2007-08-08 | 富士通株式会社 | 半導体装置及びその製造方法 |
JPH11354515A (ja) | 1998-06-04 | 1999-12-24 | Ishikawajima Harima Heavy Ind Co Ltd | 加圧式加熱炉 |
US6103585A (en) | 1998-06-09 | 2000-08-15 | Siemens Aktiengesellschaft | Method of forming deep trench capacitors |
KR100319888B1 (ko) | 1998-06-16 | 2002-01-10 | 윤종용 | 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법 |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
US6719516B2 (en) | 1998-09-28 | 2004-04-13 | Applied Materials, Inc. | Single wafer load lock with internal wafer transport |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
US20030101938A1 (en) | 1998-10-27 | 2003-06-05 | Applied Materials, Inc. | Apparatus for the deposition of high dielectric constant films |
KR100331544B1 (ko) | 1999-01-18 | 2002-04-06 | 윤종용 | 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 |
JP2000221799A (ja) | 1999-01-29 | 2000-08-11 | Canon Inc | 画像形成装置 |
KR100804853B1 (ko) * | 1999-03-04 | 2008-02-20 | 서페이스 테크놀로지 시스템스 피엘씨 | 삼불화염소가스발생기시스템 |
JP4096440B2 (ja) | 1999-03-11 | 2008-06-04 | 三菱瓦斯化学株式会社 | 多層成形品 |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
US6305314B1 (en) | 1999-03-11 | 2001-10-23 | Genvs, Inc. | Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition |
US6387764B1 (en) | 1999-04-02 | 2002-05-14 | Silicon Valley Group, Thermal Systems Llc | Trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth |
JP3892621B2 (ja) | 1999-04-19 | 2007-03-14 | 株式会社神戸製鋼所 | 配線膜の形成方法 |
US6086730A (en) | 1999-04-22 | 2000-07-11 | Komag, Incorporated | Method of sputtering a carbon protective film on a magnetic disk with high sp3 content |
JP2000340671A (ja) | 1999-05-26 | 2000-12-08 | Fujitsu Ltd | 半導体装置の製造方法及び半導体装置 |
JP2001053066A (ja) | 1999-05-28 | 2001-02-23 | Tokyo Electron Ltd | オゾン処理装置およびその方法 |
US6355558B1 (en) | 1999-06-10 | 2002-03-12 | Texas Instruments Incorporated | Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films |
JP2000357699A (ja) | 1999-06-16 | 2000-12-26 | Seiko Epson Corp | 半導体装置 |
EP1069213A3 (en) | 1999-07-12 | 2004-01-28 | Applied Materials, Inc. | Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper |
US6468490B1 (en) | 2000-06-29 | 2002-10-22 | Applied Materials, Inc. | Abatement of fluorine gas from effluent |
US6612317B2 (en) | 2000-04-18 | 2003-09-02 | S.C. Fluids, Inc | Supercritical fluid delivery and recovery system for semiconductor wafer processing |
US6334266B1 (en) | 1999-09-20 | 2002-01-01 | S.C. Fluids, Inc. | Supercritical fluid drying system and method of use |
ATE418158T1 (de) | 1999-08-17 | 2009-01-15 | Applied Materials Inc | Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung |
US6299753B1 (en) | 1999-09-01 | 2001-10-09 | Applied Materials, Inc. | Double pressure vessel chemical dispenser unit |
US6511539B1 (en) | 1999-09-08 | 2003-01-28 | Asm America, Inc. | Apparatus and method for growth of a thin film |
JP2001110729A (ja) | 1999-10-06 | 2001-04-20 | Mitsubishi Heavy Ind Ltd | 半導体素子の連続製造装置 |
FI117942B (fi) | 1999-10-14 | 2007-04-30 | Asm Int | Menetelmä oksidiohutkalvojen kasvattamiseksi |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
KR100304714B1 (ko) | 1999-10-20 | 2001-11-02 | 윤종용 | 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법 |
US6444372B1 (en) | 1999-10-25 | 2002-09-03 | Svg Lithography Systems, Inc. | Non absorbing reticle and method of making same |
US20030148631A1 (en) | 1999-11-08 | 2003-08-07 | Taiwan Semiconductor Manufacturing Company | Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile |
US6500603B1 (en) | 1999-11-11 | 2002-12-31 | Mitsui Chemicals, Inc. | Method for manufacturing polymer optical waveguide |
KR100629255B1 (ko) | 1999-11-12 | 2006-09-29 | 삼성전자주식회사 | 반도체 포토 공정용 베이크 장치 |
KR100321561B1 (ko) | 1999-11-16 | 2002-01-23 | 박호군 | 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법 |
US6399486B1 (en) | 1999-11-22 | 2002-06-04 | Taiwan Semiconductor Manufacturing Company | Method of improved copper gap fill |
TW484170B (en) | 1999-11-30 | 2002-04-21 | Applied Materials Inc | Integrated modular processing platform |
US6344419B1 (en) | 1999-12-03 | 2002-02-05 | Applied Materials, Inc. | Pulsed-mode RF bias for sidewall coverage improvement |
US6969448B1 (en) | 1999-12-30 | 2005-11-29 | Cypress Semiconductor Corp. | Method for forming a metallization structure in an integrated circuit |
US6150286A (en) | 2000-01-03 | 2000-11-21 | Advanced Micro Devices, Inc. | Method of making an ultra thin silicon nitride film |
FI20000099A0 (fi) | 2000-01-18 | 2000-01-18 | Asm Microchemistry Ltd | Menetelmä metalliohutkalvojen kasvattamiseksi |
US6541367B1 (en) | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
US6251242B1 (en) | 2000-01-21 | 2001-06-26 | Applied Materials, Inc. | Magnetron and target producing an extended plasma region in a sputter reactor |
US6277249B1 (en) | 2000-01-21 | 2001-08-21 | Applied Materials Inc. | Integrated process for copper via filling using a magnetron and target producing highly energetic ions |
US6319766B1 (en) | 2000-02-22 | 2001-11-20 | Applied Materials, Inc. | Method of tantalum nitride deposition by tantalum oxide densification |
JP2001250787A (ja) | 2000-03-06 | 2001-09-14 | Hitachi Kokusai Electric Inc | 基板処理装置および基板処理方法 |
AU2001245388A1 (en) | 2000-03-07 | 2001-09-17 | Asm America, Inc. | Graded thin films |
US6506653B1 (en) | 2000-03-13 | 2003-01-14 | International Business Machines Corporation | Method using disposable and permanent films for diffusion and implant doping |
JP4637989B2 (ja) | 2000-03-24 | 2011-02-23 | 株式会社神戸製鋼所 | 半導体配線膜の形成方法 |
FI117979B (fi) | 2000-04-14 | 2007-05-15 | Asm Int | Menetelmä oksidiohutkalvojen valmistamiseksi |
US20040025908A1 (en) | 2000-04-18 | 2004-02-12 | Stephen Douglas | Supercritical fluid delivery system for semiconductor wafer processing |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
TW508658B (en) | 2000-05-15 | 2002-11-01 | Asm Microchemistry Oy | Process for producing integrated circuits |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6921722B2 (en) | 2000-05-30 | 2005-07-26 | Ebara Corporation | Coating, modification and etching of substrate surface with particle beam irradiation of the same |
US6620723B1 (en) | 2000-06-27 | 2003-09-16 | Applied Materials, Inc. | Formation of boride barrier layers using chemisorption techniques |
US6551929B1 (en) | 2000-06-28 | 2003-04-22 | Applied Materials, Inc. | Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques |
US7964505B2 (en) | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US6585823B1 (en) | 2000-07-07 | 2003-07-01 | Asm International, N.V. | Atomic layer deposition |
US7166524B2 (en) | 2000-08-11 | 2007-01-23 | Applied Materials, Inc. | Method for ion implanting insulator material to reduce dielectric constant |
US6660660B2 (en) | 2000-10-10 | 2003-12-09 | Asm International, Nv. | Methods for making a dielectric stack in an integrated circuit |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6630201B2 (en) | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US6464779B1 (en) | 2001-01-19 | 2002-10-15 | Novellus Systems, Inc. | Copper atomic layer chemical vapor desposition |
US6852167B2 (en) | 2001-03-01 | 2005-02-08 | Micron Technology, Inc. | Methods, systems, and apparatus for uniform chemical-vapor depositions |
JP4335469B2 (ja) * | 2001-03-22 | 2009-09-30 | 株式会社荏原製作所 | 真空排気装置のガス循環量調整方法及び装置 |
TW544797B (en) | 2001-04-17 | 2003-08-01 | Kobe Steel Ltd | High-pressure processing apparatus |
JP2002319571A (ja) | 2001-04-20 | 2002-10-31 | Kawasaki Microelectronics Kk | エッチング槽の前処理方法及び半導体装置の製造方法 |
US7080651B2 (en) | 2001-05-17 | 2006-07-25 | Dainippon Screen Mfg. Co., Ltd. | High pressure processing apparatus and method |
KR100433846B1 (ko) | 2001-05-23 | 2004-06-04 | 주식회사 하이닉스반도체 | 반도체장치의 금속도전막 형성방법 |
US6752585B2 (en) | 2001-06-13 | 2004-06-22 | Applied Materials Inc | Method and apparatus for transferring a semiconductor substrate |
EP1271636A1 (en) | 2001-06-22 | 2003-01-02 | Infineon Technologies AG | Thermal oxidation process control by controlling oxidation agent partial pressure |
US9051641B2 (en) | 2001-07-25 | 2015-06-09 | Applied Materials, Inc. | Cobalt deposition on barrier surfaces |
US20030029715A1 (en) | 2001-07-25 | 2003-02-13 | Applied Materials, Inc. | An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems |
US20080268635A1 (en) | 2001-07-25 | 2008-10-30 | Sang-Ho Yu | Process for forming cobalt and cobalt silicide materials in copper contact applications |
JP2003051474A (ja) | 2001-08-03 | 2003-02-21 | Kobe Steel Ltd | 高圧処理装置 |
US6889627B1 (en) | 2001-08-08 | 2005-05-10 | Lam Research Corporation | Symmetrical semiconductor reactor |
US6781801B2 (en) | 2001-08-10 | 2004-08-24 | Seagate Technology Llc | Tunneling magnetoresistive sensor with spin polarized current injection |
US6531412B2 (en) | 2001-08-10 | 2003-03-11 | International Business Machines Corporation | Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications |
JP2003077974A (ja) | 2001-08-31 | 2003-03-14 | Hitachi Kokusai Electric Inc | 基板処理装置および半導体装置の製造方法 |
US6619304B2 (en) | 2001-09-13 | 2003-09-16 | Micell Technologies, Inc. | Pressure chamber assembly including non-mechanical drive means |
US6607976B2 (en) | 2001-09-25 | 2003-08-19 | Applied Materials, Inc. | Copper interconnect barrier layer structure and formation method |
US20030059538A1 (en) | 2001-09-26 | 2003-03-27 | Applied Materials, Inc. | Integration of barrier layer and seed layer |
US7105061B1 (en) | 2001-11-07 | 2006-09-12 | Novellus Systems, Inc. | Method and apparatus for sealing substrate load port in a high pressure reactor |
US6620956B2 (en) | 2001-11-16 | 2003-09-16 | Applied Materials, Inc. | Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing |
US20030098069A1 (en) | 2001-11-26 | 2003-05-29 | Sund Wesley E. | High purity fluid delivery system |
JP2003166065A (ja) | 2001-11-30 | 2003-06-13 | Sekisui Chem Co Ltd | 放電プラズマ処理装置 |
JP2003188387A (ja) | 2001-12-20 | 2003-07-04 | Sony Corp | 薄膜トランジスタ及びその製造方法 |
KR100450564B1 (ko) | 2001-12-20 | 2004-09-30 | 동부전자 주식회사 | 반도체 소자의 금속 배선 후처리 방법 |
US6939801B2 (en) | 2001-12-21 | 2005-09-06 | Applied Materials, Inc. | Selective deposition of a barrier layer on a dielectric material |
US6620670B2 (en) | 2002-01-18 | 2003-09-16 | Applied Materials, Inc. | Process conditions and precursors for atomic layer deposition (ALD) of AL2O3 |
US6848458B1 (en) | 2002-02-05 | 2005-02-01 | Novellus Systems, Inc. | Apparatus and methods for processing semiconductor substrates using supercritical fluids |
US6632325B2 (en) | 2002-02-07 | 2003-10-14 | Applied Materials, Inc. | Article for use in a semiconductor processing chamber and method of fabricating same |
JP2003243374A (ja) * | 2002-02-20 | 2003-08-29 | Tokyo Electron Ltd | 基板処理装置及び基板処理方法 |
US6835503B2 (en) | 2002-04-12 | 2004-12-28 | Micron Technology, Inc. | Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks |
US7279432B2 (en) | 2002-04-16 | 2007-10-09 | Applied Materials, Inc. | System and method for forming an integrated barrier layer |
US7589029B2 (en) | 2002-05-02 | 2009-09-15 | Micron Technology, Inc. | Atomic layer deposition and conversion |
US7638727B2 (en) | 2002-05-08 | 2009-12-29 | Btu International Inc. | Plasma-assisted heat treatment |
US7910165B2 (en) | 2002-06-04 | 2011-03-22 | Applied Materials, Inc. | Ruthenium layer formation for copper film deposition |
US6657304B1 (en) | 2002-06-06 | 2003-12-02 | Advanced Micro Devices, Inc. | Conformal barrier liner in an integrated circuit interconnect |
KR20050019129A (ko) * | 2002-06-13 | 2005-02-28 | 비오씨 에드워즈 인코포레이티드 | 기판 처리 장치 및 기판 처리 방법 |
US6846380B2 (en) * | 2002-06-13 | 2005-01-25 | The Boc Group, Inc. | Substrate processing apparatus and related systems and methods |
US7521089B2 (en) * | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
US20070243317A1 (en) | 2002-07-15 | 2007-10-18 | Du Bois Dale R | Thermal Processing System and Configurable Vertical Chamber |
US20070212850A1 (en) | 2002-09-19 | 2007-09-13 | Applied Materials, Inc. | Gap-fill depositions in the formation of silicon containing dielectric materials |
US7335609B2 (en) | 2004-08-27 | 2008-02-26 | Applied Materials, Inc. | Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials |
JP2004127958A (ja) | 2002-09-30 | 2004-04-22 | Kyoshin Engineering:Kk | 高圧アニール水蒸気処理を行なう装置及び方法 |
WO2004032189A2 (en) | 2002-09-30 | 2004-04-15 | Miasolé | Manufacturing apparatus and method for large-scale production of thin-film solar cells |
US20040060519A1 (en) | 2002-10-01 | 2004-04-01 | Seh America Inc. | Quartz to quartz seal using expanded PTFE gasket material |
US6889508B2 (en) | 2002-10-02 | 2005-05-10 | The Boc Group, Inc. | High pressure CO2 purification and supply system |
US7270761B2 (en) | 2002-10-18 | 2007-09-18 | Appleid Materials, Inc | Fluorine free integrated process for etching aluminum including chamber dry clean |
KR100480634B1 (ko) | 2002-11-19 | 2005-03-31 | 삼성전자주식회사 | 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법 |
US7027722B2 (en) | 2002-11-25 | 2006-04-11 | Koyo Thermo Systems Co., Ltd. | Electric heater for a semiconductor processing apparatus |
US20040112409A1 (en) | 2002-12-16 | 2004-06-17 | Supercritical Sysems, Inc. | Fluoride in supercritical fluid for photoresist and residue removal |
US6825115B1 (en) | 2003-01-14 | 2004-11-30 | Advanced Micro Devices, Inc. | Post silicide laser thermal annealing to avoid dopant deactivation |
US7658973B2 (en) | 2003-02-04 | 2010-02-09 | Applied Materials, Inc. | Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure |
JP3956049B2 (ja) | 2003-03-07 | 2007-08-08 | 東京エレクトロン株式会社 | タングステン膜の形成方法 |
US6809005B2 (en) | 2003-03-12 | 2004-10-26 | Infineon Technologies Ag | Method to fill deep trench structures with void-free polysilicon or silicon |
US7079760B2 (en) | 2003-03-17 | 2006-07-18 | Tokyo Electron Limited | Processing system and method for thermally treating a substrate |
WO2004102055A1 (en) | 2003-05-13 | 2004-11-25 | Applied Materials, Inc. | Methods and apparatus for sealing an opening of a processing chamber |
US6867130B1 (en) | 2003-05-28 | 2005-03-15 | Advanced Micro Devices, Inc. | Enhanced silicidation of polysilicon gate electrodes |
US6939794B2 (en) | 2003-06-17 | 2005-09-06 | Micron Technology, Inc. | Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device |
US7226512B2 (en) * | 2003-06-18 | 2007-06-05 | Ekc Technology, Inc. | Load lock system for supercritical fluid cleaning |
WO2005007283A2 (en) | 2003-07-08 | 2005-01-27 | Sundew Technologies, Llc | Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement |
KR100539274B1 (ko) | 2003-07-15 | 2005-12-27 | 삼성전자주식회사 | 코발트 막 증착 방법 |
JP4417669B2 (ja) | 2003-07-28 | 2010-02-17 | 日本エー・エス・エム株式会社 | 半導体処理装置および半導体ウエハーの導入方法 |
JP4173781B2 (ja) | 2003-08-13 | 2008-10-29 | 株式会社神戸製鋼所 | 高圧処理方法 |
JP4443879B2 (ja) | 2003-09-03 | 2010-03-31 | 株式会社協真エンジニアリング | 高精度高圧アニール装置 |
US7029966B2 (en) | 2003-09-18 | 2006-04-18 | International Business Machines Corporation | Process options of forming silicided metal gates for advanced CMOS devices |
US6867152B1 (en) | 2003-09-26 | 2005-03-15 | Novellus Systems, Inc. | Properties of a silica thin film produced by a rapid vapor deposition (RVD) process |
US7109087B2 (en) | 2003-10-03 | 2006-09-19 | Applied Materials, Inc. | Absorber layer for DSA processing |
US20070111519A1 (en) | 2003-10-15 | 2007-05-17 | Applied Materials, Inc. | Integrated electroless deposition system |
WO2005057663A2 (en) | 2003-12-10 | 2005-06-23 | Koninklijke Philips Electronics N.V. | Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices |
WO2005064649A2 (en) | 2003-12-23 | 2005-07-14 | Schumacher John C | Exhaust conditioning system for semiconductor reactor |
US7158221B2 (en) | 2003-12-23 | 2007-01-02 | Applied Materials, Inc. | Method and apparatus for performing limited area spectral analysis |
US20050136684A1 (en) | 2003-12-23 | 2005-06-23 | Applied Materials, Inc. | Gap-fill techniques |
US20050250347A1 (en) | 2003-12-31 | 2005-11-10 | Bailey Christopher M | Method and apparatus for maintaining by-product volatility in deposition process |
US20050205210A1 (en) * | 2004-01-06 | 2005-09-22 | Devine Daniel J | Advanced multi-pressure workpiece processing |
US7030468B2 (en) | 2004-01-16 | 2006-04-18 | International Business Machines Corporation | Low k and ultra low k SiCOH dielectric films and methods to form the same |
US7037816B2 (en) | 2004-01-23 | 2006-05-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | System and method for integration of HfO2 and RTCVD poly-silicon |
US6897118B1 (en) | 2004-02-11 | 2005-05-24 | Chartered Semiconductor Manufacturing Ltd. | Method of multiple pulse laser annealing to activate ultra-shallow junctions |
US20050187647A1 (en) | 2004-02-19 | 2005-08-25 | Kuo-Hua Wang | Intelligent full automation controlled flow for a semiconductor furnace tool |
US7078302B2 (en) | 2004-02-23 | 2006-07-18 | Applied Materials, Inc. | Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal |
US7030016B2 (en) | 2004-03-30 | 2006-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post ECP multi-step anneal/H2 treatment to reduce film impurity |
JP4393268B2 (ja) | 2004-05-20 | 2010-01-06 | 株式会社神戸製鋼所 | 微細構造体の乾燥方法 |
US20050269291A1 (en) | 2004-06-04 | 2005-12-08 | Tokyo Electron Limited | Method of operating a processing system for treating a substrate |
US7268065B2 (en) | 2004-06-18 | 2007-09-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of manufacturing metal-silicide features |
US7521378B2 (en) | 2004-07-01 | 2009-04-21 | Micron Technology, Inc. | Low temperature process for polysilazane oxidation/densification |
JP4593210B2 (ja) * | 2004-09-08 | 2010-12-08 | 株式会社協真エンジニアリング | 高圧アニール装置の反応容器保護方法及び装置 |
TWI267183B (en) | 2004-09-29 | 2006-11-21 | Sanyo Electric Co | Semiconductor device and manufacturing method of the same |
US7439168B2 (en) | 2004-10-12 | 2008-10-21 | Dcg Systems, Inc | Apparatus and method of forming silicide in a localized manner |
US7491658B2 (en) | 2004-10-13 | 2009-02-17 | International Business Machines Corporation | Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality |
US7427571B2 (en) | 2004-10-15 | 2008-09-23 | Asm International, N.V. | Reactor design for reduced particulate generation |
US8585873B2 (en) | 2004-10-16 | 2013-11-19 | Aviza Technology Limited | Methods and apparatus for sputtering |
US20060091493A1 (en) | 2004-11-01 | 2006-05-04 | Silicon-Based Technology Corp. | LOCOS Schottky barrier contact structure and its manufacturing method |
JP2006135161A (ja) | 2004-11-08 | 2006-05-25 | Canon Inc | 絶縁膜の形成方法及び装置 |
US7235472B2 (en) | 2004-11-12 | 2007-06-26 | Infineon Technologies Ag | Method of making fully silicided gate electrode |
WO2006055984A2 (en) | 2004-11-22 | 2006-05-26 | Applied Materials, Inc. | Substrate processing apparatus using a batch processing chamber |
US7429402B2 (en) | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US20060240187A1 (en) | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
KR100697280B1 (ko) | 2005-02-07 | 2007-03-20 | 삼성전자주식회사 | 반도체 제조 설비의 압력 조절 방법 |
KR101213390B1 (ko) | 2005-02-22 | 2012-12-18 | 잭틱스 인코포레이티드 | 서브챔버를 가지는 에칭 챔버 |
US7211525B1 (en) | 2005-03-16 | 2007-05-01 | Novellus Systems, Inc. | Hydrogen treatment enhanced gap fill |
US7759749B2 (en) | 2005-03-16 | 2010-07-20 | Nec Corporation | Metal material, and coating film and wiring for semiconductor integrated circuitry utilizing the metal material |
WO2006101315A1 (en) | 2005-03-21 | 2006-09-28 | Pkl Co., Ltd. | Device and method for cleaning photomask |
US20060226117A1 (en) | 2005-03-29 | 2006-10-12 | Bertram Ronald T | Phase change based heating element system and method |
US7465650B2 (en) | 2005-04-14 | 2008-12-16 | Micron Technology, Inc. | Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry |
US20120060868A1 (en) | 2005-06-07 | 2012-03-15 | Donald Gray | Microscale fluid delivery system |
ES2317159T3 (es) | 2005-06-10 | 2009-04-16 | Obducat Ab | Replicacion de modelo con sello intermedio. |
JP4747693B2 (ja) | 2005-06-28 | 2011-08-17 | 住友電気工業株式会社 | 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法 |
US7361231B2 (en) | 2005-07-01 | 2008-04-22 | Ekc Technology, Inc. | System and method for mid-pressure dense phase gas and ultrasonic cleaning |
JP5117856B2 (ja) | 2005-08-05 | 2013-01-16 | 株式会社日立国際電気 | 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法 |
US7534080B2 (en) | 2005-08-26 | 2009-05-19 | Ascentool, Inc. | Vacuum processing and transfer system |
US7531404B2 (en) | 2005-08-30 | 2009-05-12 | Intel Corporation | Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer |
KR100696178B1 (ko) | 2005-09-13 | 2007-03-20 | 한국전자통신연구원 | 광 도파로 마스터 및 그 제조 방법 |
US8926731B2 (en) | 2005-09-13 | 2015-01-06 | Rasirc | Methods and devices for producing high purity steam |
CN101268012B (zh) | 2005-10-07 | 2012-12-26 | 株式会社尼康 | 微小构造体及其制造方法 |
US7794667B2 (en) | 2005-10-19 | 2010-09-14 | Moore Epitaxial, Inc. | Gas ring and method of processing substrates |
US8460519B2 (en) | 2005-10-28 | 2013-06-11 | Applied Materials Inc. | Protective offset sputtering |
KR101101757B1 (ko) | 2005-11-07 | 2012-01-05 | 주성엔지니어링(주) | 제조비용을 절감한 진공챔버 |
US7387968B2 (en) | 2005-11-08 | 2008-06-17 | Tokyo Electron Limited | Batch photoresist dry strip and ash system and process |
JP5249040B2 (ja) | 2005-11-18 | 2013-07-31 | レプリソールス グループ エスアーエス | 電極およびその形成方法 |
US20070116873A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Apparatus for thermal and plasma enhanced vapor deposition and method of operating |
US7432200B2 (en) | 2005-12-15 | 2008-10-07 | Intel Corporation | Filling narrow and high aspect ratio openings using electroless deposition |
US8306026B2 (en) | 2005-12-15 | 2012-11-06 | Toshiba America Research, Inc. | Last hop topology sensitive multicasting key management |
KR20070068596A (ko) | 2005-12-27 | 2007-07-02 | 삼성전자주식회사 | 베이크 장치 |
JP2007180310A (ja) | 2005-12-28 | 2007-07-12 | Toshiba Corp | 半導体装置 |
KR100684910B1 (ko) | 2006-02-02 | 2007-02-22 | 삼성전자주식회사 | 플라즈마 처리 장치 및 그의 클리닝 방법 |
US20070187386A1 (en) * | 2006-02-10 | 2007-08-16 | Poongsan Microtec Corporation | Methods and apparatuses for high pressure gas annealing |
US7578258B2 (en) | 2006-03-03 | 2009-08-25 | Lam Research Corporation | Methods and apparatus for selective pre-coating of a plasma processing chamber |
US7520969B2 (en) | 2006-03-07 | 2009-04-21 | Applied Materials, Inc. | Notched deposition ring |
JP2007242791A (ja) | 2006-03-07 | 2007-09-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
TW200746268A (en) | 2006-04-11 | 2007-12-16 | Applied Materials Inc | Process for forming cobalt-containing materials |
JP4983087B2 (ja) | 2006-04-27 | 2012-07-25 | 富士通セミコンダクター株式会社 | 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置 |
WO2007133595A2 (en) | 2006-05-08 | 2007-11-22 | The Board Of Trustees Of The University Of Illinois | Integrated vacuum absorption steam cycle gas separation |
US7825038B2 (en) | 2006-05-30 | 2010-11-02 | Applied Materials, Inc. | Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen |
US7650965B2 (en) | 2006-06-09 | 2010-01-26 | Emcon Technologies Llc | Exhaust system |
US7709320B2 (en) | 2006-06-28 | 2010-05-04 | International Business Machines Corporation | Method of fabricating trench capacitors and memory cells using trench capacitors |
JP2008053258A (ja) * | 2006-08-22 | 2008-03-06 | Ihi Corp | 熱処理装置および熱処理方法とその制御装置 |
JP2008073611A (ja) | 2006-09-21 | 2008-04-03 | Dainippon Screen Mfg Co Ltd | 高圧処理装置 |
US7494891B2 (en) | 2006-09-21 | 2009-02-24 | International Business Machines Corporation | Trench capacitor with void-free conductor fill |
JP4814038B2 (ja) | 2006-09-25 | 2011-11-09 | 株式会社日立国際電気 | 基板処理装置および反応容器の着脱方法 |
JP4976796B2 (ja) | 2006-09-25 | 2012-07-18 | 株式会社東芝 | 半導体装置 |
US7521379B2 (en) | 2006-10-09 | 2009-04-21 | Applied Materials, Inc. | Deposition and densification process for titanium nitride barrier layers |
JP2008118118A (ja) | 2006-10-13 | 2008-05-22 | Asahi Glass Co Ltd | Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク |
TW200830034A (en) | 2006-10-13 | 2008-07-16 | Asahi Glass Co Ltd | Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method |
US7888273B1 (en) | 2006-11-01 | 2011-02-15 | Novellus Systems, Inc. | Density gradient-free gap fill |
US7790587B2 (en) | 2006-11-07 | 2010-09-07 | Intel Corporation | Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby |
JP2008153635A (ja) | 2006-11-22 | 2008-07-03 | Toshiba Matsushita Display Technology Co Ltd | Mos型半導体素子の製造方法 |
JP5200371B2 (ja) | 2006-12-01 | 2013-06-05 | 東京エレクトロン株式会社 | 成膜方法、半導体装置及び記憶媒体 |
US20080132050A1 (en) | 2006-12-05 | 2008-06-05 | Lavoie Adrien R | Deposition process for graded cobalt barrier layers |
US20080169183A1 (en) | 2007-01-16 | 2008-07-17 | Varian Semiconductor Equipment Associates, Inc. | Plasma Source with Liner for Reducing Metal Contamination |
JP2008192642A (ja) | 2007-01-31 | 2008-08-21 | Tokyo Electron Ltd | 基板処理装置 |
US20080233404A1 (en) | 2007-03-22 | 2008-09-25 | 3M Innovative Properties Company | Microreplication tools and patterns using laser induced thermal embossing |
JP5135856B2 (ja) | 2007-03-31 | 2013-02-06 | 東京エレクトロン株式会社 | トラップ装置、排気系及びこれを用いた処理システム |
US20080241384A1 (en) | 2007-04-02 | 2008-10-02 | Asm Genitech Korea Ltd. | Lateral flow deposition apparatus and method of depositing film by using the apparatus |
DE102007017641A1 (de) | 2007-04-13 | 2008-10-16 | Infineon Technologies Ag | Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder |
JP2010525530A (ja) | 2007-04-30 | 2010-07-22 | アイファイアー・アイピー・コーポレーション | 厚膜誘電性エレクトロルミネセントディスプレイ用の積層厚膜誘電体構造 |
JP2010528475A (ja) | 2007-05-25 | 2010-08-19 | アプライド マテリアルズ インコーポレイテッド | 電子デバイス製造システムを組み立てる及び運転する方法及び装置 |
US20080311711A1 (en) | 2007-06-13 | 2008-12-18 | Roland Hampp | Gapfill for metal contacts |
US20090018688A1 (en) | 2007-06-15 | 2009-01-15 | Applied Materials, Inc. | Methods and systems for designing and validating operation of abatement systems |
KR101442238B1 (ko) | 2007-07-26 | 2014-09-23 | 주식회사 풍산마이크로텍 | 고압 산소 열처리를 통한 반도체 소자의 제조방법 |
US7645709B2 (en) | 2007-07-30 | 2010-01-12 | Applied Materials, Inc. | Methods for low temperature oxidation of a semiconductor device |
US7763522B2 (en) | 2007-08-01 | 2010-07-27 | United Microelectronic Corp. | Method of high density plasma gap-filling with minimization of gas phase nucleation |
US8648253B1 (en) | 2010-10-01 | 2014-02-11 | Ascent Solar Technologies, Inc. | Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers |
US7951728B2 (en) | 2007-09-24 | 2011-05-31 | Applied Materials, Inc. | Method of improving oxide growth rate of selective oxidation processes |
US7884012B2 (en) | 2007-09-28 | 2011-02-08 | Tokyo Electron Limited | Void-free copper filling of recessed features for semiconductor devices |
US7803722B2 (en) | 2007-10-22 | 2010-09-28 | Applied Materials, Inc | Methods for forming a dielectric layer within trenches |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
KR20100084676A (ko) | 2007-10-26 | 2010-07-27 | 어플라이드 머티어리얼스, 인코포레이티드 | 향상된 연료 회로를 사용하는 스마트 저감을 위한 방법 및 장치 |
JP5299605B2 (ja) | 2007-11-19 | 2013-09-25 | 日揮触媒化成株式会社 | 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜 |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
KR20090064279A (ko) | 2007-12-14 | 2009-06-18 | 노벨러스 시스템즈, 인코포레이티드 | 손상 없는 갭 충진을 위한 보호 층 |
US7776740B2 (en) | 2008-01-22 | 2010-08-17 | Tokyo Electron Limited | Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device |
US7843063B2 (en) | 2008-02-14 | 2010-11-30 | International Business Machines Corporation | Microstructure modification in copper interconnect structure |
US7964506B1 (en) | 2008-03-06 | 2011-06-21 | Novellus Systems, Inc. | Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers |
US20090246952A1 (en) | 2008-03-28 | 2009-10-01 | Tokyo Electron Limited | Method of forming a cobalt metal nitride barrier film |
JP4815464B2 (ja) | 2008-03-31 | 2011-11-16 | 株式会社日立製作所 | 微細構造転写スタンパ及び微細構造転写装置 |
US20090269507A1 (en) | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US8249436B2 (en) | 2008-05-02 | 2012-08-21 | Applied Materials, Inc. | System for non radial temperature control for rotating substrates |
US8133793B2 (en) | 2008-05-16 | 2012-03-13 | Sandisk 3D Llc | Carbon nano-film reversible resistance-switchable elements and methods of forming the same |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US7655532B1 (en) | 2008-07-25 | 2010-02-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | STI film property using SOD post-treatment |
US8945981B2 (en) | 2008-07-31 | 2015-02-03 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and manufacturing method thereof |
JP2010080949A (ja) | 2008-08-29 | 2010-04-08 | Kisco Ltd | 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス |
US20100089315A1 (en) | 2008-09-22 | 2010-04-15 | Applied Materials, Inc. | Shutter disk for physical vapor deposition chamber |
US8153533B2 (en) | 2008-09-24 | 2012-04-10 | Lam Research | Methods and systems for preventing feature collapse during microelectronic topography fabrication |
KR20100035000A (ko) | 2008-09-25 | 2010-04-02 | 삼성전자주식회사 | 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자 |
KR20110084275A (ko) | 2008-10-27 | 2011-07-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 삼원 화합물의 기상 증착 방법 |
US7891228B2 (en) | 2008-11-18 | 2011-02-22 | Mks Instruments, Inc. | Dual-mode mass flow verification and mass flow delivery system and method |
US8557712B1 (en) | 2008-12-15 | 2013-10-15 | Novellus Systems, Inc. | PECVD flowable dielectric gap fill |
KR20100082170A (ko) | 2009-01-08 | 2010-07-16 | 삼성전자주식회사 | 실리콘 산화막 패턴 및 소자 분리막 형성 방법 |
JP2010168607A (ja) | 2009-01-21 | 2010-08-05 | Institute Of National Colleges Of Technology Japan | 組成比制御が可能な対向ターゲット式スパッタ装置 |
CN102308675B (zh) | 2009-02-04 | 2016-01-13 | 应用材料公司 | 用于等离子体工艺的接地回流路径 |
KR101534678B1 (ko) | 2009-02-12 | 2015-07-08 | 삼성전자주식회사 | 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법 |
CN102498576A (zh) | 2009-02-15 | 2012-06-13 | 纳米太阳能公司 | 由平衡前体形成的太阳能电池吸收层 |
JP2010205854A (ja) | 2009-03-02 | 2010-09-16 | Fujitsu Semiconductor Ltd | 半導体装置の製造方法 |
JP4564570B2 (ja) | 2009-03-10 | 2010-10-20 | 三井造船株式会社 | 原子層堆積装置 |
JP4523661B1 (ja) | 2009-03-10 | 2010-08-11 | 三井造船株式会社 | 原子層堆積装置及び薄膜形成方法 |
US8435830B2 (en) | 2009-03-18 | 2013-05-07 | Samsung Electronics Co., Ltd. | Methods of fabricating semiconductor devices |
FR2944147B1 (fr) | 2009-04-02 | 2011-09-23 | Saint Gobain | Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee |
WO2010115128A2 (en) | 2009-04-03 | 2010-10-07 | Applied Materials, Inc. | High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process |
US20100297854A1 (en) | 2009-04-22 | 2010-11-25 | Applied Materials, Inc. | High throughput selective oxidation of silicon and polysilicon using plasma at room temperature |
US20100304027A1 (en) | 2009-05-27 | 2010-12-02 | Applied Materials, Inc. | Substrate processing system and methods thereof |
JP4415062B1 (ja) | 2009-06-22 | 2010-02-17 | 富士フイルム株式会社 | 薄膜トランジスタ及び薄膜トランジスタの製造方法 |
KR20110000960A (ko) | 2009-06-29 | 2011-01-06 | 삼성전자주식회사 | 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법 |
JP5174966B2 (ja) | 2009-07-01 | 2013-04-03 | 三菱電機株式会社 | 薄膜太陽電池およびその製造方法 |
JP2012197463A (ja) | 2009-07-03 | 2012-10-18 | Canon Anelva Corp | 薄膜の成膜方法 |
US20110011737A1 (en) | 2009-07-17 | 2011-01-20 | Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan | High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same |
JP5568913B2 (ja) | 2009-07-24 | 2014-08-13 | 株式会社ユーテック | Pzt膜の製造方法及び水蒸気加熱装置 |
US9548228B2 (en) | 2009-08-04 | 2017-01-17 | Lam Research Corporation | Void free tungsten fill in different sized features |
US8741788B2 (en) | 2009-08-06 | 2014-06-03 | Applied Materials, Inc. | Formation of silicon oxide using non-carbon flowable CVD processes |
KR20110023007A (ko) | 2009-08-28 | 2011-03-08 | 삼성전자주식회사 | 박막 태양 전지 및 이의 제조방법 |
JP2011066100A (ja) | 2009-09-16 | 2011-03-31 | Bridgestone Corp | 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法 |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
US8449942B2 (en) | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
JP2011108739A (ja) | 2009-11-13 | 2011-06-02 | Dainippon Printing Co Ltd | 薄膜トランジスタ基板、その製造方法及び画像表示装置 |
KR101370301B1 (ko) * | 2009-11-20 | 2014-03-05 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치의 제작 방법 |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
JP2013517616A (ja) | 2010-01-06 | 2013-05-16 | アプライド マテリアルズ インコーポレイテッド | 酸化物ライナを使用する流動可能な誘電体 |
US8691687B2 (en) | 2010-01-07 | 2014-04-08 | International Business Machines Corporation | Superfilled metal contact vias for semiconductor devices |
CN102714156A (zh) | 2010-01-07 | 2012-10-03 | 应用材料公司 | 自由基成分cvd的原位臭氧固化 |
US9500362B2 (en) | 2010-01-21 | 2016-11-22 | Powerdyne, Inc. | Generating steam from carbonaceous material |
US20110174363A1 (en) | 2010-01-21 | 2011-07-21 | Aqt Solar, Inc. | Control of Composition Profiles in Annealed CIGS Absorbers |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
US20110204518A1 (en) | 2010-02-23 | 2011-08-25 | Globalfoundries Inc. | Scalability with reduced contact resistance |
CN102844848A (zh) | 2010-03-05 | 2012-12-26 | 应用材料公司 | 通过自由基成分化学气相沉积的共形层 |
TW201133974A (en) | 2010-03-23 | 2011-10-01 | Nat Univ Tsing Hua | Method for improving the efficiency of a flexible organic solar cell |
US9129945B2 (en) | 2010-03-24 | 2015-09-08 | Applied Materials, Inc. | Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance |
US8795488B2 (en) | 2010-03-31 | 2014-08-05 | Applied Materials, Inc. | Apparatus for physical vapor deposition having centrally fed RF energy |
WO2011132625A1 (en) | 2010-04-23 | 2011-10-27 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of semiconductor device |
US20110265951A1 (en) * | 2010-04-30 | 2011-11-03 | Applied Materials, Inc. | Twin chamber processing system |
JP5697534B2 (ja) | 2010-05-14 | 2015-04-08 | 株式会社半導体エネルギー研究所 | トランジスタの作製方法 |
KR101163711B1 (ko) | 2010-06-15 | 2012-07-09 | 서울대학교산학협력단 | 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법 |
CN101871043B (zh) | 2010-06-25 | 2012-07-18 | 东莞市康汇聚线材科技有限公司 | 一种退火炉蒸汽发生器及其控制方法 |
US8318584B2 (en) | 2010-07-30 | 2012-11-27 | Applied Materials, Inc. | Oxide-rich liner layer for flowable CVD gapfill |
JP2012049446A (ja) | 2010-08-30 | 2012-03-08 | Toshiba Corp | 超臨界乾燥方法及び超臨界乾燥システム |
EP2426720A1 (en) | 2010-09-03 | 2012-03-07 | Applied Materials, Inc. | Staggered thin film transistor and method of forming the same |
TW201216331A (en) | 2010-10-05 | 2012-04-16 | Applied Materials Inc | Ultra high selectivity doped amorphous carbon strippable hardmask development and integration |
JP2012089744A (ja) | 2010-10-21 | 2012-05-10 | Elpida Memory Inc | 半導体装置の製造方法 |
US20120153483A1 (en) | 2010-12-20 | 2012-06-21 | Akolkar Rohan N | Barrierless single-phase interconnect |
KR101226958B1 (ko) | 2011-01-18 | 2013-01-28 | 연세대학교 산학협력단 | 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터 |
CN103502853A (zh) | 2011-03-25 | 2014-01-08 | 李谞荣 | 光波电路及其制造方法 |
JP5450494B2 (ja) | 2011-03-25 | 2014-03-26 | 株式会社東芝 | 半導体基板の超臨界乾燥方法 |
JP6048400B2 (ja) | 2011-03-30 | 2016-12-21 | 大日本印刷株式会社 | 超臨界乾燥装置及び超臨界乾燥方法 |
US20120252210A1 (en) | 2011-03-30 | 2012-10-04 | Tokyo Electron Limited | Method for modifying metal cap layers in semiconductor devices |
US8524600B2 (en) | 2011-03-31 | 2013-09-03 | Applied Materials, Inc. | Post deposition treatments for CVD cobalt films |
US8637410B2 (en) | 2011-04-08 | 2014-01-28 | Applied Materials, Inc. | Method for metal deposition using hydrogen plasma |
US9512520B2 (en) * | 2011-04-25 | 2016-12-06 | Applied Materials, Inc. | Semiconductor substrate processing system |
US9299581B2 (en) | 2011-05-12 | 2016-03-29 | Applied Materials, Inc. | Methods of dry stripping boron-carbon films |
WO2012165377A1 (ja) | 2011-05-30 | 2012-12-06 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置および記憶媒体 |
JP6085423B2 (ja) | 2011-05-30 | 2017-02-22 | 株式会社東芝 | 基板処理方法、基板処理装置および記憶媒体 |
US8435887B2 (en) | 2011-06-02 | 2013-05-07 | International Business Machines Corporation | Copper interconnect formation |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
GB201110117D0 (en) | 2011-06-16 | 2011-07-27 | Fujifilm Mfg Europe Bv | method and device for manufacturing a barrie layer on a flexible substrate |
US9536763B2 (en) | 2011-06-28 | 2017-01-03 | Brooks Automation, Inc. | Semiconductor stocker systems and methods |
JP5544666B2 (ja) | 2011-06-30 | 2014-07-09 | セメス株式会社 | 基板処理装置 |
JP6078063B2 (ja) | 2011-07-13 | 2017-02-08 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 薄膜トランジスタデバイスの製造方法 |
WO2013008982A1 (ko) | 2011-07-14 | 2013-01-17 | 엘티씨 (주) | 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance} |
US9368603B2 (en) | 2011-09-15 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact for high-k metal gate device |
US8546227B2 (en) | 2011-09-15 | 2013-10-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact for high-K metal gate device |
US10023954B2 (en) | 2011-09-15 | 2018-07-17 | Applied Materials, Inc. | Slit valve apparatus, systems, and methods |
CN103035513B (zh) | 2011-09-30 | 2016-10-05 | 中芯国际集成电路制造(上海)有限公司 | 无定形碳膜的形成方法 |
WO2013065771A1 (ja) * | 2011-11-01 | 2013-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、半導体装置の製造装置及び記録媒体 |
JP5712902B2 (ja) | 2011-11-10 | 2015-05-07 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法及び記憶媒体 |
KR101305904B1 (ko) | 2011-12-07 | 2013-09-09 | 주식회사 테스 | 반도체소자 제조방법 |
WO2013083129A1 (en) | 2011-12-08 | 2013-06-13 | Inmold Biosystems A/S | Spin-on-glass assisted polishing of rough substrates |
JP2013122493A (ja) | 2011-12-09 | 2013-06-20 | Furukawa Electric Co Ltd:The | 光分岐素子および光分岐回路 |
US9653614B2 (en) | 2012-01-23 | 2017-05-16 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for manufacturing the same |
JP2013154315A (ja) | 2012-01-31 | 2013-08-15 | Ricoh Co Ltd | 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置 |
CN104106128B (zh) | 2012-02-13 | 2016-11-09 | 应用材料公司 | 用于基板的选择性氧化的方法和设备 |
WO2013130191A1 (en) * | 2012-02-29 | 2013-09-06 | Applied Materials, Inc. | Abatement and strip process chamber in a load lock configuration |
CN104160456B (zh) | 2012-03-02 | 2016-10-26 | 独立行政法人科学技术振兴机构 | 导电性膜的形成方法 |
US8871656B2 (en) | 2012-03-05 | 2014-10-28 | Applied Materials, Inc. | Flowable films using alternative silicon precursors |
JP5577365B2 (ja) | 2012-03-15 | 2014-08-20 | コマツ産機株式会社 | プレス機械の制動性能確認装置 |
US9330939B2 (en) | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
US9303311B2 (en) | 2012-03-30 | 2016-04-05 | Applied Materials, Inc. | Substrate processing system with mechanically floating target assembly |
US9647066B2 (en) | 2012-04-24 | 2017-05-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Dummy FinFET structure and method of making same |
US20130288485A1 (en) | 2012-04-30 | 2013-10-31 | Applied Materials, Inc. | Densification for flowable films |
US20130337171A1 (en) | 2012-06-13 | 2013-12-19 | Qualcomm Mems Technologies, Inc. | N2 purged o-ring for chamber in chamber ald system |
KR101224520B1 (ko) | 2012-06-27 | 2013-01-22 | (주)이노시티 | 프로세스 챔버 |
KR20140003776A (ko) | 2012-06-28 | 2014-01-10 | 주식회사 메카로닉스 | 고 저항 산화아연 박막의 제조방법 |
US20150309073A1 (en) | 2012-07-13 | 2015-10-29 | Northwestern University | Multifunctional graphene coated scanning tips |
JP2014019912A (ja) | 2012-07-19 | 2014-02-03 | Tokyo Electron Ltd | タングステン膜の成膜方法 |
JP5792390B2 (ja) | 2012-07-30 | 2015-10-14 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
US20140034632A1 (en) | 2012-08-01 | 2014-02-06 | Heng Pan | Apparatus and method for selective oxidation at lower temperature using remote plasma source |
US8846448B2 (en) | 2012-08-10 | 2014-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Warpage control in a package-on-package structure |
KR101680152B1 (ko) | 2012-08-24 | 2016-11-28 | 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 | 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법 |
KR102002782B1 (ko) | 2012-09-10 | 2019-07-23 | 삼성전자주식회사 | 팽창성 부재를 사용하는 반도체 장치의 제조 방법 |
JP2014060256A (ja) | 2012-09-18 | 2014-04-03 | Tokyo Electron Ltd | 処理システム |
JP6325229B2 (ja) | 2012-10-17 | 2018-05-16 | 株式会社半導体エネルギー研究所 | 酸化物膜の作製方法 |
US9337318B2 (en) | 2012-10-26 | 2016-05-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFET with dummy gate on non-recessed shallow trench isolation (STI) |
US9157730B2 (en) | 2012-10-26 | 2015-10-13 | Applied Materials, Inc. | PECVD process |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
JP6060460B2 (ja) | 2012-11-22 | 2017-01-18 | アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ | シリカ質膜の形成方法及び同方法で形成されたシリカ質膜 |
TWI689004B (zh) | 2012-11-26 | 2020-03-21 | 美商應用材料股份有限公司 | 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理 |
WO2014085511A2 (en) | 2012-11-27 | 2014-06-05 | The Regents Of The University Of California | Polymerized metal-organic material for printable photonic devices |
US9123577B2 (en) | 2012-12-12 | 2015-09-01 | Sandisk Technologies Inc. | Air gap isolation in non-volatile memory using sacrificial films |
JP2014141739A (ja) | 2012-12-27 | 2014-08-07 | Tokyo Electron Ltd | 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス |
US9559181B2 (en) | 2013-11-26 | 2017-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for FinFET device with buried sige oxide |
US20150357232A1 (en) | 2013-01-22 | 2015-12-10 | Ps4 Luxco S.A.R.L. | Method for manufacturing semiconductor device |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20140216498A1 (en) | 2013-02-06 | 2014-08-07 | Kwangduk Douglas Lee | Methods of dry stripping boron-carbon films |
WO2014130304A1 (en) | 2013-02-19 | 2014-08-28 | Applied Materials, Inc. | Hdd patterning using flowable cvd film |
KR101443792B1 (ko) | 2013-02-20 | 2014-09-26 | 국제엘렉트릭코리아 주식회사 | 건식 기상 식각 장치 |
KR20140104112A (ko) | 2013-02-20 | 2014-08-28 | 주식회사 에스에프에이 | 평면 디스플레이용 화학 기상 증착장치 |
KR20140106977A (ko) | 2013-02-27 | 2014-09-04 | 삼성전자주식회사 | 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법 |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9680095B2 (en) | 2013-03-13 | 2017-06-13 | Macronix International Co., Ltd. | Resistive RAM and fabrication method |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
TWI624897B (zh) | 2013-03-15 | 2018-05-21 | 應用材料股份有限公司 | 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法 |
KR20210014778A (ko) | 2013-03-15 | 2021-02-09 | 어플라이드 머티어리얼스, 인코포레이티드 | 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법 |
US9196768B2 (en) | 2013-03-15 | 2015-11-24 | Jehad A. Abushama | Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate |
US10224258B2 (en) | 2013-03-22 | 2019-03-05 | Applied Materials, Inc. | Method of curing thermoplastics with microwave energy |
US9190321B2 (en) | 2013-04-08 | 2015-11-17 | International Business Machines Corporation | Self-forming embedded diffusion barriers |
US9087903B2 (en) | 2013-04-26 | 2015-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Buffer layer omega gate |
US9414445B2 (en) | 2013-04-26 | 2016-08-09 | Applied Materials, Inc. | Method and apparatus for microwave treatment of dielectric films |
KR101287035B1 (ko) | 2013-05-07 | 2013-07-17 | 호용종합건설주식회사 | 관 갱생 건증기 공급용 보일러 시스템 |
JP6068633B2 (ja) | 2013-05-31 | 2017-01-25 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及び炉口蓋体 |
JP6196481B2 (ja) | 2013-06-24 | 2017-09-13 | 株式会社荏原製作所 | 排ガス処理装置 |
KR101542803B1 (ko) | 2013-07-09 | 2015-08-07 | 주식회사 네오세미텍 | 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법 |
JP6201131B2 (ja) * | 2013-07-25 | 2017-09-27 | 株式会社ユーテック | 膜の製造方法及びマルチチャンバー装置 |
EP2832899A1 (fr) | 2013-08-02 | 2015-02-04 | The Swatch Group Research and Development Ltd. | Revêtement de diamant et procédé de dépôt d'un tel revêtement |
US9178103B2 (en) | 2013-08-09 | 2015-11-03 | Tsmc Solar Ltd. | Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities |
WO2015023404A1 (en) | 2013-08-16 | 2015-02-19 | Applied Materials, Inc. | Tungsten deposition with tungsten hexafluoride (wf6) etchback |
CN109390214B (zh) | 2013-08-21 | 2023-03-07 | 应用材料公司 | 半导体薄膜制造中的变频微波(vfm)工艺及应用 |
JP6226648B2 (ja) | 2013-09-04 | 2017-11-08 | 昭和電工株式会社 | SiCエピタキシャルウェハの製造方法 |
US9224734B2 (en) | 2013-09-13 | 2015-12-29 | Taiwan Semiconductor Manufacturing Company, Ltd. | CMOS devices with reduced leakage and methods of forming the same |
KR20150031889A (ko) | 2013-09-17 | 2015-03-25 | 엘지이노텍 주식회사 | 테양전지 |
TWI720422B (zh) | 2013-09-27 | 2021-03-01 | 美商應用材料股份有限公司 | 實現無縫鈷間隙填充之方法 |
JP6165577B2 (ja) | 2013-09-30 | 2017-07-19 | Hoya株式会社 | マスクブランクの製造方法及び転写用マスクの製造方法 |
US9396986B2 (en) | 2013-10-04 | 2016-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Mechanism of forming a trench structure |
US9583655B2 (en) | 2013-10-08 | 2017-02-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of making photovoltaic device having high quantum efficiency |
JP6129712B2 (ja) | 2013-10-24 | 2017-05-17 | 信越化学工業株式会社 | 過熱水蒸気処理装置 |
JP6254823B2 (ja) | 2013-11-01 | 2017-12-27 | Jx金属株式会社 | ニッケルシリサイドスパッタリングターゲット及びその製造方法 |
KR20150062545A (ko) | 2013-11-29 | 2015-06-08 | 삼성전기주식회사 | 베이크 장치 |
JP6221710B2 (ja) | 2013-12-10 | 2017-11-01 | 住友電気工業株式会社 | 半導体装置の製造方法 |
KR102279659B1 (ko) | 2013-12-22 | 2021-07-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 자외선 리소그래피를 위한 유리 세라믹 및 그의 제조 방법 |
US9406547B2 (en) | 2013-12-24 | 2016-08-02 | Intel Corporation | Techniques for trench isolation using flowable dielectric materials |
CN103745978B (zh) | 2014-01-03 | 2016-08-17 | 京东方科技集团股份有限公司 | 显示装置、阵列基板及其制作方法 |
KR101649356B1 (ko) * | 2014-01-20 | 2016-08-18 | 주식회사 풍산 | 반도체 기판 처리장치 |
US9677172B2 (en) | 2014-01-21 | 2017-06-13 | Applied Materials, Inc. | Methods for forming a cobalt-ruthenium liner layer for interconnect structures |
US9257527B2 (en) | 2014-02-14 | 2016-02-09 | International Business Machines Corporation | Nanowire transistor structures with merged source/drain regions using auxiliary pillars |
US9818603B2 (en) | 2014-03-06 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9496145B2 (en) | 2014-03-19 | 2016-11-15 | Applied Materials, Inc. | Electrochemical plating methods |
WO2015143371A1 (en) | 2014-03-21 | 2015-09-24 | Brookhaven Science Associates, Llc | Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells |
US11183375B2 (en) | 2014-03-31 | 2021-11-23 | Applied Materials, Inc. | Deposition system with multi-cathode and method of manufacture thereof |
KR101571715B1 (ko) | 2014-04-23 | 2015-11-25 | 주식회사 풍산 | 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법 |
US9984915B2 (en) | 2014-05-30 | 2018-05-29 | Infineon Technologies Ag | Semiconductor wafer and method for processing a semiconductor wafer |
KR101561924B1 (ko) | 2014-06-12 | 2015-10-22 | 연세대학교 산학협력단 | 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법 |
CN104047676A (zh) | 2014-06-14 | 2014-09-17 | 马根昌 | 改良式对冲消声器 |
KR20220031134A (ko) | 2014-06-16 | 2022-03-11 | 인텔 코포레이션 | 금속 인터커넥트의 시임 치유 |
CN104089491B (zh) | 2014-07-03 | 2015-11-04 | 肇庆宏旺金属实业有限公司 | 退火炉的余热回收利用系统 |
US9257314B1 (en) | 2014-07-31 | 2016-02-09 | Poongsan Corporation | Methods and apparatuses for deuterium recovery |
US9695503B2 (en) | 2014-08-22 | 2017-07-04 | Applied Materials, Inc. | High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer |
WO2016038664A1 (ja) | 2014-09-08 | 2016-03-17 | 三菱電機株式会社 | 半導体アニール装置 |
US9773865B2 (en) | 2014-09-22 | 2017-09-26 | International Business Machines Corporation | Self-forming spacers using oxidation |
US9484461B2 (en) | 2014-09-29 | 2016-11-01 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit structure with substrate isolation and un-doped channel |
US9362107B2 (en) | 2014-09-30 | 2016-06-07 | Applied Materials, Inc. | Flowable low-k dielectric gapfill treatment |
US9711414B2 (en) | 2014-10-21 | 2017-07-18 | Samsung Electronics Co., Ltd. | Strained stacked nanosheet FETS and/or quantum well stacked nanosheet |
US20160118391A1 (en) | 2014-10-22 | 2016-04-28 | SanDisk Technologies, Inc. | Deuterium anneal of semiconductor channels in a three-dimensional memory structure |
EP3431629B1 (en) | 2014-10-24 | 2021-11-24 | Versum Materials US, LLC | Compositions and methods using same for deposition of silicon-containing films |
US10204764B2 (en) | 2014-10-28 | 2019-02-12 | Applied Materials, Inc. | Methods for forming a metal silicide interconnection nanowire structure |
US9768060B2 (en) | 2014-10-29 | 2017-09-19 | Applied Materials, Inc. | Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD |
US9543141B2 (en) | 2014-12-09 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd | Method for curing flowable layer |
US9780214B2 (en) | 2014-12-22 | 2017-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device including Fin- FET and manufacturing method thereof |
US9777378B2 (en) | 2015-01-07 | 2017-10-03 | Applied Materials, Inc. | Advanced process flow for high quality FCVD films |
US9613859B2 (en) | 2015-01-09 | 2017-04-04 | Applied Materials, Inc. | Direct deposition of nickel silicide nanowire |
TW201639063A (zh) | 2015-01-22 | 2016-11-01 | 應用材料股份有限公司 | 批量加熱和冷卻腔室或負載鎖定裝置 |
WO2016126911A2 (en) | 2015-02-06 | 2016-08-11 | Air Products And Chemicals, Inc. | Compositions and methods using same for carbon doped silicon containing films |
WO2016130956A1 (en) | 2015-02-13 | 2016-08-18 | Alexander Otto | Multifilament superconducting wire with high resistance sleeves |
US9711535B2 (en) | 2015-03-13 | 2017-07-18 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming FinFET channel |
US20160268127A1 (en) | 2015-03-13 | 2016-09-15 | Semiconductor Energy Laboratory Co., Ltd. | Oxide and Manufacturing Method Thereof |
US9590102B2 (en) | 2015-04-15 | 2017-03-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US10103046B2 (en) | 2015-04-20 | 2018-10-16 | Applied Materials, Inc. | Buffer chamber wafer heating mechanism and supporting robot |
US20160314964A1 (en) | 2015-04-21 | 2016-10-27 | Lam Research Corporation | Gap fill using carbon-based films |
US9685303B2 (en) | 2015-05-08 | 2017-06-20 | Varian Semiconductor Equipment Associates, Inc. | Apparatus for heating and processing a substrate |
US10443934B2 (en) | 2015-05-08 | 2019-10-15 | Varian Semiconductor Equipment Associates, Inc. | Substrate handling and heating system |
TWI791199B (zh) | 2015-05-11 | 2023-02-01 | 美商應用材料股份有限公司 | 水平環繞式閘極與鰭式場效電晶體元件的隔離 |
CN106159038B (zh) | 2015-05-15 | 2020-02-11 | 北京铂阳顶荣光伏科技有限公司 | 用于光伏结的硒化铜铟镓上的六方相外延硫化镉 |
KR101681190B1 (ko) | 2015-05-15 | 2016-12-02 | 세메스 주식회사 | 기판 건조 장치 및 방법 |
US10945313B2 (en) | 2015-05-27 | 2021-03-09 | Applied Materials, Inc. | Methods and apparatus for a microwave batch curing process |
US10597779B2 (en) | 2015-06-05 | 2020-03-24 | Applied Materials, Inc. | Susceptor position and rational apparatus and methods of use |
TWI621161B (zh) | 2015-06-05 | 2018-04-11 | 東京威力科創股份有限公司 | 用於內連線的釕金屬特徵部填補 |
US9633839B2 (en) | 2015-06-19 | 2017-04-25 | Applied Materials, Inc. | Methods for depositing dielectric films via physical vapor deposition processes |
US20160379854A1 (en) | 2015-06-29 | 2016-12-29 | Varian Semiconductor Equipment Associates, Inc. | Vacuum Compatible LED Substrate Heater |
US9728430B2 (en) | 2015-06-29 | 2017-08-08 | Varian Semiconductor Equipment Associates, Inc. | Electrostatic chuck with LED heating |
US10170608B2 (en) | 2015-06-30 | 2019-01-01 | International Business Machines Corporation | Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET |
US9646850B2 (en) | 2015-07-06 | 2017-05-09 | Globalfoundries Inc. | High-pressure anneal |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10468238B2 (en) | 2015-08-21 | 2019-11-05 | Applied Materials, Inc. | Methods and apparatus for co-sputtering multiple targets |
US9666606B2 (en) | 2015-08-21 | 2017-05-30 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and electronic device |
US9484406B1 (en) | 2015-09-03 | 2016-11-01 | Applied Materials, Inc. | Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications |
US9530737B1 (en) | 2015-09-28 | 2016-12-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and manufacturing method thereof |
US9716142B2 (en) | 2015-10-12 | 2017-07-25 | International Business Machines Corporation | Stacked nanowires |
US9755047B2 (en) | 2015-10-27 | 2017-09-05 | United Microelectronics Corp. | Semiconductor process and semiconductor device |
US9484255B1 (en) | 2015-11-03 | 2016-11-01 | International Business Machines Corporation | Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
US9502307B1 (en) | 2015-11-20 | 2016-11-22 | International Business Machines Corporation | Forming a semiconductor structure for reduced negative bias temperature instability |
US9633838B2 (en) | 2015-12-28 | 2017-04-25 | L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude | Vapor deposition of silicon-containing films using penta-substituted disilanes |
CN108475695B (zh) | 2016-01-05 | 2021-10-15 | 应用材料公司 | 制造用于半导体应用的环绕式水平栅极器件的纳米线的方法 |
US9805976B2 (en) | 2016-01-08 | 2017-10-31 | Applied Materials, Inc. | Co or Ni and Cu integration for small and large features in integrated circuits |
US9570551B1 (en) | 2016-02-05 | 2017-02-14 | International Business Machines Corporation | Replacement III-V or germanium nanowires by unilateral confined epitaxial growth |
US9679810B1 (en) | 2016-02-11 | 2017-06-13 | Globalfoundries Inc. | Integrated circuit having improved electromigration performance and method of forming same |
JP6240695B2 (ja) | 2016-03-02 | 2017-11-29 | 株式会社日立国際電気 | 基板処理装置、半導体装置の製造方法及びプログラム |
CN115148824A (zh) | 2016-03-11 | 2022-10-04 | 株式会社半导体能源研究所 | 复合体及晶体管 |
US11326253B2 (en) | 2016-04-27 | 2022-05-10 | Applied Materials, Inc. | Atomic layer deposition of protective coatings for semiconductor process chamber components |
US10049927B2 (en) | 2016-06-10 | 2018-08-14 | Applied Materials, Inc. | Seam-healing method upon supra-atmospheric process in diffusion promoting ambient |
TWI729457B (zh) | 2016-06-14 | 2021-06-01 | 美商應用材料股份有限公司 | 金屬及含金屬化合物之氧化體積膨脹 |
US9933314B2 (en) | 2016-06-30 | 2018-04-03 | Varian Semiconductor Equipment Associates, Inc. | Semiconductor workpiece temperature measurement system |
US9876019B1 (en) | 2016-07-13 | 2018-01-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuits with programmable memory and methods for producing the same |
US10020186B2 (en) | 2016-07-29 | 2018-07-10 | Applied Materials, Inc. | Silicon germanium selective oxidation process |
US10115670B2 (en) | 2016-08-17 | 2018-10-30 | International Business Machines Corporation | Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer |
US10858727B2 (en) | 2016-08-19 | 2020-12-08 | Applied Materials, Inc. | High density, low stress amorphous carbon film, and process and equipment for its deposition |
US20180087418A1 (en) | 2016-09-22 | 2018-03-29 | Castrol Limited | Fluid Method and System |
JP2019530242A (ja) | 2016-09-30 | 2019-10-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 自己整合ビアの形成方法 |
US10249525B2 (en) | 2016-10-03 | 2019-04-02 | Applied Materials, Inc. | Dynamic leveling process heater lift |
US9741626B1 (en) | 2016-10-20 | 2017-08-22 | International Business Machines Corporation | Vertical transistor with uniform bottom spacer formed by selective oxidation |
KR102582671B1 (ko) | 2016-12-22 | 2023-09-25 | 삼성전자주식회사 | 반도체 소자 |
US10570506B2 (en) | 2017-01-24 | 2020-02-25 | Applied Materials, Inc. | Method to improve film quality for PVD carbon with reactive gas and bias power |
TWI758398B (zh) | 2017-01-24 | 2022-03-21 | 美商應用材料股份有限公司 | 用於在基板上形成鈷層的方法 |
US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
KR102606653B1 (ko) | 2017-03-31 | 2023-11-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스 |
US11011384B2 (en) | 2017-04-07 | 2021-05-18 | Applied Materials, Inc. | Gapfill using reactive anneal |
JP6913761B2 (ja) | 2017-04-21 | 2021-08-04 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 改善された電極アセンブリ |
CN110574150B (zh) | 2017-05-01 | 2023-09-19 | 应用材料公司 | 具有真空隔离和预处理环境的高压退火腔室 |
JP7175283B2 (ja) | 2017-05-03 | 2022-11-18 | アプライド マテリアルズ インコーポレイテッド | 高温セラミックヒータ上の集積化基板温度測定 |
JP6918146B2 (ja) | 2017-05-19 | 2021-08-11 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置 |
US10847360B2 (en) | 2017-05-25 | 2020-11-24 | Applied Materials, Inc. | High pressure treatment of silicon nitride film |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
JP7190450B2 (ja) | 2017-06-02 | 2022-12-15 | アプライド マテリアルズ インコーポレイテッド | 炭化ホウ素ハードマスクのドライストリッピング |
CN110637353A (zh) | 2017-06-02 | 2019-12-31 | 应用材料公司 | 在衬底上沉积的膜的质量改进 |
US10388533B2 (en) | 2017-06-16 | 2019-08-20 | Applied Materials, Inc. | Process integration method to tune resistivity of nickel silicide |
US10234630B2 (en) | 2017-07-12 | 2019-03-19 | Applied Materials, Inc. | Method for creating a high refractive index wave guide |
US10269571B2 (en) | 2017-07-12 | 2019-04-23 | Applied Materials, Inc. | Methods for fabricating nanowire for semiconductor applications |
US10179941B1 (en) | 2017-07-14 | 2019-01-15 | Applied Materials, Inc. | Gas delivery system for high pressure processing chamber |
CN111095513B (zh) | 2017-08-18 | 2023-10-31 | 应用材料公司 | 高压高温退火腔室 |
US10096516B1 (en) | 2017-08-18 | 2018-10-09 | Applied Materials, Inc. | Method of forming a barrier layer for through via applications |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10643867B2 (en) | 2017-11-03 | 2020-05-05 | Applied Materials, Inc. | Annealing system and method |
CN117936417A (zh) | 2017-11-11 | 2024-04-26 | 微材料有限责任公司 | 用于高压处理腔室的气体输送系统 |
SG11202003438QA (en) | 2017-11-16 | 2020-05-28 | Applied Materials Inc | High pressure steam anneal processing apparatus |
WO2019099255A2 (en) | 2017-11-17 | 2019-05-23 | Applied Materials, Inc. | Condenser system for high pressure processing system |
CN111699549A (zh) | 2018-01-24 | 2020-09-22 | 应用材料公司 | 使用高压退火的接缝弥合 |
CN108195493A (zh) | 2018-01-31 | 2018-06-22 | 中国计量大学 | 一种基于pcf马赫-泽德干涉仪(mzi)的高灵敏度应力传感装置 |
JP7379353B2 (ja) | 2018-02-22 | 2023-11-14 | アプライド マテリアルズ インコーポレイテッド | より優れた膜品質を可能にするためにマスク基板を処理する方法 |
US11114333B2 (en) | 2018-02-22 | 2021-09-07 | Micromaterials, LLC | Method for depositing and reflow of a high quality etch resistant gapfill dielectric film |
WO2019173006A1 (en) | 2018-03-09 | 2019-09-12 | Applied Materials, Inc. | High pressure annealing process for metal containing materials |
US10714331B2 (en) | 2018-04-04 | 2020-07-14 | Applied Materials, Inc. | Method to fabricate thermally stable low K-FinFET spacer |
US10916433B2 (en) | 2018-04-06 | 2021-02-09 | Applied Materials, Inc. | Methods of forming metal silicide layers and metal silicide layers formed therefrom |
WO2019204124A1 (en) | 2018-04-20 | 2019-10-24 | Applied Materials, Inc. | Ceramic wafer heater with integrated pressurized helium cooling |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10566188B2 (en) | 2018-05-17 | 2020-02-18 | Applied Materials, Inc. | Method to improve film stability |
US11499666B2 (en) | 2018-05-25 | 2022-11-15 | Applied Materials, Inc. | Precision dynamic leveling mechanism with long motion capability |
US11434569B2 (en) | 2018-05-25 | 2022-09-06 | Applied Materials, Inc. | Ground path systems for providing a shorter and symmetrical ground path |
US10704141B2 (en) | 2018-06-01 | 2020-07-07 | Applied Materials, Inc. | In-situ CVD and ALD coating of chamber to control metal contamination |
US10790183B2 (en) | 2018-06-05 | 2020-09-29 | Applied Materials, Inc. | Selective oxidation for 3D device isolation |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US20200035513A1 (en) | 2018-07-25 | 2020-01-30 | Applied Materials, Inc. | Processing apparatus |
US10675581B2 (en) | 2018-08-06 | 2020-06-09 | Applied Materials, Inc. | Gas abatement apparatus |
JP7179172B6 (ja) | 2018-10-30 | 2022-12-16 | アプライド マテリアルズ インコーポレイテッド | 半導体用途の構造体をエッチングするための方法 |
US11101174B2 (en) | 2019-10-15 | 2021-08-24 | Applied Materials, Inc. | Gap fill deposition process |
US11728449B2 (en) | 2019-12-03 | 2023-08-15 | Applied Materials, Inc. | Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency |
-
2018
- 2018-11-07 CN CN202311832048.4A patent/CN117936417A/zh active Pending
- 2018-11-07 KR KR1020207016526A patent/KR102396319B1/ko active IP Right Grant
- 2018-11-07 WO PCT/US2018/059643 patent/WO2019094481A1/en active Application Filing
- 2018-11-07 KR KR1020237032887A patent/KR20230144106A/ko not_active Application Discontinuation
- 2018-11-07 CN CN201880072935.7A patent/CN111357090B/zh active Active
- 2018-11-07 SG SG11202003355QA patent/SG11202003355QA/en unknown
- 2018-11-07 US US16/182,612 patent/US10720341B2/en active Active
- 2018-11-07 EP EP18876650.5A patent/EP3707746B1/en active Active
- 2018-11-07 JP JP2020525886A patent/JP7112490B2/ja active Active
- 2018-11-07 EP EP23219233.6A patent/EP4321649A3/en active Pending
- 2018-11-07 CN CN202311843605.2A patent/CN117936420A/zh active Pending
- 2018-11-07 KR KR1020227014985A patent/KR102585074B1/ko active IP Right Grant
- 2018-11-09 TW TW112113014A patent/TWI849834B/zh active
- 2018-11-09 TW TW107139833A patent/TWI800550B/zh active
-
2020
- 2020-07-20 US US16/933,927 patent/US11527421B2/en active Active
-
2022
- 2022-07-22 JP JP2022116801A patent/JP7539435B2/ja active Active
- 2022-11-29 US US18/071,085 patent/US11756803B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6475902B1 (en) * | 2000-03-10 | 2002-11-05 | Applied Materials, Inc. | Chemical vapor deposition of niobium barriers for copper metallization |
US6491978B1 (en) * | 2000-07-10 | 2002-12-10 | Applied Materials, Inc. | Deposition of CVD layers for copper metallization using novel metal organic chemical vapor deposition (MOCVD) precursors |
US6797336B2 (en) * | 2001-03-22 | 2004-09-28 | Ambp Tech Corporation | Multi-component substances and processes for preparation thereof |
US9121515B2 (en) * | 2011-03-18 | 2015-09-01 | Tokyo Electron Limited | Gate valve unit, substrate processing device and substrate processing method thereof |
US20130200518A1 (en) * | 2012-01-26 | 2013-08-08 | Khaled Z. Ahmed | Devices Including Metal-Silicon Contacts Using Indium Arsenide Films and Apparatus and Methods |
Also Published As
Publication number | Publication date |
---|---|
JP2022165996A (ja) | 2022-11-01 |
KR20230144106A (ko) | 2023-10-13 |
CN111357090A (zh) | 2020-06-30 |
US11756803B2 (en) | 2023-09-12 |
SG11202003355QA (en) | 2020-05-28 |
EP4321649A2 (en) | 2024-02-14 |
US20230093374A1 (en) | 2023-03-23 |
TW201931496A (zh) | 2019-08-01 |
JP7539435B2 (ja) | 2024-08-23 |
EP4321649A3 (en) | 2024-05-15 |
CN117936417A (zh) | 2024-04-26 |
TWI800550B (zh) | 2023-05-01 |
US11527421B2 (en) | 2022-12-13 |
WO2019094481A1 (en) | 2019-05-16 |
US10720341B2 (en) | 2020-07-21 |
KR20220065077A (ko) | 2022-05-19 |
KR102396319B1 (ko) | 2022-05-09 |
CN117936420A (zh) | 2024-04-26 |
EP3707746B1 (en) | 2023-12-27 |
JP7112490B2 (ja) | 2022-08-03 |
CN111357090B (zh) | 2024-01-05 |
JP2021502704A (ja) | 2021-01-28 |
EP3707746A4 (en) | 2021-08-18 |
TW202333273A (zh) | 2023-08-16 |
US20190148178A1 (en) | 2019-05-16 |
US20200350183A1 (en) | 2020-11-05 |
EP3707746A1 (en) | 2020-09-16 |
KR20200088381A (ko) | 2020-07-22 |
KR102585074B1 (ko) | 2023-10-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI849834B (zh) | 用於高壓處理腔室的氣體分配系統 | |
TWI724308B (zh) | 用於高壓處理腔室的氣體輸送系統 | |
EP3593378B1 (en) | High pressure wafer processing systems and related methods |