JP5249040B2 - 電極およびその形成方法 - Google Patents

電極およびその形成方法 Download PDF

Info

Publication number
JP5249040B2
JP5249040B2 JP2008541120A JP2008541120A JP5249040B2 JP 5249040 B2 JP5249040 B2 JP 5249040B2 JP 2008541120 A JP2008541120 A JP 2008541120A JP 2008541120 A JP2008541120 A JP 2008541120A JP 5249040 B2 JP5249040 B2 JP 5249040B2
Authority
JP
Japan
Prior art keywords
layer
electrode
conductive material
substrate
main electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008541120A
Other languages
English (en)
Other versions
JP2009516081A (ja
Inventor
フリーデンベルグ,ミカエル
メーラー,パトリク
ウィウェン−ニールソン,ピーター
アロンソン,セシリア
ダイネーゼ,マテオ
Original Assignee
レプリソールス グループ エスアーエス
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レプリソールス グループ エスアーエス filed Critical レプリソールス グループ エスアーエス
Publication of JP2009516081A publication Critical patent/JP2009516081A/ja
Application granted granted Critical
Publication of JP5249040B2 publication Critical patent/JP5249040B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0075Manufacture of substrate-free structures
    • B81C99/0085Manufacture of substrate-free structures using moulds and master templates, e.g. for hot-embossing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/0033D structures, e.g. superposed patterned layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/10Moulds; Masks; Masterforms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • C25D7/126Semiconductors first coated with a seed layer or a conductive layer for solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • C25F3/14Etching locally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/07Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process being removed electrolytically
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4647Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits by applying an insulating layer around previously made via studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0104Tools for processing; Objects used during processing for patterning or coating
    • H05K2203/0117Pattern shaped electrode used for patterning, e.g. plating or etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/0733Method for plating stud vias, i.e. massive vias formed by plating the bottom of a hole without plating on the walls
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/108Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by semi-additive methods; masks therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Micromachines (AREA)
  • Electroluminescent Light Sources (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Description

本発明は、主電極およびその形成方法に関する。この主電極はエッチングまたはめっき方法に有用であり、このことは2005年11月28日に提出された同時係属スイス特許出願0502538−2号「多層構造の形成方法」に記載されている。なお、この特許明細書の内容は参照によって本明細書に編入される。また、この主電極は同時係属スイス特許出願0502539−2号「電極およびその形成方法」に記載されているものと類似しており、この特許明細書の内容は参照によって本明細書に編入される。この主電極はマイクロおよびナノスケールの単層または多層構造に係るアプリケーションの製造を可能とする。この主電極は、プリント配線基板(printed wiring boards:PWB)、プリント基板(printed circuit boards:PCB)、マイクロ電子機械システム(micro electro mechanical systems:MEMS)、集積回路(integrated circuit:IC)相互接続、上記IC相互接続、センサ、フラットパネルディスプレイ、磁気および光学式記憶装置、太陽電池、およびその他の電子デバイスの製造に有用である。この主電極によれば、異なる導電性ポリマー構造、半導体構造、金属構造等の製造が可能となる。
特許文献1はマイクロおよびナノ構造に係るアプリケーションの製造のための電気化学パターン複製方法、ECPR(electrochemical pattern replication)、および導電性主電極の形成に関する技術を開示している。それによると、主電極により規定されるエッチングパターンまたはめっきパターンが、導電性材料からなる基板上に複製される。主電極は基板に密着しており、エッチング/めっきパターンはコンタクトエッチング/めっき処理により直接基板上に転写される。コンタクトエッチング/めっき処理は、主電極と基板の間の閉じたもしくは開いた空洞内に形成された局所電気化学セルにおいて実施される。
主電極は、構造が形成される基板と共に、エッチングまたはめっき処理により少なくとも1つの、通常複数の、電気化学セルを形成するために使用される。主電極は、複数回のエッチングまたはめっき処理での使用に耐え得るように、耐久性材料から構成されていると良い。
国際公開特許第02/103085号公報
エッチング速度またはめっき速度は、他の領域に比べシード層の接触領域に近い、例えば周辺部の電気化学セルにおいてより高くなることがある。
この問題は、導電性のシード層を備えた基板にエッチングおよび/またはめっき処理を施す際に発生する。例えば、シード層が非常に薄く、シード層全域で大きな電位差が生じた場合、電気化学セルの電流密度は基板の全表面にわたって相違することになり、エッチング深度またはめっき厚に差が生じることになる。電流密度は指数関数的にセル電圧に依存することからこの問題は深刻なものとなる。
本発明の目的は、上記問題の少なくとも一部を解決または軽減できる電極を提供することにある。
本発明のある様態によれば、システムは基板上に配置された主電極を有し、主電極は、少なくとも部分的に絶縁材料からなり、導電性材料が配置された複数の空洞を備える第1の面を有するパターン層を備え、電極導電性材料は少なくとも1つの電極電源コンタクトに電気的に接続し、基板は第1の面に接触または隣接する上面を有し、導電性材料および/または導電性材料からなる構造を備え、基板導電性材料は少なくとも1つの電源コンタクトに電気的に接続する。複数の電解質を含む電気化学セルが空洞、基板導電性材料および電極導電性材料によって区切られて形成され、電極導電性材料の特定導電性が平均して基板導電性材料の特定導電性の0.1〜100倍となるようにしつつ、電極導電性材料と電極電源コンタクトが互いに接触する部位と電極導電性材料の第1の面上の点との間の電極抵抗および基板導電性材料と基板電源コンタクトが互いに接触する部位と基板導電性材料の上面上の点との間の基板抵抗は、各電気化学セルにおいて所定の電流密度が得られるように適合化されている。
ある実施の形態によれば、前記電極抵抗と前記基板抵抗は、それぞれ、材料の抵抗率で割った材料の厚さとして定義される特定導電性を有する少なくとも1つの導電性材料によって形成されても良い。特定導電性は主電極の表面にわたって変化するように設定されても良い。特定導電性は材料の厚さを変更することにより異なるように設定されても良い。また、特定導電性は材料の抵抗率を変更することにより異なるように設定されても良い。材料は、前記抵抗率を得るために可変ドーピングを有するドープ半導体材料であっても良い。
ある実施の形態によれば、電極導電性材料は、前記第1の面とほぼ同等の面積を持つディスクを備えていても良い。ディスクは導電性材料および/または半導体材料からなっていても良い。電極導電性材料は、各空洞の底部に、空洞導電性材料を含んでいても良い。空洞導電性材料は、前記空洞の底部に堆積され、不活性物質であっても良い。空洞導電性材料は、前記空洞内に事前に堆積され、めっき処理中に少なくとも部分的に消費される材料であっても良い。空洞導電性材料は前記ディスクに電気的に接続していても良い。
ある実施の形態によれば、は略一定の厚さを有していても良い。は、異なる特定導電性を有する複数の部材を備え、前記部材は相互に重なりあっていても良い。
ある実施の形態によれば、電極電源コンタクトは前記の中央に配置されていて
も良い。電極電源コンタクトは複数の個別コンタクトからなっていても良い。個別コンタ
クトは、の中心からの半径に、少なくとも1つのリングコンタクトまたはリング
断片コンタクトを有していても良い。各個別コンタクトは、めっき処理またはエッチング
の間、特定の電位を供給されても良い。
ある実施の形態によれば、は略円形であっても良い。少なくとも1つの前記デ
ィスク部材の厚さは、中心からの距離によって変化しても良い。
ある実施の形態によれば、基板最上面の少なくとも一部に形成されたシード層によって、少なくとも部分的に基板抵抗を得ても良い。基板電コンタクトは、前記基板上のシード層の周辺部の少なくとも一部に設けられても良い。基板電コンタクトは、前記基板上のシード層の周辺部に沿って設けられても良い。基板電コンタクトは複数の個別コンタクトからなっていても良い。各個別コンタクトは、めっき処理またはエッチングの間、特定の電位を供給されても良い。主電極は、前記シード層に電流を供給するための、前記シード層に接触する少なくとも1つの接触領域を備えていても良い。
ある実施の形態によれば、パターン層は、めっき処理またはエッチングの間基板導電性材料に接触するための導電性材料からなる少なくとも1つの領域を前記第1の面の空洞間に備え、前記領域の前記基板導電性材料の特定導電性を高めても良い。
ある実施の形態によれば、前記電極導電性材料の表面の電位差および/または前記基板導電性材料の表面の電位差が大きい場合、前記電気化学セルにおける前記表面間の電流密度差が1%を超過、例えば2%を超過するように、適合化を実施しても良い。適合化により、電極導電性材料の特定導電性は、平均して基板導電性材料の特定導電性の0.1〜100倍、例えば0.5〜20倍、例えば1〜10倍、例えば約1〜7倍になって良い。各空洞は、各空洞に特有の厚みを有する材料を備えていても良い。
別の実施の様態によれば、基板上に配置される主電極において、前記主電極は、少なくとも部分的に絶縁材料からなり、導電性材料が堆積された複数の空洞を備える第1の面を有するパターン層を備え、前記電極導電性材料は少なくとも1つの電極電源コンタクトに電気的に接続し、複数の電気化学セルが前記空洞、前記電極導電性材料および基板によって区切られて形成され、前記電極導電性材料と前記電極電源コンタクトが互いに接触する部位と前記電極導電性材料の前記第1の面上の点との間の電極抵抗は、形成される各電気化学セルに所定の電流を供給するために、対象となる基板導電性材料に対して適合されることを特徴とする。
ある実施の形態によれば、前記電極抵抗と前記基板抵抗は、それぞれ、材料の抵抗率で割った材料の厚さとして定義される特定導電性を有する少なくとも1つの導電性材料によって形成されても良い。特定導電性は主電極の表面にわたって変化するように設定されても良い。特定導電性は材料の厚さを変更することにより異なるように設定されても良い。また、特定導電性は材料の抵抗率を変更することにより異なるように設定されても良い。材料は、前記異なる抵抗率を得るために可変ドーピングを有するドープ半導体材料であっても良い。
ある実施の形態によれば、電極導電性材料は、前記第1の面とほぼ同等の面積を持つディスクを備えていても良い。ディスクは導電性材料および/または半導体材料からなっていても良い。電極導電性材料は、各空洞の底部に、空洞導電性材料を含んでいても良い。空洞導電性材料は、前記空洞の底部に堆積され、不活性物質であっても良い。空洞導電性材料は、前記空洞内に事前に堆積され、めっき処理中に少なくとも部分的に消費される材料であっても良い。空洞導電性材料は前記ディスクに電気的に接続していても良い。
ある実施の形態によれば、ディスクは略一定の厚さを有していても良い。ディスクは、異なる特定導電性を有する複数のディスク部材を備え、前記ディスク部材は相互に重なりあっていても良い。電極電源コンタクトは前記ディスクの中央に配置されていても良い。電極電源コンタクトは複数の個別コンタクトからなっていても良い。個別コンタクトは、ディスクの中心からの半径に、少なくとも1つのリングコンタクトまたはリング断片コンタクトを有していても良い。個別コンタクトは、めっき処理またはエッチングの間、特定の電位を供給されても良い。
ある実施の形態によれば、ディスクは略円形であっても良い。前記ディスク部材の少なくとも1つの厚さは、ディスク中心からの距離によって変化しても良い。各空洞は、各空洞に特有の厚みを有する材料を備えていても良い。
別の実施の様態によれば、絶縁材料からなるパターン層を有する主電極の空洞に材料を堆積する方法は、電源への外部接続のための接触部を有する導電電極層からなる底部を持つ前記空洞を形成する工程と、接触部材を支持部上に配置する工程と、接触部材と前記導電電極層の間に少なくとも2つの接触部で電気的接続を確立するために前記主電極を接触部材上に配置する工程と、前記空洞に堆積される材料からなる電気めっき陽極を前記主電極上に配置することにより、前記空洞、前記導電電極層および前記電気めっき陽極によって区切られた電解質を含む電気化学セルを形成する工程と、前記電気化学セルに電流を流して材料を前記陽極から陰極である前記導電電極層に運び前記材料を空洞内の前記導電電極層上に堆積するために、電源を前記接触部材および前記電気めっき陽極に接続する工程とを有する。
さらに別の実施の様態によれば、主電極を用いて基板をエッチングまたはめっきする方法において、前記主電極は、少なくとも部分的に絶縁材料からなり、導電性材料が堆積された複数の空洞を備える第1の面を有するパターン層を備え、前記電極導電性材料は少なくとも1つの電極電源コンタクトに電気的に接続する。方法は、前記主電極を支持部上に配置する工程と、電解質を空洞に供給する工程と、基板を前記主電極上に配置する工程とを有し、前記基板は導電性材料および/または導電性材料からなる構造を備えた上面を有し、前記基板導電性材料は少なくとも1つの電源コンタクトに電気的に接続する。電解質を含む電気化学セルが前記空洞、前記基板導電電極層および前記電極導電性材料によって区切られて形成され、前記電気化学セルに電流を流して材料を前記主電極と前記基板の間に運ぶために、電源を前記電極電源コンタクトおよび前記基板電源コンタクトに接続する。方法は、主電極を選択する工程をさらに有し、主電極の特定の抵抗率は基板導電性材料に適合される。
本発明のさらなる目的、特徴、利点は、以下の実施例の詳細な説明および添付図面を参照することにより明らかになるであろう。
以下、発明の実施の形態を図面を参照して説明する。これらの実施形態は当業者が本発明を実施できるように、かつ最良の実施の形態を例示するために説明されるが、本発明を限定するものではなく、本発明の範囲内でこの他にも種々な異なる特性の組み合わせが可能である。
導電性のシード層を備えた基板にエッチングおよび/またはめっき処理を施す場合、シード層全域にわたって大きな電位差が生じる。シード層が薄く、エッチング/めっき電流が高い時に特にその傾向は強くなる。シード層表面の電位差により電気化学セルに約1%を超える電位差が生じると、エッチング/めっき処理により形成される構造の高さに相違が認められるほど電気化学セルの電流密度が変動する。電気化学セルの電流密度は指数関数的にセル電圧に依存することからこの問題は深刻なものとなる。セル電圧の1%の変動が構造の高さに大きな相違をもたらす。
この問題は、基板上のシード層が薄い時により顕著である。この明細書においては、導電層の抵抗率(p)で割った導電層の厚さ(h)を特定導電性とする。厚さ1μm未満の銅層に対してこの問題が生じる可能性がある。このような薄い層は約58Ω-1の特定導電性を有する。
特定導電性が例えば100Ω-1未満、20Ω-1未満等、約1000Ω-1未満の時、この現象が観測されている。
電流密度約0.1A/dmから100A/dmの間でこうした観測結果が得られている。
コンタクト形状の相違によっても電位差の問題は異なる。基板において、シード層は大抵基板の周辺部にのみ接触しているが、例えばビアのような基板の別の部分に接触することができた場合、電位差の発生を抑制もしくは防止することが可能である。
基板の導電構造が特定導電性を高め、この問題を軽減することもある。
以下、この問題を軽減するための実施の形態を説明する。電気化学セルを形成するための主電極は、電気化学セルにおける電位差をある程度再現するように設計される。
これは、主電極の異なる手段により実現される。
主電極の特定導電性は基板の導電層の特定導電性に適合させることができる。このため、主電極の特定導電性は基板の導電層の特定導電性の、例えば約0.5〜20倍、約1〜10倍等、約0.1〜100倍であることが望ましい。
基板の薄いシード層がその周辺部において接触し、主電極が中心に接触している極形状において、主電極の特定導電性は基板の導電層の特定導電性の、例えば約3〜8倍、約5〜7倍等、約1〜10倍であることが望ましい。
基板の薄いシード層がその1辺において接触し、主電極が別の辺に接触している線形状において、主電極の特定導電性は基板の導電層の特定導電性の、例えば約0.5〜5倍、約0.8〜1.2倍等、約0.2〜10倍であることが望ましい。
主電極の特定導電性は表面全体にわたって異なっても良く、導電性材料の厚さまたは材料の抵抗率を変更することにより異なる特定導電性が得られることとしても良い。例えば、シリコン等の異なる割合で表面上にドープされる半導体材料を用いて抵抗率を変更することもできる。
主電極が中心で接触している略中心または極性レイアウトにおいて、特定導電性は中心において高く、周辺部に向かって低くなっても良い。
別の方法としては、主電極表面に複数の接触部を設け、異なる電位の電圧を接触部に印加して基板の電流密度分布を再現しても問題を解決することができる。これにより、基板表面において、電気化学セルの電位差の変動が減少する。
複数の接触部の使用と合わせて主電極の特定導電性を変更することにより、各セルにおける電流密度を制御することができる。
ある実施の形態においては、基板の全表面にわたって電流密度が異なることが望ましい。この場合、複数の接触部の使用と合わせて主電極導電性材料の特定導電性を変更するまたは適合することにより所望の電流分布を得ることができる。
主電極は予期される基板状態に適合している。しかし、事前に堆積された陽極材を空洞内にめっきしている間に、陽極プレート、陽極ディスクまたは陽極ボール等の電気めっき陽極からの電気化学堆積により事前に堆積する可能性がある。電極導電性材料の導電性が低い場合、特に陽極が厚く高い導電性を有する時には、主電極の中央接触部から離れた空洞において事前堆積量が減少してしまう。この現象を防ぐために、主電極に複数の接触部または広い接触可能領域を備え、事前堆積速度差を小さくしても良い。このように、特定接触部をめっき処理における事前堆積またはその他の接触部として用いても良い。
各電気化学セルにおける電流密度は陽極と陰極の間の距離に依存している。電気化学セルに用いられる電解質の導電性が低い、または限界電流に近い等用いられる電流密度が高い時に場合にその傾向は強くなる。これにより、電気化学セル間の電流密度分布を各セルの材料の厚さにより制御できる。
上述した原則は上記主電極において具体化できる。主電極および主電極の形成方法についての概略を以下に述べる。後述のECPR(electrochemical pattern replication)により、1または複数の材料からなる1または複数層の構造を製造するために使用される主電極の形成方法をいくつか説明する。この方法は概して、少なくとも部分的に導電性/半導体であるキャリアからなる主電極を形成する工程と、ECPRめっき処理において陽極として機能し、ECPRエッチングにおいて陰極として機能する導電電極層を形成する工程と、外部電源からキャリアおよび/または導電電極層への電気的接続が可能となるように、ECPR処理においてECPRエッチングまたはECPRめっき処理を行う空洞を規定する絶縁パターン層を形成する工程を含む。
主電極は電気化学セルを形成するための少なくとも1つの空洞を備える。単一の場合もあるが、通常、多数のセルが形成される。したがって、主電極の表面は、例えば1〜5%のセル密度を有する、つまり空洞は主電極全表面の1〜5%を占めると見なしても良い。主電極は、ミリメータの十分のいくつかまたは何千ミリメータまで数百ミリメータの横寸法を有していても良く、空洞はマイクロメータまたはナノメータ単位の寸法であっても良い。
主電極は、ECPR(electrochemical pattern replication)により、1または複数層の構造を形成するために使用され、ECPRは以下の3工程、すなわち
a)複数の電気化学セルを形成するために、主電極を基板、例えばシード層に接触させて配置する工程と、
b)エッチングによりシード層に構造を形成する、またはめっきによりシード層上に構造を形成する工程と、
c)前記基板から主電極を分離する工程と、を有する。
第1の工程a)において、導電電極層および絶縁パターン層から構成される主電極を電解質の存在下で基板上の導電性の最上層またはシード層に物理的に密着させて配置する。導電電極層は少なくとも1つの不活性材料、通常白金または金等、からなり、銅またはニッケル等の陽極材が事前に堆積されている。これにより、電解質が充填された電気化学セルが形成される。セルは主電極の絶縁構造の空洞、主電極の導電電極層または事前に堆積された陽極材および基板の導電性の最上層によって規定される。
シード層は、Ru、Os、Hf、Re、Rh、Cr、Au、Ag、Cu、Pd、Pt、Sn、Ta、Ti、Ni、Al、それらの合金、Si、W、TiN、TiW、NiB、NiP、NiCo、NiBW、NiM−P、W、TaN、Wo、Co、CoReP、CoP、CoWP、CoWB、CoWBP等のその他の材料、ポリアニリン等の導電性ポリマー、SnPb、SnAg、SnAgCu、SnCu等のはんだ材料、モネル、パーマロイ等の合金、および/またはそれらの組み合わせのいずれかからなる1または複数の層で構成されていても良い。基板上のシード層はECPR処理の前に洗浄されて活性化されても良い。洗浄には、アセトンまたはアルコール等の有機溶剤、および/または硝酸、硫酸、リン酸、塩酸、酢酸、フッ化水素酸、過酸化物、過硫酸塩、塩化第二鉄等の強酸化剤等の無機溶剤、および/または脱イオン水を用いても良い。また、洗浄は酸素プラズマ、アルゴンプラズマおよび/または水素プラズマを用いて行ってもよく、機械的に不純物を除去しても良い。シード層は、酸化物を除去する溶剤、例えば硫酸、硝酸、塩酸、フッ化水素酸、リン酸および過硫酸ナトリウム、過硫酸アンモニウム、過酸化水素、塩化第二鉄等のエッチング液および/または酸化剤を含むその他の溶剤を用いて活性化することができる。
主電極を基板上の最上層に密着させて配置する工程は、主電極の絶縁パターンを基板上のパターン層に位置合わせする工程を含む。この工程おいては、主電極の前側または裏側に基板上の対応する位置合わせマークに位置合わせするよう配列された位置合わせマークを用いても良い。位置合わせ工程は、電解質を用いる前または後に実施されても良い。主電極を基板上に接触させて配置する工程に先立って、事前に堆積された陽極材を絶縁パターン層の空洞内の前記導電電極層に備えても良い。主電極を基板上に接触させて配置する工程に先立って、主電極の空洞内の事前に堆積された材料を、上述の基板上のシード層と同様に、洗浄し活性化しても良い。
前記電解質は、従来の電気めっき浴等の電気化学めっきおよび/またはエッチングに適切な陽イオンおよび陰イオン溶液からなる。例えば、ECPRエッチングまたはECPRめっき処理された構造が銅からなる場合、酸性硫酸銅浴等の硫酸銅浴を用いても良い。酸性度は、例えばpH=2からpH=4程度で、pH<4で良い。抑制剤、レベラおよび/または促進剤等の添加剤、例えばポリエチレングリコール(polyethylene glycol:PEG)、塩化物イオンおよび/またはSPS(BiS(3-sulfopropyl)disulfide)を用いる実施の形態もある。その他の例としては、ECPRエッチングまたはECPRめっき処理された構造がNiからなる場合、ワット浴を用いても良い。材料の異なるECPRエッチングまたはECPRめっき処理された構造の適切な電解質系については、Lawrence J. Durney, et al., Electroplating Engineering Handbook, 4th ed., (1984)に記載されている。
第2の工程b)において、外部電源からの電圧を主電極および基板上のシード層に印加することにより主電極の空洞および基板上の最上層によって規定される各電気化学セル内で同時に電気化学処理を実施し、ECPRエッチングまたはECPRめっき処理を用いて導電性材料からなる構造を形成する。
基板上のシード層が陽極をなし、主電極の導電電極層が陰極を成すように電圧が印加された場合、シード層が溶解するとともに主電極の空洞内に材料が堆積される。シード層の溶解により形成された溝が、シード層の残留構造を別離する。残留シード層からなる構造は、主電極の絶縁パターン層の空洞のネガとなる。以下、この構造を「ECPRエッチング構造」と呼ぶ。
主電極の導電電極層が陽極をなし、基板上のシード層が陰極を成すように電圧が印加された場合、主電極の空洞内に事前に堆積された陽極材が溶解するとともに基板上の電解質が充填された空洞内の導電層に材料が堆積される。基板上の導電層に堆積された材料は主電極の絶縁パターン層の空洞のポジとなる構造を形成する。以下、この構造を「ECPRめっき構造」と呼ぶ。
前記ECPRエッチング構造またはECPRめっき構造は、金属または合金等の導電性材料、例えばAu、Ag、Ni、Cu、Sn、Pbおよび/またはSnAg、SnAgCu、AgCuおよび/またはそれらの組み合わせ、例えばCuで構成されても良い。
前記陽極材を、陽極となる材料をECPRエッチングすることにより主電極の空洞内に事前堆積し、主電極の絶縁パターン層の空洞内の陰極となる導電電極に前記材料を堆積する実施の形態もある。また、主電極の絶縁パターン層の空洞内の導電電極層上に、前記材料を選択的に通常の電気めっき、無電解めっき、浸漬めっき、CVD、MOCVD、(帯電)パウダーコーティング、化学グラフトおよび/または電子グラフトすることにより、前記陽極材を事前に堆積する実施の形態もある。
電圧は、エッチングおよび/またはめっきされた構造の均一性および/または特性を向上するように印加しても良い。印加される電圧は、直流電圧、パルス状電圧、矩形パルス状電圧、反転パルス電圧および/またはそれらの組み合わせであって良い。
エッチングおよび/またはめっきされた構造の均一性は、印加される電圧波形、振幅および周波数の最適な組み合わせを選択することによって向上できる。エッチング深度またはめっき厚は、時間および主電極を流れる電流をモニタリングすることにより制御できる。総電極領域が分かっている場合には、電流密度は電極領域を流れる電流から予測することができる。電流密度はエッチング速度またはめっき速度に対応するため、エッチング深度またはめっき厚はエッチング速度またはめっき速度および時間から予測することができる。
下層の溶解している陽極材の表面に到達する前に印加電圧を切断することにより、エッチングまたはめっき処理を中止する実施の形態もある。すなわち、エッチングにおいては、シード層にエッチングされた溝の底部にまだ層が残留し、下の基板層を被覆している状態で処理を中止する。このように処理を中止しない場合、シード層の一部への電気的接続が破壊される恐れがある。めっき処理においては、事前に堆積された陽極材からなる層がまだ、例えば5%から50%残留し、導電電極層を被覆している状態で処理を中止する。このように処理を中止しない場合、各電気化学セルにおいて電流分布が不均等になってしまう。
めっき構造の所望の高さを事前に堆積された陽極材の厚さより大幅に小さくする実施の形態もある。これは、陽極材を新たに堆積する前に、複数の構造層が1または複数の基板上にめっきされることを示唆する。例えば、事前に堆積された材料の高さは少なくともめっき構造の高さの2倍であっても良い。
ECPRめっき構造の複数の層が、各々の上に直接形成される実施の形態もある。
第3の工程c)において、ECPRエッチング構造またはECPRめっき構造が形成された後、基板上の主電極またはECPRエッチング構造もしくはECPRめっき構造への損傷を最小限に抑えるように、主電極を基板から分離する。この工程は、基板を所定の位置に固定し、主電極を基板表面に対して直交する方向に移動させることによって、または主電極を所定の位置に保持し、基板を主電極表面に対して直交する方向に移動させることによって実施できる。別の実施の形態によれば、分離を容易にするために平行度の低い方法で主電極を基板から分離しても良い。ECPRエッチングまたはECPRめっき処理後、主電極の空洞内に堆積された残留材料を、残留材料を溶解するのに適したウェットエッチング溶剤を用いて除去しても良い。また、例えばイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチングと共に異方性エッチングを用いても良い。ドライエッチングとウェットエッチングの組み合わせによる除去方法を適用する実施の形態もある。また、通常のめっき処理および/またはECPRめっき処理を陰極および/またはダミー基板にそれぞれ施して、残留材料を除去する実施の形態もある。これは、別のECPRエッチング工程における主電極の使用に先立って、またはECPRめっき工程で使用される主電極の空洞内への新たな材料の事前堆積に先立って実施される。または、めっき処理の間、1工程につき事前堆積された材料の一部分のみ用いて、工程数分、他の部分を次の工程に用いるようにしても良い。または、エッチングの間、陰極に堆積された材料、すなわち主電極は、各工程の間に除去しなくても良く、各第2および第3工程の間等に除去しても良い。
概して、3つの実施の形態による主電極の形成方法は以下の工程を含む。
1.絶縁または導電性/半導体キャリアを形成する工程
2.前記キャリアの少なくとも一部に導電電極層を形成する工程
3.前記導電電極層の少なくとも一部に絶縁パターン層を形成する工程
または
1.絶縁または導電性/半導体キャリアを形成する工程
2.前記キャリアの少なくとも一部に絶縁パターン層を形成する工程
3.前記キャリアの、前記絶縁パターン層に被覆されていない選択された領域に、導電電極層を形成する工程
または
1.導電性/半導体キャリアを用意し、パターニングする工程
2.前記パターニングされたキャリアの少なくとも一部に絶縁パターン層を形成する工程
3.前記パターニングされたキャリアの、前記絶縁パターン層に被覆されていない選択された領域に、導電電極層を形成する工程
ECPRエッチング、ECPRめっき処理、事前堆積、洗浄および/または除去処理の間、化学環境および/または電気化学環境に暴露された主電極の一部に用いられた材料は、通常、前記化学環境および/または電気化学環境下で溶解および酸化に耐性を有する。
ある実施の形態によれば、前記導電電極層を前記キャリア上に形成し、前記絶縁パターン層を導電電極層上に形成する。
別の実施の形態によれば、前記絶縁パターン層を前記キャリア上に形成し、前記導電電極層を絶縁パターン層の空洞内のキャリアの少なくとも一部上に形成する。
さらに別の実施の形態によれば、前記キャリアに凹みを形成し、前記絶縁パターン層をキャリアの凹みのない領域に形成する一方、前記導電電極層を前記絶縁パターン層に覆われていない凹みの底部および壁に形成する。
前記キャリアは、少なくとも1つの導電性/半導体材料からなる1または複数の層、または少なくとも1つの導電性/半導体材料からなる1または複数の層および少なくとも1つの絶縁材料からなる層からなっていても良い。
前記キャリアの層は、可撓性および/または剛性および/または可撓性層と剛性層の組み合わせであって良い。主電極を基板に接触させるために力を加えた時に、前記絶縁パターン層の空洞内に落ち込まないように前記キャリアに十分な剛性を備えた実施の形態もある。これにより、ECPRエッチングおよび/またはECPRめっき処理中のキャリアと基板の短絡接触を防ぐ。例えば、必要な圧力をかけた時のキャリアの屈曲幅は、空洞の高さの50%未満であると良く、例えば25%未満、10%未満、約1%未満であると良い。また、ECPRエッチングおよび/またはECPRめっき処理中に、主電極を基板に接触させるために加えた力による基板のゆがみまたは凸凹を補償するため、前記キャリアに十分な可撓性を備えた実施の形態もある。場合によっては、キャリアは少なくとも基板と同程度の可撓性を有する。例えば、基板がガラス、石英またはシリコンウェハであった場合、主電極のキャリアはガラス、石英またはシリコンウェハと同等もしくはそれ以上の可撓性を有する。
導電性/半導体材料は、導電性高分子、導電性ペースト、金属、Fe、Cu、Au、Ag、Pt、Si、SiC、Sn、Pd、Pt、Co、Ti、Ni、Cr、Al、インジウムスズ酸化物(indium tin oxide:ITO)、SiGe、GaAs、InP、Ru、Ir、Re、Hf、Os、Rh、合金、リン合金、SnAg、PdAg、SnAgCu、NiP、AuCu、シリサイド、ステンレス鋼、真鍮、導電性高分子、はんだ材料、および/またはそれらの組み合わせからなっていても良い。絶縁層は、SiO等の酸化物、Al、TiO、石英、ガラス、SiN等の窒化物、ポリマ、ポリイミド、ポリウレタン、エポキシポリマ、アクリレート重合体、PDMS、(天然)ゴム、シリコーン、ラッカ、エラストマ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、および/またはその他の上記絶縁パターン層に用いられる材料からなっていても良い。
ある実施の形態によれば、キャリアは、少なくとも部分的に絶縁材コーティングに被覆された導電性/半導体ディスクからなる。絶縁材コーティングは、前側および裏側の中心部を除く導電性/半導体ディスクの全部分を覆うよう形成されても良い。絶縁材コーティングは、熱酸化、プラズマ化学気相成長法(plasma-enhanced chemical vapor deposition:PECVD)、物理気相成長法(physical vapor deposition:PVD)、化学気相成長法(chemical vapor deposition:CVD)、火炎加水分解蒸着(flame hydrolysis deposition:FHD)、電子陽極酸化処理、原子層成長法(atomic layer deposition:ALD)、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、粘着テープによるによる接着、熱分解、その他の適切なコーティング技術による接着、および/またはそれらの組み合わせ等の方法により形成できる。絶縁材コーティングは、前記導電性/半導体ディスクの対象部分に選択的に成膜しても良いし、導電性/半導体ディスクの全部分に成膜し、その後選択された領域から除去しても良い。例えば、絶縁材コーティングの除去は、残したい部分を保護するためにエッチングマスクを用いてエッチングにより行っても良いし、および/または機械的除去方法により行っても良い。
エッチングとしては、ドライエッチングおよび/またはウェットエッチングを用いることができる。ウェットエッチングでは、エッチング対象の材料を溶解する溶剤を使用する。前記溶剤は強酸等の強酸化剤を含むことが多い。例えば、SiOをエッチングする場合、希釈または濃縮緩衝フッ化水素酸を用いることができる。ドライエッチングはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等を含んでいても良い。エッチングマスクのパターンは、フォトリソグラフィ、レーザーリソグラフィ、電子ビームリソグラフィ、ナノインプリンティング、および/またはエッチングマスクのパターンニングに適したその他のリソグラフィ法を用いることができる。前記エッチングマスクはポリマ材料からなっていても良く、例えば、薄膜フォトレジスト、ポリイミド、BCB、および/または厚膜フォトレジスト等のソグラフィに用いられるレジストからなっていても良い。エッチングマスクは、SiN、SiC、SiO、Pt、Ti、TiW、TiN、Al、Cr、Au、Ni、その他の硬質材料、および/またはそれらの組み合わせからなるハードマスクであっても良い。ハードマスクはリソグラフィでパターニングされた後、パターニングされたリソグラフィマスクで被覆されていない領域が選択的にエッチングされる。機械的除去方法は、研磨、研削、掘削、アブレーション、(サンドまたは液体)ブラスト、および/またはそれらの組み合わせを含む。
別の実施の形態によれば、キャリアは少なくとも部分的に導電性/半導体材料からなる絶縁ディスクからなる。この場合、導電性/半導体材料からなる部分は絶縁ディスクの中心部に位置する。ある実施の形態においては、絶縁ディスクの選択された領域に空洞を形成し、導電性/半導体材料を空洞内に堆積してキャリアを形成する。絶縁ディスクの空洞は、ウェットエッチング、ドライエッチングおよび/または機械的除去方法を用いて形成することができる。前記エッチングマスクを空洞の形成に用いることもでき、エッチングマスクは前記リソグラフィ法によってパターニングされても良い。前記導電性/半導体材料は、PVD、CVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着、機械的蒸着、はんだ付け、糊付け、その他の適切な堆積法、および/またはそれらの組み合わせにより空洞内に堆積できる。平面性を向上し表面粗さを低減するために、キャリアに平坦化工程を施す実施の形態もある。
前記導電性電極層は、導電性/半導体材料からなる1または複数の層からなっていても良い。例えば、導電性電極層は、Fe、Cu、Sn、Ag、Au、Pd、Co、Ti、Ni、Pt、Cr、Al、W、ITO、Si、Ru、Rh、Re、Os、Hf、その他の金属、合金、リン合金、SnAg、SnAgCu、CoWP、CoWB、CoWBP、NiP、AuCu、シリサイド、グラファイト、ダイヤモンド、ステンレス鋼、導電性高分子、はんだ材料、および/またはそれらの組み合わせからなっていても良い。導電性電極層は、ALD、有機金属化学気相成長法(metal-organic chemical vapor deposition:MOCVD)、PVD、CVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着、電子グラフト法、その他の適切な堆積法、および/またはそれらの組み合わせによりキャリアに形成できる。例えば、無電解堆積法、電着、浸漬堆積法、電子グラフト法、化学グラフト法、選択的CVD、および/または選択的MOCVD等によって、前記導電性電極層を選択的に導電性/半導体表面に堆積する実施の形態もある。
導電性電極層に熱処理を施す実施の形態もある。熱処理は、高真空、フォーミングガス、水素ガス、窒素ガス、低酸素含有ガス、および/またはそれらの組み合わせからなる雰囲気下で行われても良い。熱処理は、アニーリング(例えば、急速熱アニール(rapid thermal anneal:RTA))、炉加熱、熱板加熱、および/またはそれらの組み合わせであって良い。熱処理は、キャリアへの接触抵抗および/または内部応力を低減することにより、導電性電極層とキャリアの間の粘着性を向上し、および/または主電極の電気的および/または機械的特性(硬度および/または耐磨耗性等)を向上する。少なくとも1つの材料からなる複数の層を形成して、次の層を形成する前に少なくとも1つの層に前記熱処理を施すことによって導電性電極層を形成する実施の形態もある。
ある実施の形態によれば、前記導電電極層を形成する前に粘着層をキャリアの少なくとも一部に形成する。前記粘着層は、導電電極層のキャリアへの粘着性を高める1または複数の材料からなっていても良い。粘着層は、Pt、Al、Ni、Pd、Cr、Ti、TiW等の導電性材料、AP−3000(ダウ・ケミカル社製)、AP−100(Silicon Resources社製)、AP−200(Silicon Resources社製)、AP−300(Silicon Resources社製)等の絶縁材料、HMDS等のシラン、および/またはそれらの組み合わせからなっていても良い。必要に応じて、例えば粘着層が絶縁性である場合、キャリアへの電気的接続を確保するため、粘着層は前記キャリアの全領域を被覆しない。または、粘着層をキャリア全面に形成し、その後、例えば前側中央部のように導電電極層とキャリアの間の電気的接続が必要な領域から粘着層の一部を除去する。粘着層が、導電電極層の堆積を容易にまたは向上する触媒層として機能する実施の形態もある。粘着層は、電着法、スピンコーティング法、スプレーコーティング法、ディップコーティング法、分子気相成長法(molecular vapor deposition:MVD)、ALD、MOCVD、CVD、PVD、スパッタリング法、無電解堆積法、浸漬堆積法、電子グラフト法、化学グラフト法、および/または粘着材料に適した堆積法により形成することができる。
前記絶縁パターン層は、パターニングされた電気的に絶縁性の材料からなる1または複数の層からなっていても良い。絶縁パターン層は、層の表面粗さが低く、厚さの均一性が高くなる方法で形成されても良い。ある実施の形態によれば、絶縁パターン層は、熱酸化、熱窒化、PECVD、PVD、CVD、火炎加水分解蒸着(flame hydrolysis deposition:FHD)、MOCVD、電子陽極酸化処理、ALD、スピンコーティング法、スプレーコーティング法、ディップコーティング法、カーテンコーティング法、ローラーコーティング法、パウダーコーティング法、熱分解、粘着テープによるによる接着、接着剤による接着、その他の堆積法、および/またはそれらの組み合わせ等の方法により形成できる。
ある実施の形態によれば、絶縁パターン層をキャリアに形成する前に粘着層を形成する。前記粘着層は、前記絶縁パターン層とキャリア表面の間の粘着特性を高める少なくとも1つの材料からなる少なくとも1つの層からなっていても良い。前記粘着層は、絶縁材料または導電性材料からなっていても良い。例えば、前記粘着層は、Pt、Ni、Al、Cr、Ti、TiW、AP−3000(ダウ・ケミカル社製)、AP−100(Silicon Resources社製)、AP−200(Silicon Resources社製)、AP−300(Silicon Resources社製)、HMDS等のシラン、反射防止コーティング(bottom anti-reflective coating:BARC)、および/またはそれらの組み合わせからなっていても良い。粘着層は、PECVD、PVD、CVD、MOCVD、ALD、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、および/またはそれらの組み合わせ等の方法により形成することができる。
より平面度の高い表面を得るために、形成された絶縁パターン層に平坦化工程を施す実施の形態もある。前記平坦化工程は絶縁パターン層のパターニングに先立って行われても良い。平坦化工程は、化学機械研磨(chemical mechanical polishing:CMP)、ラップ仕上げ、接触平坦化(contact planarization:CP)等のエッチングおよび/または研磨、および/またはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチング、および/またはその他の平坦化方法、および/またはそれらの組み合わせ等の方法により実施することができる。
絶縁パターン層は、ポリマ等の有機化合物、または酸化物および/または窒化物等の絶縁無機化合物からなっていても良い。例えば、ポリマとしては、ポリイミド、シロキサン変性ポリイミド、BCB、SU−8、ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、シリコーン、弾性重合体、電子ビームレジスト(ZEP等(住友))、フォトレジスト、薄膜レジスト、厚膜レジスト、多環オレフィン、ポリノルボルネン、ポリエチレン、ポリカーボネート、PMMA、BARC材料、リフトオフレイヤー(lift off layer:LOL)材料、PDMS、ポリウレタン、エポキシポリマ、フルオロエラストマ、アクリレート重合体、(天然)ゴム、シリコーン、ラッカ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、フルオロメチレンシアン酸エステル、無機−有機ハイブリッドポリマ、(フッ素化および/または水素化)非晶質炭素、および/またはその他のポリマ、および/またはそれらの組み合わせを用いることができる。例えば、無機化合物としては、有機ドーピングシリコンガラス(organic-doped silicon glass:OSG)、フッ素ドーピングシリコンガラス(fluorine-doped silicon glass:FSG)、PETE/シリコン化合物、オルトケイ酸テトラエチル(tetraethyl orthosilicate:TEOS)、SiN、SiO、SiON、SiOC、SiCN:H、SiOCH材料、SiCH材料、ケイ酸塩、石英系材料、シルセスキオキサン(silsesquioxane:SSQ)系材料、メチルシルセスキオキサン(methyl silsesquioxane:MSQ)、水素シルセスキオキサン(hydrogen silsesquioxane:HSQ)、TiO、Al、TiN、および/またはそれらの組み合わせを用いることができる。絶縁パターン層は、パターニング処理(リソグラフィおよび/またはエッチング)を容易にするような特性、下層への良好な粘着性、良好な力学的耐久性を有し、および/またはECPR処理および/または中間洗浄および/または除去工程において不活性であっても良い。
リソグラフィおよび/またはエッチングにより絶縁パターン層のパターン(空洞)を形成する実施の形態もある。前記リソグラフィは、UVリソグラフィ、レーザーリソグラフィ、電子ビーム(electron-beam:e-beam)リソグラフィ、ナノインプリンティング、その他のリソグラフィ法、および/またはそれらの組み合わせを含む。
前記絶縁パターン層は、ECPRエッチング構造またはECPRめっき構造の所望の寸法および高さにより、異なる高さを有しても良い。ある実施の形態においては、前記絶縁パターン層は数百ミクロンまでの厚さを有しても良い。また、別の実施の形態では、前記絶縁パターン層を20nmまで薄くしても良い。また、ある実施の形態では、空洞の高さ/幅比率(アスペクト比と呼ばれる)が10未満、例えば約5未満、例えば約2未満、例えば約1未満である。また、ある実施の形態では、例えばICアプリケーション上で、アスペクト比約5未満、例えば約2未満、例えば約1未満で絶縁パターン層は約50μm未満、例えば約15μm未満、例えば約5μm未満である。また、ある実施の形態では、例えばIC相互接続アプリケーション上で、例えばIC相互接続グローバル配線に対して絶縁パターン層は約2μm未満、例えばIC相互接続中間配線に対して約500nm未満、例えばIC相互接続中間配線に対して約200nm未満、例えばIC相互接続「メタル1」配線に対して約100nm未満、例えばIC相互接続「メタル1」配線に対して約50nm未満である。前記化学電気セル内部では強制対流がないため、制限最大電流および最大めっき/エッチング速度は電解質の特性と電極間の距離、すなわち絶縁パターン層の高さによって決まる。イオン濃度が高い、電気化学的にエッチングされ堆積された材料からなる電解質を用いることにより、高い制限電流を得ることができる。さらに、導電電極層と基板上のシード層の間の距離を狭くすることによっても、高い制限電流を得ることができる。しかし、短い距離、つまり薄い絶縁パターン層は、短絡の危険性を増大させる。形成される構造層の厚さは絶縁パターン層の約90%未満、例えば約50%未満、例えば約10%未満であって良い。
前記エッチングは、絶縁パターン層を残す領域を保護するためにエッチングマスクを用いて行われる、および/または機械的除去法が用いられる。エッチングは、ウェットエッチングおよび/またはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチングを含む。エッチングマスクのパターンは前記リソグラフィ法により形成できる。前記エッチングマスクは、例えば、薄膜フォトレジスト、ポリイミド、BCB、厚膜フォトレジスト、および/またはその他のポリマ等のソグラフィに用いられるポリマーレジストからなっていても良い。エッチングマスクは、SiN、SiO、SiC、Pt、Ti、TiW、TiN、Al、Cr、Au、Ni、Ag、NiP、その他の硬質材料、それらの合金、および/またはそれらの組み合わせからなるハードマスクであっても良い。前記ハードマスクは、PVD、CVD、MOCVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着、PECVD、ALD、その他の適切な堆積法、および/またはそれらの組み合わせにより形成できる。ある実施の形態においては、ハードマスクはリソグラフィでパターニングされた後、パターニングされたリソグラフィマスクで被覆されていない領域がウェットエッチングおよび/またはドライエッチングにより選択的にエッチングされる。
ある実施の形態においては、例えばハードマスクがCu、Ni、NiFe、NiP Au、Ag、Sn、Pb、SnAg、SnAgCu、SnPb、および/またはそれらの組み合わせからなっている場合は、ハードマスクはECPRエッチング構造またはECPRめっき構造の層を少なくとも1層含んでいても良い。この場合、主電極の絶縁電極層を、前記エッチングと組み合わせて他の主電極を用いてパターニングしても良く、他のリソグラフィ法は必要ない。
ある実施の形態においては、前記絶縁パターン層を形成する前にエッチングストップ層が形成される。エッチングストップ層は、1または複数の絶縁パターン層よりもエッチングの影響を受けない材料からなる少なくとも1つの層からなっている。これにより、エッチングを停止または減速し、絶縁パターン層がエッチングにより貫通した時に下層を保護する。前記エッチングストップ層は、Ti、Pt、Au、Ag、Cr、TiW、SiN、Ni、Si、SiC、SiO、Al、InGaP、CoP、CoWP、NiP、NiPCo、AuCo、BLOKTM(適用された材料)、またはその他のエッチングによる影響が小さい材料、および/またはそれらの組み合わせからなっていても良い。
ある実施の形態によれば、絶縁パターン層の空洞の側壁の傾斜角を調整するために、前記パターニングを変更しても良い。傾斜角は、ECPRエッチング構造またはECPRめっき構造のアプリケーションにより決まる。ある実施の形態においては、垂直に近い(絶縁層の側壁とキャリア表面の間の傾斜角が90°に近い。垂直は、水平状態の構造の通常の位置に関しての垂直を意味する)側壁が、ある電気特性を得るために用いられる。これは、側壁が電極表面の法線に対して例えば約0.1°未満というような約1°未満の角度(傾斜角)を持っていることを意味する。別の実施の形態においては、傾斜角を大きくして、ECPRめっき構造からの主電極の分離工程を絶縁パターン層またはECPRめっき構造への損傷なく行えるようにしている。この場合の傾斜角は約45°まで、例えば20°まで、例えば5°までとしても良い。傾斜角をゼロより大きく変更することによって、絶縁層の空洞が上端部に底部より広い開口を持ち(通常、正傾斜角と呼ばれる)、前記分離工程が向上する。傾斜角は負の角度であってはならない。
ある実施の形態によれば、リソグラフィにより絶縁パターン層を形成する際に使用されるフォトレジストは、垂直な側壁または正傾斜角を実現する化学的または物理的特性を有していて例えばも良い。例えば、ゼロに近い傾斜角を得るために、SU−8(マイクロケム社製)、THB(JSR製)等のネガティブフォトレジスト、またはZEP(住友)等の電子ビームレジストを用いることができる。AZ(登録商標)、AXTM、AZ(登録商標)P9200、AZ(登録商標)P4000(AZエレクトロニック・マテリアルズ製)、ARFレジスト(JSR製)、SPRレジスト(ローム・アンド・ハース電子材料株式会社製)等のポジティブフォトレジスト、および/またはその他のポジティブフォトレジストを用いて正傾斜角の絶縁パターン層を形成することもできる。傾斜角は、フォトリソグラフィックのパラメータを変更することによっても調整できる。例えば、映写レンズを通してフォトレジストを露光する際の焦点深度を変更することによって、側壁の傾斜角を変えることができる。また、例えば波長フィルタを用いる、反射防止コーティングを用いる、照射線量を変更する、現像時間を変更する、熱処理を用いる、および/またはそれらの組み合わせによりフォトリソグラフィックパターニングのパラメータを変えることによって、傾斜角を最適化できる。
別の実施の形態によれば、垂直な側壁または正傾斜角を得るために、前記絶縁パターン層をパターニングするためのエッチング法を変更しても良い。例えば、反応性イオンエッチング(reactive ion etching:RIE)等のドライエッチングのためのガス組成、プラテン電力(RF電力)および/またはプラズマ電力(コイル電力とも呼ばれる)を最適化することによって、特定の傾斜角を得ることができる。前記ガス組成は、例えば、フッ化炭素、酸素、水素、塩素、および/またはアルゴンからなっていても良い。側壁上の保護物質の重合度を変更することによっても傾斜角を制御することができる。例えば、ガス組成中のフッ化炭素レベルを上げるまたは下げることにより、それぞれ、重合度が高くもしくは低くなり、傾斜角を大きく(垂直性を低く)または傾斜角を小さく(垂直性を高く)することができる。さらに、酸素および/または水素の含有量を変更することによって重合度を制御することもできる。つまり、酸素レベルを上げることによって重合度が低くなり、傾斜角を小さく(垂直性を高く)することができる。その逆についても同じことがいえる。また、水素レベルを上げることによって重合度が高くなり、傾斜角を大きく(垂直性を低く)することができる。その逆についても同じことがいえる。プラテン電力を一定に保ちつつ前記コイル電力を下げることにより前記傾斜角を小さく(垂直性を高く)する実施の形態もある。これにより、スパッタリング効果が向上し、前記絶縁パターン層をエッチングする際、側壁をより垂直にできる。逆にコイル電力を上げることにより、逆の効果を得ることができ、傾斜角を大きく(垂直性を低く)することができる。前記コイル電力を一定に保ちつつ前記プラテン電力を上げることにより前記傾斜角を小さく(垂直性を高く)する実施の形態もある。前記コイル電力を一定に保ちつつ前記プラテン電力を下げることにより、前記絶縁パターン層をエッチングする際、傾斜角を大きく(垂直性を低く)することができる。
さらに別の実施の形態によれば、ダマシンプロセスを用いて絶縁パターン層の空洞(パターン)を形成しても良い。前記ダマシンプロセスでは、まず犠牲パターン層をキャリア上に形成し、次に前記犠牲パターン層を覆って犠牲パターン層の空洞を埋めるように、絶縁パターン層について上述した方法を用いて絶縁材料を堆積し、前記平坦化方法により犠牲パターン層が露出するまで絶縁材料を平坦化した後、犠牲パターン層を除去することによって絶縁パターン層が形成される。例えば、犠牲パターン層は、ECPRエッチングまたはECPRめっき処理を構造層に施すことによって、または周知のリソグラフィおよび/またはエッチング/めっき処理によって形成することができる。このパターニング法は、例えばリソグラフィおよび/またはエッチングによって直接パターニングするのが難しい絶縁パターン層材料に適用しても良い。
ECPRめっき構造からの分離性を高めるために、絶縁パターン層表面を処理する実施の形態もある。例えば、絶縁パターン層表面は、前記空洞の側壁とECPRめっき構造の側壁の間に非粘着効果を得るように処理されても良い。この処理では、ECPRめっき構造に対する機械的および化学的結合を低下させるリリース層で、前記絶縁パターン層表面を被覆しても良い。リリース層は、スピンコーティング法、スプレーコーティング法、CVD、MOCVD、MVD、PVD、および/またはその組み合わせにより形成できる。リリース層は、メトキシシラン、クロロシラン、フルオロシラン等のシラン、ポリジメチルシロキサン、ポリエチレングリコールシロキサン、ジメチルシロキサンオリゴマ(dimethyl-siloxane:DMS oligomer)等のシロキサン、および/または非晶質フルオロポリマ、フルオロ炭素ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、サイトフルオロポリマ等のその他のポリマ、および/またはそれらの組み合わせからなっていても良い。
ある実施の形態によれば、絶縁パターン層に用いられる材料は、電解質の湿潤性能を良好にして絶縁パターンの空洞を埋めるような特性を持つ、および/または処理を施される。ある実施の形態においては、絶縁パターン層材料の少なくとも一部が低い表面エネルギー特性を有し、親水性である。つまり、水溶液に対して低い接触角を持っている。さらに、絶縁パターン層材料の一部が表面エネルギーを小さくして親水性表面を形成するような方法で処理されていても良い。この表面処理は、例えば熱処理、酸素/窒素/アルゴンプラズマ処理、固着防止のための表面の改質(surface conversion for anti-sticking:SURCAS)、および/または過酸化物、過硫酸塩、濃酸/強塩基等の強酸化剤による処理、および/またはそれらの組み合わせを含む。別の実施の形態によれば、絶縁パターン層の少なくとも一部が、高い表面エネルギーを持っている、または表面エネルギーを高めて疎水性表面とするような処理を施されても良い。その処理は水素プラズマによる処理を含んでも良い。ある実施の形態においては、絶縁パターン層は、絶縁パターン層の空洞の側壁が親水性となり、絶縁パターン層の上端部が疎水性となるような特性を持つ少なくとも1つの材料からなる1または複数の層からなっている。親水性材料は、例えばSiN、SiO、酸素プラズマ処理されたポリマ(フォトレジストおよび/またはエラストマ等)、および/またはその他の表面に極性機能分子基を持つ材料、および/またはそれらの組み合わせであって良い。疎水性材料は、水素終端ポリマ等の極性機能分子基を持たない材料、テフロン(登録商標)、フルオロシラン/クロロシラン、シロキサン、フルオロエラストマ、および/またはそれらの組み合わせであって良い。
別の実施の形態によれば、絶縁パターン層は、主電極がシード層に押し当てられた時に、絶縁パターン層の上面と基板上のシード層表面の間の機械的接触を向上するような特性を持つ少なくとも1つの材料からなる1または複数の層からなっていても良い。上述したように、絶縁パターン層は、少なくとも1つのエラストマ等の可撓性材料からなる層からなっても良く、または少なくとも1つの剛性材料からなる層と少なくとも1つのエラストマ材料からなる層からなっていても良い。前記エラストマ材料からなる層は前記剛性材料からなる層の上に配置されても良い。前記エラストマ層は、高圧縮率、弾性特性、電気的絶縁性および/または低誘電性を持ち、ECPR処理および/または中間洗浄および/または除去工程環境下で、例えば電解質に対する良好な耐化学性を有しても良い。エラストマ層は、PECVD、PVD、CVD、MOCVD、ALD、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、熱分解、および/またはそれらの組み合わせ等の方法により形成できる。また、エラストマ層は、金属、シリコン、ガラス、酸化物、窒化物および/またはポリマ等の下層に対する高粘着性、経時によるおよび/またはECPR処理環境下での、例えば電解質中での、収縮または膨張に対する高い耐久性、および/または汚染有機化合物を放出しない非ブリーディング特性、感紫外線性を有し、フォトリソグラフィによりパターニングされており、透明で、および/または例えばドライエッチング等のエッチングによりパターニングされていても良い。ある実施の形態においては、エラストマは、ポリジメチルシロキサン(polydimethylsiloxane:PDMS)、シリコーン、エポキシシリコーン、フルオロシリコーン、フルオロエラストマ、(天然)ゴム、ネオプレン、EPDM、ニトリルゴム、アクリレートエラストマ、ポリウレタン、および/またはそれらの組み合わせからなっていても良い。エラストマ層は、0.1GPa未満、例えば1MPa未満、例えば約0.05MPa未満、の引張弾性係数(ヤング率)を有しても良い。またはエラストマ層はShore-A硬度が90未満、例えば30未満、例えば約5未満であって良い。
ある実施の形態によれば、絶縁層は、例えばパターニングされたキャリア等のすでにパターニングされた表面に形成されても良い。ある実施の形態においては、絶縁パターン層は、例えば、熱酸化、熱窒化、スパッタリング、PECVDおよび/またはALD等の、用いられた材料が下層のパターニングされたキャリアの構造に等角的に追随するような方法で形成される。前記絶縁層は、下層のパターニングされたキャリアの少なくとも一部が露出するようにパターニングされても良い。前記パターニングでは、前記パターニングされたキャリアの空洞の少なくとも一部が露出されても良い。有効なパターニングにおいては、例えば、絶縁パターン層で前記パターニングされたキャリアの構造の上端部および/または側壁を被覆しつつ、前記パターニングされたキャリアの空洞の底部の少なくとも一部領域を露出する。前記パターニングは、上記のリソグラフィおよび/またはエッチングにより実施できる。前記絶縁パターン層が形成される前に、前記パターニングされたキャリアが、パターニングされた構造上に絶縁材料からなる層を少なくとも1層有する実施の形態もある。例えば、キャリアを絶縁材料からなる層を少なくとも1層含むエッチングマスクを用いてエッチングによりパターニングし、エッチングマスクを絶縁パターン層を形成する前に除去しない。これにより、構造上に絶縁材料からなる層がパターニングされたキャリアの底部に比べて厚く形成される。この実施の形態では、上端部を露出する前に、ドライエッチング等のエッチングによりパターニングされたキャリアの空洞の底部を露出する。前記ドライエッチングは、異方性エッチングとして知られる前記パターニングされたキャリアの面に垂直な方向のエッチング速度が横方向のエッチング速度より速いエッチングであって良く、これにより、側壁を絶縁材料に覆われたままに保ちつつパターニングされたキャリアの空洞の底部の絶縁パターン材料を露出できる。別の実施の形態によれば、絶縁パターン層は、前記キャリアおよび/または前記導電電極層への電気的接続に用いられる少なくとも一部を露出するようにパターニングされる。
以下に、主電極の実施の形態を図面を参照して説明する。
ある実施の形態によれば、導電性/半導体ディスク2および絶縁コーティング層3からなるキャリア1を用意する。図1(a)に示すように、前記絶縁コーティング層3は、前側および裏側の中心領域を除く、導電性/半導体ディスク2の全領域を被覆しても良い。導電性/半導体ディスク2を被覆し、少なくとも一部と電気的に接続するように、導電電極層4がキャリア1の前側に形成されても良い。ある実施の形態においては、前記導電電極層4はまた、前記絶縁コーティング層3の少なくとも一部を被覆している。外部電源から主電極への良好な電気的接続を得るために、キャリアの裏側において、前記導電性/半導体ディスクの少なくとも一部上に接続層5を備える実施の形態もある。図1(b)は、導電性/半導体ディスク2および絶縁コーティング層3からなり、導電電極層4と接続層5を備えるキャリア1の一実施の形態を示す断面図である。ある実施の形態においては、図1(c)に示すように、絶縁材料6がキャリア1および導電電極層4上に堆積している。絶縁材料をリソグラフィおよび/またはエッチングによりパターニングして、絶縁パターン層7を形成しても良い。図1(d)は、キャリア1、導電電極層4、接続層5、および絶縁パターン層7からなる主電極8の一実施の形態を示す断面図である。
ある実施の形態においては、キャリア1は、図2(a)に示すように、少なくとも部分的に導電性/半導体材料10を充填した導電性ビア11を中央に備えた絶縁ディスク9を備えている。主電極と基板の間の整合をとるために、絶縁ディスク9は透明であっても良い。ある実施の形態においては、導電電極層4をキャリア1の前側に形成しても良い。また、外部電源から主電極への良好な電気的接続を得るために、接続層5を裏側に形成しても良い。導電電極層4と接続層5の間の電気的接続はビア11によって確保することができる。図2(b)は、絶縁ディスク9および導電性ビア11を含むキャリア1、導電電極層4、および接続層5の一実施の形態を示す断面図である。図2(c)に示すように、絶縁材料6をキャリア1および導電電極層4上に堆積しても良い。絶縁材料をリソグラフィおよび/またはエッチングによりパターニングして、絶縁パターン層7を形成しても良い。図2(d)は、絶縁ディスク9および導電性ビア11を含むキャリア1、導電電極層4、接続層5、および絶縁パターン層7からなる主電極の一実施の形態を示す断面図である。
ある実施の形態によれば、少なくとも一部分、例えばキャリアの前側、が絶縁コーティング層3に被覆された導電性/半導体ディスク2からなるキャリア1を用意する。図3(a)に示すように、まず、絶縁コーティング層で導電性/半導体ディスク全面を被覆する実施の形態もある。絶縁コーティング層をリソグラフィおよび/またはエッチングによりパターニングして、絶縁パターン層7を形成しても良い。こうして形成された空洞内では、図3(b)に示すように、導電性/半導体ディスク2の少なくとも一部が露出する。図3(c)に示すように、絶縁パターン層の空洞の底部の導電性/半導体ディスク上に導電電極層4が選択的に形成されても良い。一部、例えば裏側中心、の絶縁パターン層7を除去し、導電性/半導体ディスク2を露出させて主電極への電気的接続を確保しても良い。外部電源から主電極への良好な電気的接続を得るために、主電極の、例えば裏側の、導電性/半導体ディスクの露出した領域に接続層5を形成しても良い。導電電極層4の形成に先立って、裏側の絶縁パターン層7の一部を除去する実施の形態もある。そして、導電電極層と同じ工程で、同様の方法により接続層5を形成しても良い。接続層5が少なくとも1層からなり、導電電極層4の形成と同じ工程で形成された後、次の工程で少なくとももう1つの導電層を形成する実施の形態もある。図3(d)は、導電性/半導体ディスク2、絶縁パターン層7、導電電極層4、および接続層5からなる主電極8を示す断面図である。図3(e)は、導電性/半導体ディスク2、絶縁パターン層7、導電電極層4、および接続層5からなる主電極8の別の実施の形態を示す断面図である。図3(e)において、接続層は複数の層からなり、少なくとも1層が裏側で絶縁パターン層7の一部を被覆している。
さらに別の実施の形態によれば、導電性/半導体のキャリア1を用意する。キャリアは、少なくとも前側をリソグラフィおよび/またはエッチングによりパターニングされている。ある実施の形態においては、パターニングに用いられるエッチングマスク12は絶縁材料を含む。
図4(a)は、エッチングマスク12を備えた導電性/半導体のキャリア1を示す断面図である。絶縁パターン層7をパターニングされた前記キャリアおよび前記エッチングマスク12上に形成しても良い。絶縁パターン層7が、図4(b)に示すように、下層のパターン層の構造に等角的に追随するような方法で形成される実施の形態もある。層12が加わることにより、前記パターン上に絶縁層が空洞の底部に比べて厚く形成される。
側壁および上端部の絶縁パターン層7を残しつつパターン底部の絶縁パターン層7からキャリア1を露出させるためにエッチングを用いても良い。空洞の底におけるエッチング速度が側壁におけるエッチング速度より速いドライエッチングを用いても良い。キャリアのパターニングに用いる前記エッチングマスク12の厚みに対応する絶縁材料厚を上端部に残しつつ、空洞の底部から上端部と同量の絶縁材料を除去する実施の形態もある。図4(c)は、キャリア1、エッチングマスク12、およびパターニングされたキャリアの空洞の底を露出するためにエッチングされた絶縁パターン層7からなる主電極8を示す断面図である。
ある実施の形態においては、パターニングされたキャリアのエッチングマスク12に被覆されていない領域または絶縁パターン層7に導電電極層が選択的に形成される。そして、図4(d)に示すように、絶縁パターン層を除去するために第2のエッチングマスク12を裏側に形成して、次の工程でキャリア1の一部を露出させても良い。
裏側の絶縁パターン層の一部はリソグラフィおよび/またはエッチングにより除去することができる。外部電源から主電極への良好な電気的接続を得るために、接続層5をキャリアの露出した部分に形成しても良い。主電極における電気的接続が主電極の裏側中央に形成される実施の形態もある。導電電極層4と同じ工程で接続層5を形成する実施の形態もある。この場合、接続領域のキャリア1の露出は、導電電極層4の形成に先立って実施される。接続層5をキャリア1の露出した部分にのみ形成する実施の形態もある。また、別の実施の形態においては、接続層はキャリアの露出した部分および絶縁パターン層7の一部に形成される。
図4(e)は、絶縁エッチングマスク12をキャリア構造上に用いてパターニングされた導電性/半導体キャリア1、絶縁パターン層7、パターニングされたキャリアの空洞に成膜された導電電極層4、および裏側の絶縁パターン層の一部およびキャリアの露出した部分に形成された接続層5からなる主電極の一実施の形態を示す断面図である。
ある実施の形態においては、導電電極層4の形成に先立って絶縁パターン層7の空洞底部のキャリア1から例えばエッチングにより材料を除去して、主電極8の空洞を深くしても良い。エッチングとしては、ドライエッチングを用いても良い。絶縁パターン層7をエッチングマスクとして用いる実施の形態もある。より深い空洞を形成することにより、主電極の空洞を、より多くのECPRめっき処理に用いられる事前堆積材料および/またはECPRエッチングによりエッチングされた材料で充填できる。
図5は、絶縁パターン層7の空洞をキャリア1内に深くエッチングし、その後キャリア1を選択的に堆積した導電電極層4で被覆した主電極8を示す断面図である。
ある実施の形態においては、絶縁接着層13を接着してパターニングすることにより前記絶縁パターン層7を前記キャリア1上に形成する。キャリア1が、キャリアの前側および裏側中心部を除いて絶縁コーティング層3により被覆された導電性/半導体ディスク2からなる実施の形態もある。また、キャリア1が、導電性ビア11をキャリア中央に備えた絶縁ディスク9からなる実施の形態もある。
ある実施の形態においては、絶縁接着層13の形成に先立って導電電極層4がキャリアに形成される。絶縁接着層13を、絶縁接着層がキャリア1上に形成された後除去できる接着キャリア14に付着する実施の形態もある。例えば、Si接着キャリア14上で絶縁接着層13はSiOであり、またはその他の除去可能な接着キャリア14上で絶縁接着層13は石英等のガラスまたは高分子フィルムである。粘着強度等の接着特性を向上するために絶縁接着層13をキャリア1に付着する前に、粘着接着層15を絶縁接着層13上に形成する実施の形態もある。粘着接着層15は、キャリアおよび/またはキャリア1上の導電電極層4への良好な接着特性を実現するような材料からなっていても良く、導電性材料からなっている。または、粘着接着層15は、非導電性材料からなり、エッチングにより選択的に除去されても良い。例えば、粘着接着層15は、導電電極層4への良好な接着性を示す金属および/または合金からなっていても良い。粘着接着層15は、前記導電電極層4について述べたような材料からなっていても良い。
図6(a)は、導電電極層4を含み、絶縁接着層13および粘着接着層15を備えた接着キャリア14が付着される前のキャリア1を示す断面図である。
図6(b)は、接着キャリア14の絶縁接着層13が、粘着接着層15および導電電極層4を介してキャリア1に接着される様子を示す。絶縁接着層13とキャリア1の間の層が接着過程で変化(混合)し、接着中間層16が形成される実施の形態もある。接着キャリア14は、機械的手法および/またはドライエッチング、ウェットエッチング等のエッチングにより除去しても良い。接着キャリア14が除去された後、絶縁接着層13をリソグラフィおよび/またエッチングによりパターニングしても良い。図6(c)は、パターニングされ、接着中間層16により導電電極層4および粘着接着層15を介してキャリア1に接着された絶縁接着層13を備えた主電極8の一実施の形態を示す断面図である。導電電極層4が前記接着中間層16上、もしくは、接着中間層16が存在しない場合(つまり、絶縁接着層13が直接キャリア1上に形成されている時)キャリア1上のパターニングされた絶縁接着層13の空洞内に選択的に成膜される実施の形態もある。
ある実施の形態においては、主電極は外部電源から前記導電電極層の少なくとも一部への電気的接続を可能にする。
ある実施の形態においては、外部電源から導電電極層の少なくとも一部に接続する前記キャリアの導電性/半導体材料への電気的接続が形成される。
ある実施の形態においては、外部電源から導電電極層に接続するキャリアの導電性/半導体部分の少なくとも一部に接続する接続層への電気的接続が形成される。
例えば、電気的接続は前記キャリアの裏側、つまり主電極の絶縁構造の反対側、に位置する。電気的接続が前記キャリアの裏側中央に位置する実施の形態もある。また、電気的接続が、例えば前記キャリアの周辺等の前側から形成される実施の形態もある。
ある実施の形態においては、ECPRエッチングまたはECPRめっき処理間に絶縁パターン層および基板によって規定される空洞を充填する電解質中を除いて、電解質を介しておよび/または直接、導電電極層への電気的接続と基板への電気的接続の間で短絡および/または顕著な電気的接続がなくなるように、前記キャリアの絶縁部および/または絶縁パターン層が形成されていても良い。例えば、絶縁材料は、絶縁パターン層の空洞および電気的接続領域内を除くキャリアの導電性/半導体部分全体を覆っている。
ある実施の形態においては、ECPRエッチングまたはECPRめっき処理間に主電極が基板に接触して配置された時に、主電極は外部電源から基板上のシード層への電気的接続を許容する特性を有する。
シード層の電気的接続に使用可能な少なくとも一部の領域が、物理的に基板に接触していない主電極に被覆されない実施の形態もある。
基板上のシード層への電気的接続を、広いシード層領域に接触する領域を有する主電極を用いて実現する実施の形態もある。
図7(a)は、大きな基板17上のシード層18の領域に接触する狭い領域を有する主電極8を示す断面図である。
図7(b)は、大きな基板上のシード層18の領域に接触する狭い領域を有する主電極の一実施の形態を示す上面図である。
ある実施の形態においては、主電極と基板は同様の寸法を有し、基板上のシード層への電気的接続のための場所を確保するために、主電極の少なくとも一部から材料が除去される。また、ある実施の形態においては、基板上のシード層への接続を許容するため、主電極周辺部に凹みを設ける。
図7(c)は、基板上のシード層への電気的接続を許容する凹み19を備えた主電極8を示す断面図である。前記凹みは主電極の全周辺にわたって設けられても良いし、いくつかの特定の接続部位にのみ設けれれても良い。
基板17上のシード層17への電気的接続を許容する、主電極8を通る接続孔20を設ける実施の形態もある。接続孔20は主電極8の周辺部に隣接して形成される。
図7(d)は、絶縁パターン層7および導電電極層4を備え、周辺部に接続孔20が形成された主電極8の前側を示す上面図である。図7(e)に示すように、接続孔20は主電極8の領域内に形成さる。前記凹みおよび/または接続部位は、リソグラフィおよび/またはエッチングおよび/または研磨、研削、掘削、アブレーション、CNC加工、超音波加工、ダイヤモンド加工、水ジェット加工、レーザー加工、(サンドまたは液体)ブラスト、および/またはそれらの組み合わせ等の機械的手法により形成することができる。凹みおよび/または接続部位は、電気的接続に適合するような寸法であって良い。電気的接続は、例えば、薄箔、バネ、ピン、および/またはその他の適切な電気的接続、
および/またはそれらの組み合わせからなっていても良い。電気的接続は、ECPRエッチングおよび/またはECPRめっき処理中および/またはそれらの処理に用いられるステンレス鋼、Au、Ag、Cu、Pd、Pt、白金めっきチタン、および/またはそれらの組み合わせ等の電解質中で腐食または酸化しない材料からなる層を少なくとも1層含んでいても良い。
ある実施の形態においては、主電極の設計により備えられるシード層への接続部位は、ECPRエッチングおよび/またはECPRめっき処理中のシード層の電流分布を均一にするよう配置されている。例えば、シード層周辺部への連続電気的接続を許容するよう、凹みを主電極の全周辺にわたって設けても良い。別の実施の形態においては、基板上のシード層への電気的接続を良好に分配するよう、いくつかの(例えば少なくとも3つの)接続孔を主電極の周辺に沿って均一に配置しても良い。
ある実施の形態においては、主電極の一部は導電性で導電電極層に接続され、シード層への電気的接続に接触しておよび/または近接して配置されており、ECPRエッチングおよび/またはECPRめっき処理中の主電極の導電電極層から基板上のシード層への短絡を防ぐために絶縁材料によって被覆されている。
シード層への電気的接続が主電極の集積部分となる実施の形態もある。この場合、主電極上のシード層接続は、導電電極層に接続する主電極の導電部から絶縁されていなければならない。さもないと、主電極がECPRエッチングまたはECPRめっき処理に使用された場合、2つの電極間で短絡が起こる可能性がある。主電極の導電電極層への電気的接続を、キャリアの絶縁コーティングが除去されている前記キャリアの裏側中央に設ける実施の形態もある。この場合、シード層接続は、絶縁材料によってキャリアの導電部から分離された、裏側周辺から前側への導電層であっても良い。前記シード層接続は、導電電極層について上述した材料から同様の方法を用いて形成しても良い。
図7(f)は、導電性キャリア、絶縁パターン層7、および導電電極層4からなる主電極8を示す。前記絶縁パターン層は、接続層5を通して電気的接続が可能な裏側中央および前側の空洞内を除く、導電性キャリアの全領域を覆っている。前記シード層接続31は主電極の裏側周辺部、端部、および前側周辺部に設けられている。シード層接続31は主電極のその他の導電部から絶縁パターン層により絶縁されている。絶縁パターン層はシード層接続の側部に位置しても良い。
図7(g)は、絶縁パターン層7、導電性キャリア1、導電電極層4、接続層5およびシード層接続31からなる主電極8が、シード層18を備えた基板17に接触して配置された様子を示す。絶縁層とシード層の間の空洞によって規定される電気化学セル内に電解質29が封入されている。外部電圧源が接続層5(接続層は前記キャリア1を介して前記導電電極層4に電気的に接続している)およびシード層接続31(前記シード層接続は前記シード層に電気的に接続している)に接続して、絶縁パターン層の空洞内の陽極である前記導電電極層に事前に堆積された陽極材が溶解して電解質に運ばれ、めっき構造24が前記電気化学セル内の陰極であるシード層上に形成される。電圧源の極性を反転することによって、シード層は電気化学的にエッチングされる。
図7(h)は、シード層接続31が、絶縁パターン層の空洞に隣接する端部を除く絶縁パターン層7のほぼ全面にあたる広い領域に形成された様子を示す。図7(h)中、シード層接続31の個々の部分は、絶縁パターン層が連続面を形成するかもしれないため、図示されていない部分によって相互接続されている。
絶縁パターン層が連続面を形成しない場合、図7(i)に示すように、シード層接続31の個々の部分は、キャリアを通って、キャリアの裏側の接続領域に接続する。さもなければ、分離したシード層接続31の個々の部分に接触するシード層が、シード層接続31の部分間の接続を形成する可能性がある。シード層接続31の個々の部分は、シード層、特に薄いシード層、の抵抗の低減に寄与する。抵抗の低減には後述するような効果がある。
本発明の一実施の形態によれば、主電極は少なくとも部分的に導電性および/または半導体のキャリアと、導電電極層と、絶縁パターン層、および/または事前に堆積された陽極材とを有し、導電性シード層を備えた基板と共に少なくとも1つの電気化学セルを形成する。前記電気化学セルは前記絶縁パターン層の空洞に封入された電解質からなり、前記導電電極層または事前に堆積された陽極材および前記シード層に接触している。前記キャリア、前記導電電極層、前記シード層および/または前記事前に堆積された陽極材の導電部の厚さおよび抵抗率は、電気化学セル間の電流密度差を最小限に抑えるように調整される。例えば、前記厚さおよび抵抗率は、電流密度差を50%未満、例えば20%未満、例えば10%未満、例えば5%未満、例えば約1%未満、とするように調整される。
例えば、薄いシード層の抵抗は、抵抗性電圧の降下、つまりシード層上の位置間の電位差を生じることがある。主電極の導電性材料を類似または同等の抵抗性電圧降下、つまり前記主電極上の対応する位置間の電位差を得るように調整することもできる。
ある実施の形態においては、主電極の前記導電性/半導体部分は導電性材料および/または導電性材料からなる層を少なくとも1つ備え、前記少なくとも1つの層は領域ごとに異なる厚さおよび/または抵抗率を有している。一例としては、主電極中心から半径方向に離れた位置に比べて、半径方向に近い位置において、前記少なくとも1つの導電性/半導体層は厚くなる。別の例としては、主電極中心から半径方向に離れた位置に比べて、半径方向に近い位置において、前記少なくとも1つの層の抵抗率は低くなる。さらに別の例としては、電極中心から半径方向に離れた位置に比べて、半径方向に近い位置において、前記少なくとも1つの層の特定導電性は高くなる。
ある実施の形態においては、主電極は、個々に異なる外部電位に接触可能な複数の位置および/または領域を有していても良い。異なる外部電位を、シード層の抵抗性電圧降下により前記外部電位の差が前記シード層の対応する位置間の電位差と等しいまたは類似している前記主電極上の位置に印加しても良い。
ある実施の形態においては、電気化学セル間の電位差および/または電流密度差は、数学モデルにより計算、および/または実験により測定できる。
ある実施の形態においては、主電極の導電性/半導体部分の特定導電性は前記シード層の特定導電性以上である。例えば、前記導電性/半導体キャリア、前記導電電極層および/または前記事前に堆積された陽極材の特定導電性の合計は前記シード層の特定導電性以上、例えば2倍以上、例えば5倍以上、例えば7倍以上、例えば約10倍以上、である。
ある実施の形態においては、前記主電極は円形状をなしている。例えば、SEMITM基準によると、主電極はシリコンウェハとほぼ同じ寸法を有していても良い。例えば、前記主電極は、直径100mm、150mm、200mm、300mmまたは450mmのシリコンウェハの標準寸法を有していても良い。前記基板は主電極と同様の円形状および/または厚さを有していても良い。
ある実施の形態においては、前記主電極は外部電源から導電電極層への電気的接続を許容するように調整されている。電気接続領域33(図19参照)を、例えば前記導電電極層4と接触している前記キャリア1に接触させて主電極8の裏側に配置しても良い。主電極上に複数の電気接続領域33が存在し、それぞれが絶縁材料32により分離されていても良い。電気接続領域33は、例えば、円形、正方形、矩形、円弧、環状および/またはそれらの部分形状を有していても良い。ある実施の形態においては、図19(a)および(b)に示すように、主電極8の裏側中心部に円形の電気接続領域33および/または裏側中心部と周辺部の間に少なくとも1つの環状電気接続領域33が絶縁材料32により分離されて配置されていても良い。また、ある実施の形態においては、少なくとも2つの環状および/または円弧状の接続領域が主電極の中心部と周辺部の間に位置していても良い。少なくとも2つの環状および/または円弧状の接続領域は、中心部から周辺部の領域にわたって均一に広がっていても良い。別の実施の形態においては、異なる接続領域間の半径方向の間隔は、中心部から離れるほど狭くなる。ある実施の形態においては、中心部と周辺部の間の環および/または円弧の数は少なくとも3、例えば少なくとも4、例えば少なくとも5、例えば少なくとも8より多い。接続領域は相互に独立して配置され、異なる外部電位がそれぞれの接続領域に個別に印加されても良い。異なる外部電位が少なくとも1つの接続領域内の異なる位置に印加される実施の形態もある。外部電源からの接続部を、例えば電流/電位の拡散を均一にするために、1つの接続領域内の異なる位置に設けても良い。
別の実施の形態においては、同じ外部電位を主電極上の異なる接続領域の少なくとも一部に印加しても良い。ある実施の形態においては、例えば主電極の裏側のすべてのまたはほぼすべての接続領域が、例えば電気めっき法により前記主電極に陽極材を事前に堆積する際、同一またはほぼ同一の電位で外部電源に接触していても良い。前記電気めっき法はシード層からのECPRエッチングおよび/または標準的な電気めっき法により実施できる。
一例として、主電極が基板と共に少なくとも1つの電気化学セルを形成し、便宜上、前記電気化学セルが前記主電極と基板の間の全領域を覆っているものとする。均一の厚さを有する薄い導電性シード層が半径200mmの円形の基板上に形成され、前記シード層の特定導電性は5Ω-1であるものとする。前記主電極はキャリア、導電電極層、および絶縁パターン層からなり、半径200mmのディスク形状をしている。前記キャリアと前記導電電極層の特定導電性の合計は25Ω-1であるものとする。外部電圧が前記主電極の裏側中心部および前記シード層の全周辺に印加され、電気化学セルの少なくとも一部はシード層と主電極の径中心に位置し、電気化学セルの少なくとも一部はシード層と主電極の径周辺に位置している。図8(a)は、導電電極層の電位を示し、図中、最大電位差は6mVである。図8(b)は、シード層の電位差を示し、図中、最大電位差は5mVである。この結果、図8(c)に示すように、中心部の電流密度は13.7mA/mmとなり、周辺部の電流密度は13.5A/dmとなる。これは、異なる位置においてほぼ同一の電流密度を得るために、主電極の導電部の特定導電性がシード層に整合される様子を例示するものである。
別の例として、前記シード層の特定導電性は5Ω-1であり、前記キャリアと前記導電電極層の特定導電性の合計は30Ω-1であるものとする。図9(a)は、導電電極層の電位差を示し、図9(b)は、シード層の電位差を示している。これにより、図9(c)に示すように、中心部の電流密度は13.7A/dmとなり、周辺部の電流密度は13.7A/dmとなる。これは、異なる位置において同一の電流密度を得るために、主電極の導電部の特定導電性がシード層に整合される様子を例示するものである。
さらに別の例として、前記シード層の特定導電性は5Ω-1であり、前記キャリアと前記導電電極層の特定導電性は100Ω-1であるものとする。図10(a)は、シード層の電位差を示している。これにより、図10(b)に示すように、中心部の電流密度は約13.7A/dmとなり、周辺部の電流密度は約14.4A/dmとなる。これは、主電極の導電部の特定導電性のシード層への整合度が低く、異なる位置の電流密度に顕著な相違が生じた様子を例示するものである。
上記の例において、単一の電気化学セルが前記シード層と主電極の間の全表面を覆っているものとしたが、多くの実施の形態においては、前記絶縁パターン層に分離された複数の電気化学セルが存在する。例えば、セル領域はシード層および主電極層の全領域の5〜50%を被覆していても良く、前記主電極層およびシード層上に均一に広がっている。また、上記の例において示した結果は、複数の電気化学セルが存在した場合の結果と類似するものである。
上記の例で説明したように、少なくとも1つの電気化学セルと電気的に接続する主電極の導電層の形状および抵抗率は、シード層の形状および特定導電性に関連して選択することができるため、主電極の導電層の電位降下をシード層と同一またはほぼ同一にすることができ、電気化学セルにおける電流密度を同一またはほぼ同一にすることができる。
電位降下および電流密度分布に係る前記記述は、x、y、z座標により定義されるどの部材(主電極および/またはシード層等)および外部電圧を印加するためのどの接触部にも当てはまる。前記部材における電位分布は、σを導電性、Vを電位とした時、以下の偏微分方程式により記述できる。
−σ・(δ2V/δx2+δ2V/δy2+δ2V/δz2)=0
境界条件は電位Vまたは電流密度Jとして設定される。例えば
1.前記接触領域において:所定の電位Vまたは所定の電流密度
J=−σ・(δJ/δx+δJ/δy+δJ/δz)
2.前記電気化学セルに接触する表面において:電流密度
J=−σ・(δJ/δx+δJ/δy+δJ/δz)
上記において、iを交換電流密度、Cを前記電気化学セルの電気化学特性に依存する定数、ηを陽極表面または陰極表面上のある位置における過電位とした時、前記電気化学セルのいかなる位置のJもButler-Volmer式:J=i*exp(C*(η))によって記述できる。
3.電気的に絶縁性の表面において:電流密度
J=−σ・(δJ/δx+δJ/δy+δJ/δz)=0
前記微分方程式は、例えばオイラー法、テイラー級数法またはルンゲ・クッタ法等の常微分方程式(ordinary differential equation:ODE)を解く方法、または有限差分法、クランク・ニコルソン法または楕円型偏微分方程式等の偏微分方程式を解く方法のような数値法による計算を用いて解くことができる。例えば球座標を用いて、二次元系に対してこのような数値法を使用する実施の形態もある。三次元系に対してこのような数値法を使用する実施の形態もある。さらに、このような数値法において有限要素法を用いる実施の形態もある。
ある実施の形態においては、主電極の導電層の形状および特定導電性をシード層の形状および特定導電性に適合するように選択する工程は、前記導電層および/または前記シード層の電位分布を測定する工程を含んでも良い。また、別の実施の形態は、前記少なくとも1つの電気化学セルにおける電流密度(すなわちめっき/エッチング速度)分布を測定する工程を含んでも良い。めっき/エッチング速度は電流密度に線形依存するため、例えば、前記電流分布を測定する工程は前記少なくとも1つの電気化学セルにおいて形成された構造層の厚さ分布を測定する工程を含んでも良い。さらに別の実施の形態においては、前記少なくとも1つの電気化学セルにおける電流密度分布を測定した後主電極の前記導電層の形状および厚さを変更し、その後前記少なくとも1つの電気化学セルの位置間の電流密度差が最小化されるまで変更と電流密度分布の測定を行う反復法が用いられる。
図20(a)および(b)に示すように、電気化学セルは、中心の主電極の第1の接触部および周辺部に沿ったシード層の第2の接触部に、例えば円形の極性分布を有していても良い。この場合、導電層の抵抗は特定導電性と指数関数的関係を持つ。このため、シード層の電位分布は図8(b)に示すように指数関数的になる。比較的均一な電流分布を得るために、主電極の特定導電性はシード層の特定導電性の約5〜7倍であることが望ましい。
別の実施の形態においては、電気化学セルは、矩形主電極の一辺の第1の接触部および矩形シード層の対抗する辺の第2の接触部に線形分布を有していても良い。この場合、電位分布は線形となる。ある実施の形態においては、電気化学セルにおいてほぼ均一の電流密度を得るために、主電極の特定導電性はシード層の特定導電性とほぼ等しくても良い。
主電極の特定導電性は、図7(h)から分かるように、様々な要因に影響される。主電極は導電性/半導体材料からなるディスク1を含んでいても良い。ディスクは、異なる材料からなる複数のディスク部材からなっていても良い。これらの材料が共にディスクの特定導電性を形成する。例えばディスクは、抵抗率を決める所定の率でドープされたシリコン等の半導体材料からなっていても良い。ドーピングは全表面にわたって均一でも異なっていても良い。半導体材料は、特定導電性をさらに適合するために白金または金等の導電性材料からなる層を備えていても良い。ディスクは、均一の厚さを有していても異なる厚さを有していても良い。
導電性および/または半導体ディスクは、図7(h)に示すように、空洞の底部にのみ導電電極層4を備えていても良い。この材料は大抵ディスクよりも薄いため、特定導電性への寄与は小さい。導電電極層4上に陽極材を事前に堆積しても良い。この陽極材も、厚さとセル密度に応じてある程度特定導電性に寄与する。つなり、陽極材が厚くセル密度が高ければ特定導電性は高くなる。ある実施の形態においては、これらの材料は、異なる長さの電気化学セルを形成して異なる電流密度を生じるために改変されても良い。例えば、空洞の高さが25μmであった場合、材料4の高さはある空洞内で1μm、別の空洞内で20μmであって良く、これにより電気化学セルを流れる電流に影響を及ぼす。例えば限界電流に近い時のように、電流密度が高く電気化学エッチング/めっき処理の物質移行が制限される場合、または電気化学セルの電解質の導電性が低い場合、この傾向は顕著となる。
図7(h)に示すように、エッチング/めっき処理中にシード層と接触する材料31がシード層の特定導電性の向上を支援し、電流分布をより均一にする。
これらすべての要因を組み合わせて、所望の結果を得ることができる。また、上記のように、異なる電位を主電極の異なる部分および基板に印加しても良い。
ある実施の形態においては、主電極8の製造中、導電電極層4とキャリア1の導電性/半導体部分の特定導電性を、ECPRエッチングおよび/またはECPRめっき処理が施されている基板17上のシード層17の特定導電性と一致させても良い。低いまたは高い抵抗率の材料を選択することにより、および/またはキャリア1および/または導電電極層4を厚くまたは薄くすることにより、キャリア1および/または導電電極層4の特定導電性をそれぞれ大きくまたは小さくすることができる。ECPRエッチングおよび/またはECPRめっき処理中の電流の総抵抗は、以下の経路の抵抗の合計により決まる。
1.キャリア1の導電性/半導体部分
2.導電電極層4
3.ECPRエッチングおよび/またはECPRめっき処理により形成された電気化学セル23
4.基板上のシード層18
便宜上、前記キャリア1の導電性/半導体部分を通る経路の抵抗をR1とし、前記導電電極層4を通る経路の抵抗をR4とし、前記シード層18を通る経路の抵抗をR18とし、前記ECPRエッチングおよび/またはECPRめっき処理により形成された電気化学セル23を通る経路の抵抗をR23とする。
ある実施の形態においては、主電極8のキャリア1および導電電極層4は、ECPRエッチングおよび/またはECPRめっき処理中に供給される電流が、キャリア1、導電電極層4およびシード層18を通過する際、どの領域内で電気化学セル23を流れるかにかかわらず等しい総抵抗を受けることを特徴とする。これは、外部電源からキャリア1の裏側中央および基板17上のシード層18の周辺部のみへの電気的接続を形成することにより実現できる。この場合、キャリア1の裏側中央から前記キャリア、導電電極層4、シード層18を通って周辺部の電気的接続へ流れる電流に対する総抵抗は、どの領域内で電気化学セル23を流れるかにかかわらず同じである。また、ECPRエッチングおよび/またはECPRめっき処理中の電流密度もシード層電気的接続に対する電気化学セルの位置にかかわらず同じである。したがって、電流密度に線形に比例するエッチング速度および/またはめっき速度は、位置にかかわらず電気化学セル23内すべてで同じである。上記のように主電極/シード層抵抗を一致させることにより、本質的に従来の電着/電気化学エッチングに係る、不均一な径方向高さ分布を生じる熱効果と呼ばれる径方向依存性の不均一なエッチング/めっき速度の問題を低減することができる。
ある実施の形態においては、例えばシード層が薄い場合、キャリア1および導電電極層4の総抵抗はシード層18の抵抗よりも小さくなり、ECPRエッチングおよび/またはECPRめっき処理を行なう際に、基板または主電極中央よりも周辺部に近接して位置する電気化学セル23内の電流密度が高くなる。例えばシード層が厚い場合、キャリア1および導電電極層4の総抵抗はシード層18の抵抗よりも大きくなり、ECPRエッチングおよび/またはECPRめっき処理を行う際に、基板17または主電極8中央よりも周辺部に近接して位置する電気化学セル23内の電流密度が低くなる。
例えば、図12に示すように、中央の電気化学セル23への経路または電気化学セル23からの経路の抵抗R’を、J’を中央の電気化学セルの電流密度、J”を周辺部の電気化学セルの電流密度とした場合、以下の関係を満たすように周辺部の電気化学セルへの経路または電気化学セルからの経路の抵抗R”と一致させることができる。
1. if R=1/(1/R1’+1/R4’)+R18’ is equal to R”=1/(1/R1”+1/R4”)+R18”, then j’=j”; or
2. if R’=1/(1/R1’+1/R4’)+R18’ is greater than R”=1/(1/R1”+1/R4”)+R18”+, then j’<j”; or
3. if R’=1/(1/R1’+1/R4’)+R18’ is less than R”=1/(1/R1”+1/R4”)+R18”, then j’>j”’
抵抗R1およびR4を異なる方法でR18と一致させることにより、主電極の中心から周辺部へ径方向に沿った、ECPRエッチング構造および/またはECPRめっき構造の特定の高さ分布を得ることができる。
図1(d)に示すように、キャリア1の導電性部分(例えば導電性/半導体ディスク2)が、絶縁コーティング層3の存在によって前側中央の導電電極層4にのみ接続している実施の形態もある。この場合、導電電極層4の厚さと抵抗率のみシード層18と一致させれば良い。
ECPRエッチング構造および/またはECPRめっき構造24の径方向高さ分布を、前または後の工程による異なる高さ分布を保障するために用いる実施の形態もある。ある実施の形態においては、図13(a)に示すように、主電極における抵抗を、(例えばPVDにより)凹面層25を備えた基板17上に均一な厚さで形成されたシード層18の抵抗と一致させて、1/R1+1/R<1/R18となるようにし、ECPRエッチング構造および/またはECPRめっき構造24が凹面層を保障する凹状径方向高さ分布を有して形成される。これにより、図13(b)に示すように、前記ECPRエッチング構造および/またはECPRめっき構造24の上端部の高さが基板から均一の高さhとなる。また、別の実施の形態においては、図14(a)に示すように、主電極における抵抗を、(例えばPVDにより)凸面層26を備えた基板17上に均一な厚さで形成されたシード層18の抵抗と一致させて、1/R1+1/R4>1/R18となるようにし、ECPRエッチング構造および/またはECPRめっき構造24が凸面層を保障する凸状径方向高さ分布を有して形成される。これにより、図14(b)に示すように、前記ECPRエッチング構造および/またはECPRめっき構造24の上端部の高さが基板から均一の高さhとなる。
特定のめっき効果またはエッチング効果を得るために、主電極を中心部から異なる厚みを有するおよび/または中心部から異なる特定導電性を有する異なる材料からなる電極層およびディスクを用いて形成しても良い。例えば、中心部から半径方向距離半分の位置において厚さを半分にしたり、または抵抗率を2倍にしたりしても良い。
ECPRエッチング構造層またはECPRめっき構造層の厚さ分布を高精度に均一化する方法を用いて主電極を配置する実施の形態もある。前記構造層の一部に不均一なパターンを設けるため、絶縁パターン層の空洞内においてキャリアおよび/または導電電極層の少なくとも一部を変更しても良い。ある実施の形態においては、図21(a)に示すように、主電極8のキャリア1は、絶縁パターン層7の少なくとも1つの空洞に凹みを有していても良い。前記凹みは壁を導電電極層4に被覆され、陽極材28が前記導電電極層に事前に堆積されている。前記凹みを有する前記空洞内の基板17にECPRめっき処理を施している間、絶縁パターン層7の壁に近い領域の電流密度(めっき速度)が高くなり、図21(b)に示すように、ECPRめっき構造24の高さが大きくなる。
別の実施の形態においては、図22(a)に示すように、キャリア1および導電電極層4は絶縁パターン層7の少なくとも1つの空洞に突出構造を有し、陽極材28が前記導電電極層に事前に堆積されている。突出構造を有する前記空洞内の基板17にECPRめっき処理を施している間、突出構造に近い基板上の領域の電流密度(めっき速度)が高くなり、図22(b)に示すように、ECPRめっき構造24の高さが大きくなる。場合によっては、連動衝突構造、はんだボール配置盤または機械的配置構造/基準等のアプリケーションには、図21(b)および図22(b)に示すように、不均一な高さの構造層を形成する実施の形態を適用しても良い。
以上、本方法のいくつかの工程を異なる組み合わせで説明した。しかし、この明細書を読んだ当業者が想到し得る別の組み合わせも可能であり、それらも本発明の範囲内に包含されるものであることはいうまでもない。また、これらの工程は本発明の範囲内で種々の変更が可能である。したがって、本発明は添付の請求項によってのみ制限されるものとする。
導電性または半導体キャリアから主電極を形成する方法の工程を模式的に表した断面図である。 非導電性キャリアから主電極を形成する方法の工程を模式的に表した断面図である。 パターンに導電層が追加された導電性キャリアから主電極を形成する方法の工程を模式的に表した断面図である。 キャリアにパターンが形成された主電極を形成する方法の工程を模式的に表した断面図である。 パターンのセルが深い主電極の模式断面図である。 粘着層が絶縁パターン層に接着された主電極を形成する方法の工程を模式的に表した断面図である。 (a)および(b)はそれぞれ、大きな基板上の主電極の模式断面図および上面図であり、(c)および(d)、(e)はそれぞれ、1または複数の空洞を備えた主電極の模式断面図および上面図であり、(f)、(i)は、基板への接触領域を備えた主電極の模式断面図である。 (a)および(b)は、ある実施の形態に係る主電極とシード層の電位分布を示す図であり、(c)は、電流分布を示す図である。 (a)および(b)は、別の実施の形態に係る主電極とシード層の電位分布を示す図であり、(c)は、電流分布を示す図である。 (a)および(b)は、さらに別の実施の形態に係るシード層の電位分布を示す図であり、(c)は、電流分布を示す図である。 (a)および(b)は、別の実施の形態に係る主電極とシード層の電位分布を示す図であり、(c)は、電流分布を示す図である。 電気化学セルにおける導電路の拡大断面図である。 最初から凹状の基板を示す断面図である。 最初から凸状の基板を示す、図13に類似した断面図である。 ある実施の形態に係る、3次元空洞をパターン層に有する主電極の模式断面図である。 別の実施の形態に係る、3次元空洞をパターン層に有する主電極の模式断面図である。 ある実施の形態に係る、材料が不均一に事前堆積された異なる深さの空洞を有する主電極の模式断面図である。 別の実施の形態に係る、材料が不均一に事前堆積された空洞を有する主電極の模式断面図である。 複数の接触部を有する主電極の模式断面図であり、(b)は、(a)に示した主電極の平面図である。 電気化学セルの模式断面図である。 極モデルおよび線形モデルにおける電流分布を模式的に表した断面図である。

Claims (56)

  1. 基板上に配置された主電極を有するシステムであって、
    前記主電極は、少なくとも部分的に絶縁材料からなり、導電性材料が配置された複数の空洞を備える第1の面を有するパターン層を備え、
    前記電極導電性材料は少なくとも1つの電極電源コンタクトに電気的に接続し、
    前記基板は前記第1の面に接触または隣接する上面を有し、めっき処理またはエッチング処理のためのシード層を含む導電性材料および/または導電性材料からなる構造を上面上に備え、
    前記基板導電性材料は少なくとも1つの電源コンタクトに電気的に接続し、
    複数の電解質を含む電気化学セルが前記空洞、前記基板導電性材料および前記電極導電性材料によって区切られて形成され、
    前記電極導電性材料の特定導電性が平均して前記基板導電性材料の特定導電性の0.1〜100倍となるようにしつつ、前記電極導電性材料と前記電極電源コンタクトが互いに接触する部位と前記電極導電性材料の前記第1の面上の点との間の電極抵抗および前記基板導電性材料と前記基板電源コンタクトが互いに接触する部位と前記基板導電性材料の前記上面上の点との間の基板抵抗は、各電気化学セルにおいて所定の電流密度が得られるように適合化されていることを特徴とするシステム。
  2. 前記電極導電性材料の特定導電性は平均して前記基板導電性材料の特定導電性の0.5〜20倍であることを特徴とする請求項1に記載のシステム。
  3. 前記電極導電性材料の特定導電性は平均して前記基板導電性材料の特定導電性の1〜10倍であることを特徴とする請求項1に記載のシステム。
  4. 前記電極導電性材料の特定導電性は平均して前記基板導電性材料の特定導電性の1〜7倍であることを特徴とする請求項1に記載のシステム。
  5. 前記特定導電性は主電極の表面にわたって変化するように設定されることを特徴とする請求項1または2に記載のシステム。
  6. 前記特定導電性は材料の厚さを変更することにより異なるように設定されることを特徴とする請求項5に記載のシステム。
  7. 前記特定導電性は材料の抵抗率を変更することにより異なるように設定されることを特徴とする請求項5または6に記載のシステム。
  8. 前記材料は、前記抵抗率を得るために可変ドーピングを有するドープ半導体材料であることを特徴とする請求項7に記載のシステム。
  9. 前記電極導電性材料は、前記第1の面とほぼ同等の面積を持つ層を備えていることを特徴とする請求項1〜8のいずれか1項に記載のシステム。
  10. 前記層は導電性材料および/または半導体材料からなることを特徴とする請求項9に記載のシステム。
  11. 前記電極導電性材料は、各空洞の底部に、空洞導電性材料を含むことを特徴とする請求項1〜10のいずれか1項に記載のシステム。
  12. 前記空洞導電性材料は、前記空洞の底部に堆積され、不活性物質であることを特徴とする請求項11に記載のシステム。
  13. 前記空洞導電性材料は、前記空洞内に事前に堆積され、めっき処理中に少なくとも部分的に消費される材料であることを特徴とする請求項12に記載のシステム。
  14. 前記空洞導電性材料は前記層に電気的に接続していることを特徴とする請求項11、12または13に記載のシステム。
  15. 前記層は略一定の厚さを有していることを特徴とする請求項11〜14のいずれか1項に記載のシステム。
  16. 前記層は、異なる特定導電性を有する複数の層部材を備え、
    前記層部材は相互に重なりあっていることを特徴とする請求項15に記載のシステム。
  17. 少なくとも1つの前記層部材の厚さは、層中心からの距離によって変化することを特徴とする請求項11〜14のいずれか1項に記載のシステム。
  18. 前記電極電源コンタクトは前記層の中央に配置されていることを特徴とする請求項9〜17のいずれか1項に記載のシステム。
  19. 前記電極電源コンタクトは複数の個別コンタクトからなることを特徴とする請求項9〜18のいずれか1項に記載のシステム。
  20. 前記個別コンタクトは、層の中心から所定の半径の所に、少なくとも1つのリングコンタクトまたはリング断片コンタクトを備えていることを特徴とする請求項17または18に記載のシステム。
  21. 各個別コンタクトは、めっき処理またはエッチングの間、特定の電位を供給されることを特徴とする請求項19または20に記載のシステム。
  22. 前記層は略円形であることを特徴とする請求項9〜21のいずれか1項に記載のシステム。
  23. 前記基板の最上面の少なくとも一部に形成されたシード層によって、少なくとも部分的に前記基板抵抗を得ることを特徴とする請求項1〜22のいずれか1項に記載のシステム。
  24. 前記基板電コンタクトは、前記基板上のシード層の周辺部の少なくとも一部に設けられることを特徴とする請求項23に記載のシステム。
  25. 前記基板電コンタクトは、前記基板上のシード層の周辺部に沿って設けられることを特徴とする請求項23に記載のシステム。
  26. 前記基板電コンタクトは複数の個別コンタクトからなることを特徴とする請求項23、24または25に記載のシステム。
  27. 各個別コンタクトは、めっき処理またはエッチングの間、特定の電位を供給されることを特徴とする請求項26に記載のシステム。
  28. 前記主電極は、前記シード層に電流を供給するための、前記シード層に接触する少なくとも1つの接触領域を備えていることを特徴とする請求項23〜27のいずれか1項に記載のシステム。
  29. 前記パターン層は、少なくとも1つの領域の導電性材料であって、めっき処理またはエッチングの間基板導電性材料に接触させて、前記領域にわたる前記基板導電性材料の特定導電性を高めるための導電性材料を前記第1の面の前記空洞間に備えることを特徴とする請求項23〜28のいずれか1項に記載のシステム。
  30. 前記電極導電性材料の表面にわたる電位差および/または前記基板導電性材料の表面にわたる電位差が大きく、当該表面間の電流密度の、前記電気化学セル間での電流密度差が1%を超過する場合に、適合化を実施することを特徴とする請求項1〜29のいずれか1項に記載のシステム。
  31. 前記電極導電性材料は、空洞毎に特有の厚みを有することを特徴とする請求項1〜3のいずれか1項に記載のシステム。
  32. 基板上に配置される主電極であって、
    前記主電極は、少なくとも部分的に絶縁材料からなり、導電性材料が配置された複数の空洞を備える第1の面を有するパターン層を備え、
    前記電極導電性材料は少なくとも1つの電極電源コンタクトに電気的に接続し、
    複数の電気化学セルが前記空洞、前記電極導電性材料および基板によって区切られて形成され、
    前記電極導電性材料の特定導電性は平均して、めっき処理またはエッチング処理のためのシード層を含む、対象となる基板導電性材料の特定導電性の0.1〜100倍となるようにしつつ、前記電極導電性材料と前記電極電源コンタクトが互いに接触する部位と前記電極導電性材料の前記第1の面上の点との間の電極抵抗は、各電気化学セルにおいて所定の電流密度を得るために適合化されていることを特徴とする主電極。
  33. 前記電極導電性材料の特定導電性は平均して前記基板導電性材料の特定導電性の0.5〜20倍であることを特徴とする請求項3に記載の主電極。
  34. 前記電極導電性材料の特定導電性は平均して前記基板導電性材料の特定導電性の1〜10倍であることを特徴とする請求項3に記載の主電極。
  35. 前記電極導電性材料の特定導電性は平均して前記基板導電性材料の特定導電性の1〜7倍であることを特徴とする請求項3に記載の主電極。
  36. 前記特定導電性は主電極の表面にわたって変化するように設定されることを特徴とする請求項3または3に記載の主電極。
  37. 前記特定導電性は材料の厚さを変更することにより異なるように設定されることを特徴とする請求項3に記載の主電極。
  38. 前記特定導電性は材料の抵抗率を変更することにより異なるように設定されることを特徴とする請求項3または3に記載の主電極。
  39. 前記材料は、前記可変抵抗率を得るために可変ドーピングを有するドープ半導体材料であることを特徴とする請求項3に記載の主電極。
  40. 前記電極導電性材料は、前記第1の面とほぼ同等の面積を持つ層を備えていることを特徴とする請求項339のいずれか1項に記載の主電極。
  41. 前記層は導電性材料および/または半導体材料からなることを特徴とする請求項4に記載の主電極。
  42. 前記電極導電性材料は、各空洞の底部に、空洞導電性材料を含むことを特徴とする請求項3〜4のいずれか1項に記載の主電極。
  43. 前記空洞導電性材料は、前記空洞の底部に堆積され、不活性物質であることを特徴とする請求項4に記載の主電極。
  44. 前記空洞導電性材料は、前記空洞内に事前に堆積され、めっき処理中に少なくとも部分的に消費される材料であることを特徴とする請求項4に記載の主電極。
  45. 前記空洞導電性材料は前記層に電気的に接続していることを特徴とする請求項4、4または4に記載の主電極。
  46. 前記層は略一定の厚さを有していることを特徴とする請求項4〜4のいずれか1項に記載の主電極。
  47. 前記層は、異なる特定導電性を有する複数の層部材を備え、
    前記層部材は相互に重なりあっていることを特徴とする請求項4に記載の主電極。
  48. 少なくとも1つの前記層部材の厚さは、層中心からの距離によって変化することを特徴とする請求項42〜45のいずれか1項に記載の主電極。
  49. 前記電極電源コンタクトは前記層の中央に配置されていることを特徴とする請求項4〜48のいずれか1項に記載の主電極。
  50. 前記電極電源コンタクトは複数の個別コンタクトからなることを特徴とする請求項4〜49のいずれか1項に記載の主電極。
  51. 前記個別コンタクトは、層の中心から所定の半径の所に、少なくとも1つのリングコンタクトまたはリング断片コンタクトを備えていることを特徴とする請求項49または50に記載の主電極。
  52. 各個別コンタクトは、めっき処理またはエッチングの間、特定の電位を供給されることを特徴とする請求項50または51に記載の主電極。
  53. 前記層は略円形であることを特徴とする請求項4〜52のいずれか1項に記載の主電極。
  54. 前記電極導電性材料は、空洞毎に特有の厚みを有することを特徴とする請求項3〜5のいずれか1項に記載の主電極。
  55. 絶縁材料からなるパターン層を有する主電極の空洞に材料を堆積する方法であって、
    電源への外部接続のための接触部を有する導電電極層からなる底部を持つ前記空洞を形成する工程と、
    接触部材を支持部上に配置する工程と、
    接触部材と前記導電電極層の間に少なくとも2つの接触部で電気的接続を確立するために前記主電極を接触部材上に配置する工程と、
    堆積される材料からなる電気めっき陽極を前記主電極上の前記空洞に配置することにより、前記空洞、前記導電電極層および前記電気めっき陽極によって区切られた電解質を含む電気化学セルを形成する工程と、
    前記電気化学セルに電流を流して材料を前記陽極から陰極である前記導電電極層に運び前記材料を空洞内の前記導電電極層上に堆積するために、電源を前記接触部材および前記電気めっき陽極に接続する工程と、
    を含み、
    前記導電電極層の特定導電性が平均して前記電気めっき陽極の特定導電性の0.1〜100倍となるようにしつつ、前記導電電極層と前記電源が互いに接触する部位と前記導電性層上の点との間の電極抵抗および前記電気めっき陽極と前記電源が互いに接触する部位と前記電気めっき陽極上の点との間の基板抵抗を、前記各電気化学セルにおいて所定の電流密度が得られるように適合化させていることを特徴とする方法。
  56. 少なくとも部分的に絶縁材料からなり、導電性材料が配置された複数の空洞を備える第1の面を有するパターン層を備え、前記電極導電性材料は少なくとも1つの電極電源コンタクトに電気的に接続する主電極を用いて基板をエッチングまたはめっきする方法であって、
    前記主電極を支持部上に配置する工程と、
    電解質を空洞に供給する工程と、
    基板を前記主電極上に配置する工程と、を含み、
    前記基板は導電性材料および/または導電性材料からなる構造を備えた上面を有し、
    前記基板導電性材料は少なくとも1つの電源コンタクトに電気的に接続し、
    電解質を含む電気化学セルが前記空洞、前記基板導電性材料および前記電極導電性材料によって区切られて形成され、
    前記電気化学セルに電流を流して材料を前記主電極と前記基板の間に運ぶために、電源を前記電極電源コンタクトおよび前記基板電源コンタクトに接続し、
    前記電極導電性材料の特定導電性が平均して前記基板導電性材料の特定導電性の0.1〜100倍となるようにしつつ、前記電極導電性材料と前記電極電源コンタクトの間の電極抵抗および前記基板導電性材料と前記基板電源コンタクトの間の基板抵抗を、前記各電気化学セルにおいて所定の電流密度が得られるように適合化させていることを特徴とする方法。
JP2008541120A 2005-11-18 2006-11-20 電極およびその形成方法 Expired - Fee Related JP5249040B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
SE0502539 2005-11-18
SE0502538 2005-11-18
SE0502539-0 2005-11-18
SE0502538-2 2005-11-18
PCT/SE2006/001321 WO2007058605A1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming it

Publications (2)

Publication Number Publication Date
JP2009516081A JP2009516081A (ja) 2009-04-16
JP5249040B2 true JP5249040B2 (ja) 2013-07-31

Family

ID=38048911

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2008541119A Pending JP2009516080A (ja) 2005-11-18 2006-11-20 電極およびその形成方法
JP2008541120A Expired - Fee Related JP5249040B2 (ja) 2005-11-18 2006-11-20 電極およびその形成方法
JP2008541118A Pending JP2009516388A (ja) 2005-11-18 2006-11-20 多層構造の形成方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008541119A Pending JP2009516080A (ja) 2005-11-18 2006-11-20 電極およびその形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008541118A Pending JP2009516388A (ja) 2005-11-18 2006-11-20 多層構造の形成方法

Country Status (7)

Country Link
US (12) US20090218233A1 (ja)
EP (3) EP2049710A4 (ja)
JP (3) JP2009516080A (ja)
KR (3) KR101334506B1 (ja)
CN (3) CN101360851B (ja)
DK (2) DK1948850T3 (ja)
WO (3) WO2007058603A1 (ja)

Families Citing this family (219)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100044079A1 (en) * 1999-08-27 2010-02-25 Lex Kosowsky Metal Deposition
US7276801B2 (en) 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
WO2007053543A2 (en) * 2005-10-28 2007-05-10 The Curators Of The University Of Missouri Shock wave and power generation using on-chip nanoenergetic material
WO2007058603A1 (en) 2005-11-18 2007-05-24 Replisaurus Technologies Ab Method of forming a multilayer structure
JP5041214B2 (ja) 2007-06-15 2012-10-03 ソニー株式会社 金属薄膜の形成方法および電子デバイスの製造方法
US7951697B1 (en) 2007-06-20 2011-05-31 Amkor Technology, Inc. Embedded die metal etch stop fabrication method and structure
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
US7923645B1 (en) * 2007-06-20 2011-04-12 Amkor Technology, Inc. Metal etch stop fabrication method and structure
US7958626B1 (en) 2007-10-25 2011-06-14 Amkor Technology, Inc. Embedded passive component network substrate fabrication method
JP5379147B2 (ja) * 2007-10-31 2013-12-25 本田技研工業株式会社 層状構造の燃料電池触媒及び集電体
JP4977587B2 (ja) * 2007-12-06 2012-07-18 シャープ株式会社 太陽電池の製造方法
US8273653B2 (en) * 2008-06-06 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
JP5583894B2 (ja) * 2008-06-12 2014-09-03 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 電気錫めっき液および電気錫めっき方法
US20110089141A1 (en) * 2008-06-17 2011-04-21 Ulvac,Inc. Method for the production of multi-stepped substrate
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
US8455357B2 (en) * 2008-10-10 2013-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of plating through wafer vias in a wafer for 3D packaging
JP4893760B2 (ja) * 2009-01-27 2012-03-07 ソニー株式会社 回路基板の支持構造及び撮像装置
EP2218772A1 (en) * 2009-02-09 2010-08-18 Koninklijke Philips Electronics N.V. Cardiomyocytes-containing device and method for manufacturing the same
JP5556051B2 (ja) * 2009-04-15 2014-07-23 住友ベークライト株式会社 樹脂組成物およびそれを用いた半導体装置
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
WO2011001847A1 (ja) * 2009-07-01 2011-01-06 Jx日鉱日石金属株式会社 Ulsi微細銅配線埋め込み用電気銅めっき液
CN101656198B (zh) * 2009-07-21 2012-08-08 上海大学 导电氧化物薄膜的电刻蚀装置及方法
US8377816B2 (en) 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US9190214B2 (en) * 2009-07-30 2015-11-17 Kemet Electronics Corporation Solid electrolytic capacitors with improved ESR stability
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
DE102009036418B4 (de) * 2009-08-06 2011-06-22 Siemens Aktiengesellschaft, 80333 Wellenleiter, insbesondere beim Dielektrikum-Wand-Beschleuniger
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8598031B2 (en) 2009-09-28 2013-12-03 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
CN102598883A (zh) 2009-10-30 2012-07-18 松下电器产业株式会社 电路板以及在电路板上安装有元件的半导体装置
US9332642B2 (en) 2009-10-30 2016-05-03 Panasonic Corporation Circuit board
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9012766B2 (en) 2009-11-12 2015-04-21 Silevo, Inc. Aluminum grid as backside conductor on epitaxial silicon thin film solar cells
JP4768848B2 (ja) * 2009-12-07 2011-09-07 株式会社東芝 電鋳用原盤及びその製造方法
JP2011128185A (ja) * 2009-12-15 2011-06-30 Shinano Kenshi Co Ltd 光走査装置
CN102110866B (zh) * 2009-12-24 2013-08-28 深南电路有限公司 波导槽制作工艺
US11155493B2 (en) 2010-01-16 2021-10-26 Cardinal Cg Company Alloy oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10000411B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductivity and low emissivity coating technology
US10000965B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductive coating technology
US9862640B2 (en) 2010-01-16 2018-01-09 Cardinal Cg Company Tin oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10060180B2 (en) 2010-01-16 2018-08-28 Cardinal Cg Company Flash-treated indium tin oxide coatings, production methods, and insulating glass unit transparent conductive coating technology
CN102713002B (zh) * 2010-01-28 2014-08-27 独立行政法人科学技术振兴机构 图案化导电膜的形成方法
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8524337B2 (en) 2010-02-26 2013-09-03 Guardian Industries Corp. Heat treated coated article having glass substrate(s) and indium-tin-oxide (ITO) inclusive coating
US8304045B2 (en) 2010-02-26 2012-11-06 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
US8815059B2 (en) * 2010-08-31 2014-08-26 Guardian Industries Corp. System and/or method for heat treating conductive coatings using wavelength-tuned infrared radiation
US8293344B2 (en) 2010-02-26 2012-10-23 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
WO2011108540A1 (ja) 2010-03-03 2011-09-09 国立大学法人大阪大学 ヌクレオチドを識別する方法および装置、ならびにポリヌクレオチドのヌクレオチド配列を決定する方法および装置
DE102010010348A1 (de) * 2010-03-05 2011-09-08 Albert-Ludwigs-Universität Freiburg Implantierbare Vorrichtung zum Erfassen einer Gefäßwanddehnung
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20110272287A1 (en) * 2010-05-07 2011-11-10 International Business Machines Corporation Method for patterning magnetic films
KR101119251B1 (ko) * 2010-06-07 2012-03-16 삼성전기주식회사 터치패널
US9214576B2 (en) 2010-06-09 2015-12-15 Solarcity Corporation Transparent conducting oxide for photovoltaic devices
WO2011158698A1 (ja) * 2010-06-15 2011-12-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置
US8865497B2 (en) 2010-06-25 2014-10-21 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
CN101856302B (zh) * 2010-07-08 2012-08-22 上海交通大学 异步多通路刺激电针灸针及其制备方法
EP2593592B1 (en) * 2010-07-15 2018-05-16 Luxembourg Institute of Science and Technology (LIST) Separation of master electrode and substrate in ecpr
CN103154081B (zh) * 2010-08-06 2015-11-25 普罗米鲁斯有限责任公司 用于微电子组装件的聚合物组合物
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US9773928B2 (en) 2010-09-10 2017-09-26 Tesla, Inc. Solar cell with electroplated metal grid
US8127979B1 (en) * 2010-09-25 2012-03-06 Intel Corporation Electrolytic depositon and via filling in coreless substrate processing
US9800053B2 (en) 2010-10-08 2017-10-24 Tesla, Inc. Solar panels with integrated cell-level MPPT devices
US8329021B2 (en) * 2010-10-28 2012-12-11 Palmaz Scientific, Inc. Method for mass transfer of micro-patterns onto medical devices
US9018100B2 (en) * 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
US8791501B1 (en) 2010-12-03 2014-07-29 Amkor Technology, Inc. Integrated passive device structure and method
JP5868155B2 (ja) * 2010-12-13 2016-02-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 半導体の電気化学エッチング
TW201227872A (en) * 2010-12-16 2012-07-01 Nat Applied Res Laboratories Metal wire structure and manufacturing method thereof
JP5644466B2 (ja) * 2010-12-17 2014-12-24 富士電機株式会社 半導体装置の製造方法
WO2012084045A1 (en) * 2010-12-23 2012-06-28 Replisaurus Group Sas Master electrode for ecpr and manufacturing methods thereof
WO2012084047A1 (en) 2010-12-23 2012-06-28 Replisaurus Group Sas An ecpr master electrode and a method for providing such ecpr master electrode
EP2655701B1 (en) 2010-12-23 2018-08-29 Luxembourg Institute of Science and Technology (LIST) A method for providing an ecpr master electrode and an ecpr master electrode
EP2655699B1 (en) * 2010-12-23 2019-06-26 Luxembourg Institute of Science and Technology (LIST) An ecpr master electrode, and a method for providing such master electrode
CN103442840B (zh) 2011-01-13 2015-07-01 落叶松科学有限公司 导电晶种层的激光移除
JP5196086B2 (ja) * 2011-02-09 2013-05-15 大日本印刷株式会社 金めっき層を有するステンレス基板とステンレス基板への部分金めっきパターンの形成方法
CN102634829A (zh) * 2011-02-10 2012-08-15 林宏明 具有图案的网板模具及于工件上形成图案的方法
CN102168295B (zh) * 2011-02-15 2012-05-30 艾荻环境技术(上海)有限公司 具有选择性吸收功能的复合材料涂层
CN103702714B (zh) * 2011-04-15 2016-08-24 柯泰克股份有限公司 神经电极以及制造该神经电极的方法
JP5833110B2 (ja) * 2011-05-10 2015-12-16 パナソニックヘルスケアホールディングス株式会社 生体試料測定装置とそれを用いた生体試料測定方法
US9054256B2 (en) 2011-06-02 2015-06-09 Solarcity Corporation Tunneling-junction solar cell with copper grid for concentrated photovoltaic application
EP2533273B1 (en) 2011-06-07 2017-02-08 Luxembourg Institute of Science and Technology (LIST) An ECPR master electrode, and a method for providing such master electrode
KR20130006794A (ko) * 2011-06-23 2013-01-18 삼성전자주식회사 미세 패턴 형성 방법 및 반도체 소자의 제조 방법
CN102856277B (zh) * 2011-06-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 石墨烯导电插塞及其形成方法
TW201308616A (zh) * 2011-08-03 2013-02-16 Motech Ind Inc 於基板上形成導電性圖案之方法
US8921165B2 (en) * 2011-08-03 2014-12-30 Cavendish Kinetics, Inc. Elimination of silicon residues from MEMS cavity floor
CN102629035A (zh) * 2011-09-29 2012-08-08 京东方科技集团股份有限公司 薄膜晶体管阵列基板及其制造方法
JP2013093412A (ja) * 2011-10-25 2013-05-16 Showa Denko Kk 発光ダイオード、発光ダイオードの製造方法、発光ダイオードランプ及び照明装置
US9263611B2 (en) * 2011-11-17 2016-02-16 Solar Junction Corporation Method for etching multi-layer epitaxial material
JP5504298B2 (ja) * 2012-02-22 2014-05-28 アオイ電子株式会社 振動発電素子およびその製造方法
RU2497230C1 (ru) * 2012-03-19 2013-10-27 Сергей Николаевич Максимовский Способ создания многослойной наноструктуры
JP5673592B2 (ja) * 2012-04-10 2015-02-18 Tdk株式会社 配線基板及びその製造方法
US20130316180A1 (en) * 2012-05-07 2013-11-28 Case Western Reserve University Biocompatible Packaging Suitable for Long-term Implantation and Method of Formation
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
CN102766892B (zh) * 2012-08-10 2015-04-29 中国科学院重庆绿色智能技术研究院 微纳加工方法和设备
EP2904643B1 (en) 2012-10-04 2018-12-05 SolarCity Corporation Solar cell with electroplated metal grid
US9865754B2 (en) 2012-10-10 2018-01-09 Tesla, Inc. Hole collectors for silicon photovoltaic cells
JP5294288B1 (ja) * 2012-10-30 2013-09-18 株式会社Leap 樹脂基板を用い、電気鋳造によりコイル素子を製造する方法
KR20150079934A (ko) * 2012-10-30 2015-07-08 가부시키가이샤 리프 코일 소자의 제조 방법
FR2999805B1 (fr) * 2012-12-17 2017-12-22 Commissariat Energie Atomique Procede de realisation d'un dispositif de detection infrarouge
US8846529B2 (en) 2013-01-10 2014-09-30 International Business Machines Corporation Electroless plating of cobalt alloys for on chip inductors
US9412884B2 (en) 2013-01-11 2016-08-09 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US10074755B2 (en) 2013-01-11 2018-09-11 Tesla, Inc. High efficiency solar panel
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
ES2705199T3 (es) 2013-01-17 2019-03-22 Atotech Deutschland Gmbh Contactos eléctricos galvanizados para módulos solares
KR101464860B1 (ko) * 2013-02-06 2014-11-24 인천대학교 산학협력단 알릴 알콜을 포함하는 금속 씨앗층 평탄제 및 이를 이용한 씨앗층의 형성방법
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8956975B2 (en) 2013-02-28 2015-02-17 International Business Machines Corporation Electroless plated material formed directly on metal
US20140252571A1 (en) * 2013-03-06 2014-09-11 Maxim Integrated Products, Inc. Wafer-level package mitigated undercut
US20140261661A1 (en) * 2013-03-13 2014-09-18 Gtat Corporation Free-standing metallic article with overplating
US8816492B1 (en) * 2013-03-13 2014-08-26 Qualtre, Inc. Method and apparatus for isolating MEMS devices from external stimuli
JP6198456B2 (ja) * 2013-05-20 2017-09-20 東京エレクトロン株式会社 基板の処理方法及びテンプレート
US9624595B2 (en) 2013-05-24 2017-04-18 Solarcity Corporation Electroplating apparatus with improved throughput
CN104233418A (zh) * 2013-06-21 2014-12-24 镇江江城金属制品有限公司 金属标牌的电镀方法
KR101736013B1 (ko) 2013-07-03 2017-05-24 시온 파워 코퍼레이션 재충전형 리튬 배터리를 비롯한 전기화학 전지에서의 전극 보호를 위한 세라믹/중합체 매트릭스
US9126452B2 (en) * 2013-07-29 2015-09-08 Xerox Corporation Ultra-fine textured digital lithographic imaging plate and method of manufacture
JP5786906B2 (ja) 2013-08-02 2015-09-30 オムロン株式会社 電鋳部品の製造方法
CN103441079B (zh) * 2013-09-12 2015-10-28 江阴长电先进封装有限公司 一种晶圆级高密度布线制备方法
EP3047282B1 (en) 2013-09-18 2019-05-15 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
DE102013219342A1 (de) * 2013-09-26 2015-03-26 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Strukturierung von Schichten oxidierbarer Materialien mittels Oxidation sowie Substrat mit strukturierter Beschichtung
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
JP2015077652A (ja) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 ナノギャップ電極およびその製造方法
CN103515353B (zh) * 2013-10-18 2016-08-31 上海华力微电子有限公司 一种光刻胶填充式金属互连结构及其制造方法
JP5735093B1 (ja) * 2013-12-24 2015-06-17 株式会社マテリアル・コンセプト 太陽電池及びその製造方法
US9791470B2 (en) * 2013-12-27 2017-10-17 Intel Corporation Magnet placement for integrated sensor packages
US10315399B2 (en) * 2013-12-31 2019-06-11 Entrotech, Inc. Methods for application of polymeric film and related assemblies
KR101566851B1 (ko) 2013-12-31 2015-11-06 (재)한국나노기술원 전기도금 공정을 이용한 자기제어 이황화몰리브덴 단일층의 합성 방법 및 이에 의해 제조된 자기제어 이황화몰리브덴 단일층을 이용한 트랜지스터
CN103767704B (zh) * 2014-01-20 2015-08-26 上海交通大学 一种用于脑电测量的准干电极及其制备方法
US10490796B2 (en) 2014-02-19 2019-11-26 Sion Power Corporation Electrode protection using electrolyte-inhibiting ion conductor
KR102316170B1 (ko) 2014-02-19 2021-10-21 시온 파워 코퍼레이션 전해질-억제 이온 전도체를 포함하는 복합체를 사용한 전극 보호
EP2918707B1 (en) 2014-03-12 2019-05-22 Rolls-Royce North American Technologies, Inc. Anisotropic etching of metallic substrates
EP3128000B1 (en) * 2014-03-31 2018-05-16 Panasonic Intellectual Property Management Co., Ltd. Electrochemical measurement device
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
TWI543685B (zh) * 2014-04-28 2016-07-21 旭德科技股份有限公司 基板結構及其製作方法
WO2015170782A1 (en) 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
CN105319241A (zh) * 2014-07-04 2016-02-10 中国科学院苏州纳米技术与纳米仿生研究所 柔性气敏传感器及其制备方法
CN104152979B (zh) * 2014-09-04 2017-02-01 蒙家革 一种电解蚀刻头和数控电解蚀刻系统及蚀刻方法
CN104287698B (zh) * 2014-09-16 2016-04-06 苏州能斯达电子科技有限公司 用于颈部脉搏检测的柔性可贴附传感器及其制备方法
CN106714984A (zh) 2014-09-23 2017-05-24 通用线缆技术公司 用于形成电化学沉积到金属基底上的保护性涂层的电沉积介质
TWI561462B (en) * 2014-10-07 2016-12-11 Iner Aec Executive Yuan A method for forming dendritic silver with periodic structure as light-trapping layer
CN104388994B (zh) * 2014-10-09 2017-10-24 中国电子科技集团公司第五十五研究所 减小电镀层图形失真的方法
US9899546B2 (en) 2014-12-05 2018-02-20 Tesla, Inc. Photovoltaic cells with electrodes adapted to house conductive paste
CN104538496B (zh) * 2014-12-26 2018-01-12 新奥光伏能源有限公司 一种高效硅异质结太阳能电池电镀电极制备方法
WO2016108077A1 (en) * 2014-12-31 2016-07-07 Essilor International (Compagnie Generale D'optique) Method of mirror coating an optical article and article thereby obtained
US9947822B2 (en) 2015-02-02 2018-04-17 Tesla, Inc. Bifacial photovoltaic module using heterojunction solar cells
US9617648B2 (en) * 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
LU92716B1 (en) 2015-05-13 2017-03-02 Luxembourg Inst Science & Tech List Method of forming local nono/micro size structures of anodized metal
JP6437387B2 (ja) * 2015-05-25 2018-12-12 東芝メモリ株式会社 基板平坦化方法
US11284521B2 (en) * 2015-06-30 2022-03-22 3M Innovative Properties, Company Electronic devices comprising a via and methods of forming such electronic devices
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US9633971B2 (en) 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
DE102015213259A1 (de) * 2015-07-15 2017-01-19 Schaeffler Technologies AG & Co. KG Kunststoffbauteil sowie Verfahren zur Herstellung eines Kunststoffbauteils
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
US9842956B2 (en) 2015-12-21 2017-12-12 Tesla, Inc. System and method for mass-production of high-efficiency photovoltaic structures
DE102015226196A1 (de) * 2015-12-21 2017-06-22 Robert Bosch Gmbh Mobile Funktionsvorrichtung
WO2017115819A1 (ja) * 2015-12-28 2017-07-06 信越化学工業株式会社 積層体の製造方法
US9496429B1 (en) 2015-12-30 2016-11-15 Solarcity Corporation System and method for tin plating metal electrodes
KR102405203B1 (ko) * 2016-01-28 2022-06-02 도쿄엘렉트론가부시키가이샤 금속 산화물의 스핀온 퇴적 방법
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
US10115838B2 (en) 2016-04-19 2018-10-30 Tesla, Inc. Photovoltaic structures with interlocking busbars
CN105789479B (zh) 2016-04-22 2018-09-07 京东方科技集团股份有限公司 Oled及其制备方法、以及oled显示装置
JP6905543B2 (ja) 2016-06-15 2021-07-21 イーストマン ケミカル カンパニー 物理蒸着したバイオセンサー部品
EP3266738B1 (fr) * 2016-07-06 2019-03-06 The Swatch Group Research and Development Ltd. Procédé de fabrication d'une pièce d'horlogerie dotée d'un élément d'habillage multi-niveaux
GB2553154B (en) * 2016-08-22 2019-11-20 Cirrus Logic Int Semiconductor Ltd MEMS device
US11624723B2 (en) 2016-09-16 2023-04-11 Eastman Chemical Company Biosensor electrodes prepared by physical vapor deposition
CN109689880B (zh) 2016-09-16 2022-12-13 伊士曼化工公司 通过物理气相沉积制备的生物传感器电极
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US10157792B2 (en) * 2016-10-27 2018-12-18 Nxp Usa, Inc. Through substrate via (TSV) and method therefor
KR102299950B1 (ko) 2017-01-05 2021-09-08 주식회사 엘지에너지솔루션 소수성 표면처리를 이용한 배터리 모듈 및 제작방법
US20180207725A1 (en) * 2017-01-23 2018-07-26 The Chinese University Of Hong Kong System and method for fabricating 3d metal structure
CN108456900B (zh) * 2017-01-23 2022-03-04 日东电工株式会社 配线电路基板的制造方法
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10739299B2 (en) * 2017-03-14 2020-08-11 Roche Sequencing Solutions, Inc. Nanopore well structures and methods
US11313040B2 (en) * 2017-03-24 2022-04-26 Embraco Indústria De Compressores E Soluçôes Em Refrigeraçâo Ltda. Plasma-assisted process of ceramization of polymer precursor on surface, surface comprising ceramic polymer
CN107177866B (zh) * 2017-04-28 2019-03-05 大连理工大学 金属基底上制备微射频t形功分器的方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11881549B2 (en) 2017-06-22 2024-01-23 Eastman Chemical Company Physical vapor deposited electrode for electrochemical sensors
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN109066540A (zh) * 2018-06-06 2018-12-21 荣马电器有限公司 一种安全供电滑触母线
CN108668452A (zh) * 2018-06-12 2018-10-16 江苏博敏电子有限公司 一种pcb精细线路电解蚀刻与铜回收关联技术
TWI663396B (zh) * 2018-06-29 2019-06-21 昇陽國際半導體股份有限公司 電化學感測器之工作電極製作方法及其產品
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
LU100919B1 (en) 2018-08-27 2020-02-27 Luxembourg Inst Science & Tech List Metal-CNT composite, production method and materials therefor
CN109461844B (zh) * 2018-10-09 2020-02-18 深圳市华星光电技术有限公司 柔性基板的制造方法
CN109604126B (zh) * 2018-10-31 2021-12-10 博罗县东明化工有限公司 铝合金喷涂前处理方法
US11028012B2 (en) 2018-10-31 2021-06-08 Cardinal Cg Company Low solar heat gain coatings, laminated glass assemblies, and methods of producing same
WO2020101180A1 (ko) * 2018-11-13 2020-05-22 주식회사 애니캐스팅 돌출전극부가 배열된 다중배열전극 및 이의 제조 방법, 다중배열전극을 이용한 유기 증착 마스크 제조 방법
KR102075064B1 (ko) * 2018-11-13 2020-02-07 (주)애니캐스팅 돌출전극부가 배열된 다중배열전극 및 이를 제조하는 방법
US10734338B2 (en) * 2018-11-23 2020-08-04 Nanya Technology Corporation Bonding pad, semiconductor structure, and method of manufacturing semiconductor structure
CN113851410A (zh) * 2018-11-23 2021-12-28 苏州迈为科技股份有限公司 一种电池片印刷对位方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111326477B (zh) * 2018-12-14 2022-12-09 中芯集成电路(宁波)有限公司 电镀方法
CN109587945B (zh) * 2018-12-26 2024-03-01 珠海超群电子科技有限公司 一种fpc板及其制作工艺
TWI675201B (zh) * 2019-01-23 2019-10-21 昇陽國際半導體股份有限公司 電化學感測器之工作電極製作方法及其產品
CN110629262B (zh) * 2019-08-20 2020-11-27 北京大学 一种表面等离激元超材料的制备方法
EP3786722A1 (fr) 2019-08-27 2021-03-03 Comadur S.A. Procede de decoration d'une piece mecanique
CN110510889B (zh) * 2019-09-12 2021-11-16 上海理工大学 一种氮氧化钛薄膜及其基于激光剥离技术的制备方法
CN110933540A (zh) * 2019-12-09 2020-03-27 西安鼎蓝通信技术有限公司 一种适用于音响的降噪密封圈及其制备方法
CN110938841A (zh) * 2019-12-19 2020-03-31 新邵辰州锑业有限责任公司 新型板状石墨阳极
CN111063851B (zh) * 2019-12-30 2022-02-18 江苏厚生新能源科技有限公司 一种图案分布式涂胶隔膜的制备方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210388521A1 (en) * 2020-06-15 2021-12-16 Arizona Board Of Regents On Behalf Of Arizona State University Localized electrochemical deposition
US20220235481A1 (en) * 2021-01-26 2022-07-28 Seagate Technology Llc Selective screen electroplating
DE102021109185A1 (de) 2021-04-13 2022-10-13 Gülnur Akbulut Brandes Vorrichtung mit einer leitfähigen Beschichtung zum Transport von elektronischen Bauteilen und Verfahren zu deren Herstellung
CN113473728B (zh) * 2021-06-11 2024-05-10 江西省新重力电子有限公司 一种pcb板的金粉的配方和制作工艺
IT202100022757A1 (it) * 2021-09-02 2023-03-02 Metaly S R L Metodo di decorazione superfici trattate con rivestimento pvd e superfici decorate ottenute

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3745094A (en) * 1971-03-26 1973-07-10 Ibm Two resist method for printed circuit structure
JPS5456619U (ja) * 1977-09-28 1979-04-19
US4158612A (en) * 1977-12-27 1979-06-19 The International Nickel Company, Inc. Polymeric mandrel for electroforming and method of electroforming
JPS62196392A (ja) * 1986-02-25 1987-08-29 Nippon Steel Corp 鋼帯巾方向ストライプメツキロ−ル給電方法
JPH0685464A (ja) * 1992-09-02 1994-03-25 Hitachi Ltd 多層配線基板の製造方法
US5612153A (en) * 1995-04-13 1997-03-18 Valence Technology, Inc. Battery mask from radiation curable and thermoplastic materials
JP3444090B2 (ja) * 1996-04-22 2003-09-08 日清紡績株式会社 プラズマ処理装置用保護部材
JPH10256251A (ja) * 1997-03-13 1998-09-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
DE69842001D1 (de) * 1997-04-04 2010-12-30 Univ Southern California Galvanisches verfahren zur herstellung einer mehrlagenstruktur
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
WO1999045179A1 (en) * 1998-03-05 1999-09-10 Obducat Ab Method of etching
JPH11284066A (ja) * 1998-03-26 1999-10-15 Ricoh Co Ltd 半導体装置およびその製造方法
DE19935558B4 (de) * 1999-07-30 2010-11-25 Nawotec Gmbh Verfahren zur Erzeugung von Strukturen in einem Substrat im Nanometerbereich
US6355147B1 (en) * 1999-12-10 2002-03-12 Sandia Corporation Porous electrode apparatus for electrodeposition of detailed metal structures or microelectronic interconnections
KR20020092444A (ko) * 2001-02-23 2002-12-11 가부시키 가이샤 에바라 세이사꾸쇼 구리-도금 용액, 도금 방법 및 도금 장치
SE523309E (sv) * 2001-06-15 2010-03-02 Replisaurus Technologies Ab Metod, elektrod och apparat för att skapa mikro- och nanostrukturer i ledande material genom mönstring med masterelektrod och elektrolyt
US20050032375A1 (en) * 2003-05-07 2005-02-10 Microfabrica Inc. Methods for electrochemically fabricating structures using adhered masks, incorporating dielectric sheets, and/or seed layers that are partially removed via planarization
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6593224B1 (en) * 2002-03-05 2003-07-15 Bridge Semiconductor Corporation Method of manufacturing a multilayer interconnect substrate
US7384530B2 (en) * 2002-05-07 2008-06-10 Microfabrica Inc. Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials
JP4434013B2 (ja) * 2002-05-07 2010-03-17 ユニバーシティ オブ サザン カリフォルニア 適合接触マスクめっきを用いてめっき工程を行っている際に堆積の品質を測定する方法および装置
KR20050016867A (ko) * 2002-05-07 2005-02-21 마이크로패브리카 인크. 전기화학적 제조 공정
US6884692B2 (en) * 2002-08-29 2005-04-26 Micron Technology, Inc. Method for forming conductive material in opening and structures regarding same
US7229544B2 (en) * 2002-10-01 2007-06-12 University Of Southern California Multi-cell masks and methods and apparatus for using such masks to form three-dimensional structures
US20040099534A1 (en) * 2002-11-27 2004-05-27 James Powers Method and apparatus for electroplating a semiconductor wafer
US6875322B1 (en) * 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
JP3787630B2 (ja) * 2003-02-14 2006-06-21 独立行政法人情報通信研究機構 ナノギャップ電極の製造方法
US7189146B2 (en) * 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20060249391A1 (en) * 2003-04-09 2006-11-09 Sungho Jin High resolution electrolytic lithography, apparatus therefor and resulting products
TWI232843B (en) * 2003-05-07 2005-05-21 Microfabrica Inc Electrochemical fabrication methods including use of surface treatments to reduce overplating and/or planarization during formation of multi-layer three-dimensional structures
TW200504928A (en) * 2003-06-20 2005-02-01 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
US20050215046A1 (en) * 2003-06-27 2005-09-29 Cohen Adam L Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
EP1711961A4 (en) * 2003-12-31 2008-10-22 Microfabrica Inc METHOD AND DEVICE FOR MAINTAINING THE LAYER PARALLELISM AND / OR OBTAINING LAYERED THICKNESS DURING THE ELECTROCHEMICAL MANUFACTURE OF STRUCTURES
US7838868B2 (en) * 2005-01-20 2010-11-23 Nanosolar, Inc. Optoelectronic architecture having compound conducting substrate
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
WO2007058603A1 (en) 2005-11-18 2007-05-24 Replisaurus Technologies Ab Method of forming a multilayer structure

Also Published As

Publication number Publication date
US20090218233A1 (en) 2009-09-03
CN101360850A (zh) 2009-02-04
EP2049710A4 (en) 2012-07-04
JP2009516081A (ja) 2009-04-16
KR101486587B1 (ko) 2015-01-26
EP1948852A4 (en) 2016-10-12
WO2007058604A1 (en) 2007-05-24
US8617362B2 (en) 2013-12-31
US20120267241A1 (en) 2012-10-25
KR101334506B1 (ko) 2013-12-02
US9441309B2 (en) 2016-09-13
EP1948852A1 (en) 2008-07-30
CN101360851A (zh) 2009-02-04
CN101360849B (zh) 2013-05-15
EP1948850A1 (en) 2008-07-30
US20090229857A1 (en) 2009-09-17
US20120279866A1 (en) 2012-11-08
US20090229855A1 (en) 2009-09-17
KR20080100807A (ko) 2008-11-19
EP1948852B1 (en) 2018-08-29
DK1948852T3 (da) 2019-01-02
EP2049710A1 (en) 2009-04-22
KR20080099233A (ko) 2008-11-12
CN101360850B (zh) 2011-08-31
US20120305390A1 (en) 2012-12-06
US20140110265A1 (en) 2014-04-24
US20090071837A1 (en) 2009-03-19
WO2007058603A1 (en) 2007-05-24
EP1948850A4 (en) 2012-07-04
EP1948850B1 (en) 2019-04-17
JP2009516388A (ja) 2009-04-16
WO2007058605A1 (en) 2007-05-24
CN101360851B (zh) 2011-09-21
KR101424824B1 (ko) 2014-08-01
JP2009516080A (ja) 2009-04-16
US20090205967A1 (en) 2009-08-20
DK1948850T3 (da) 2019-07-29
US20090229856A1 (en) 2009-09-17
CN101360849A (zh) 2009-02-04
US20090229854A1 (en) 2009-09-17
US20090183992A1 (en) 2009-07-23
KR20080100808A (ko) 2008-11-19

Similar Documents

Publication Publication Date Title
JP5249040B2 (ja) 電極およびその形成方法
CN1685086B (zh) 电抛光和电镀方法
TWI267912B (en) Novel method to implement stress free polishing
US20030209445A1 (en) Device providing electrical contact to the surface of a semiconductor workpiece during processing
US6756307B1 (en) Apparatus for electrically planarizing semiconductor wafers
JP2000208443A (ja) 電子装置の製造方法および製造装置
KR100859899B1 (ko) 반도체 기판상의 구리 막을 연마하는 전기 화학적 방법
US7695597B1 (en) Conductive planarization assembly for electrochemical mechanical planarization of a work piece
JP2023528977A (ja) バリア層除去方法
US20030168345A1 (en) In-situ monitor seed for copper plating
US7391086B1 (en) Conductive contacts and methods for fabricating conductive contacts for elctrochemical planarization of a work piece
JP2010033753A (ja) 微細構造体およびその製造方法
KR100731082B1 (ko) 반도체 소자 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091113

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20101228

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120614

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120731

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121030

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130218

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130411

R150 Certificate of patent or registration of utility model

Ref document number: 5249040

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees