JP2009516080A - 電極およびその形成方法 - Google Patents

電極およびその形成方法 Download PDF

Info

Publication number
JP2009516080A
JP2009516080A JP2008541119A JP2008541119A JP2009516080A JP 2009516080 A JP2009516080 A JP 2009516080A JP 2008541119 A JP2008541119 A JP 2008541119A JP 2008541119 A JP2008541119 A JP 2008541119A JP 2009516080 A JP2009516080 A JP 2009516080A
Authority
JP
Japan
Prior art keywords
layer
main electrode
carrier
insulating
insulating pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008541119A
Other languages
English (en)
Other versions
JP2009516080A5 (ja
Inventor
フリーデンベルグ,ミカエル
メーラー,パトリク
ウィウェン−ニールソン,ピーター
アロンソン,セシリア
ダイネーゼ,マテオ
Original Assignee
レプリソールス テクノロジーズ アーベー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レプリソールス テクノロジーズ アーベー filed Critical レプリソールス テクノロジーズ アーベー
Publication of JP2009516080A publication Critical patent/JP2009516080A/ja
Publication of JP2009516080A5 publication Critical patent/JP2009516080A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0075Manufacture of substrate-free structures
    • B81C99/0085Manufacture of substrate-free structures using moulds and master templates, e.g. for hot-embossing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • C23C14/3414Metallurgical or chemical aspects of target preparation, e.g. casting, powder metallurgy
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/0033D structures, e.g. superposed patterned layers
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D1/00Electroforming
    • C25D1/10Moulds; Masks; Masterforms
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/02Electroplating of selected surface areas
    • C25D5/022Electroplating of selected surface areas using masking means
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/10Electroplating with more than one layer of the same or of different metals
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D5/00Electroplating characterised by the process; Pretreatment or after-treatment of workpieces
    • C25D5/48After-treatment of electroplated surfaces
    • C25D5/50After-treatment of electroplated surfaces by heat-treatment
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D7/00Electroplating characterised by the article coated
    • C25D7/12Semiconductors
    • C25D7/123Semiconductors first coated with a seed layer or a conductive layer
    • C25D7/126Semiconductors first coated with a seed layer or a conductive layer for solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25FPROCESSES FOR THE ELECTROLYTIC REMOVAL OF MATERIALS FROM OBJECTS; APPARATUS THEREFOR
    • C25F3/00Electrolytic etching or polishing
    • C25F3/02Etching
    • C25F3/14Etching locally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/02Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding
    • H05K3/06Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process
    • H05K3/07Apparatus or processes for manufacturing printed circuits in which the conductive material is applied to the surface of the insulating support and is thereafter removed from such areas of the surface which are not intended for current conducting or shielding the conductive material being removed chemically or electrolytically, e.g. by photo-etch process being removed electrolytically
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/24Reinforcing the conductive pattern
    • H05K3/241Reinforcing the conductive pattern characterised by the electroplating method; means therefor, e.g. baths or apparatus
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/46Manufacturing multilayer circuits
    • H05K3/4644Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits
    • H05K3/4647Manufacturing multilayer circuits by building the multilayer layer by layer, i.e. build-up multilayer circuits by applying an insulating layer around previously made via studs
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/01Tools for processing; Objects used during processing
    • H05K2203/0104Tools for processing; Objects used during processing for patterning or coating
    • H05K2203/0117Pattern shaped electrode used for patterning, e.g. plating or etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2203/00Indexing scheme relating to apparatus or processes for manufacturing printed circuits covered by H05K3/00
    • H05K2203/07Treatments involving liquids, e.g. plating, rinsing
    • H05K2203/0703Plating
    • H05K2203/0733Method for plating stud vias, i.e. massive vias formed by plating the bottom of a hole without plating on the walls
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/108Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by semi-additive methods; masks therefor
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10T156/10Methods of surface bonding and/or assembly therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrochemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Sustainable Development (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Micromachines (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

基板を用いて電気化学セルを形成するための電極、およびその電極の製造方法。電極は、前側にパターニングされた絶縁層(7)を有するキャリア(1)を備える。電極層(4)の導電性材料がパターニングされた絶縁層の空洞にキャリアに接触して成膜される。接続層(5)が、キャリアの裏側にキャリアに接触して形成される。電極の周辺部は絶縁材料により被覆される。
【選択図】 図3

Description

本発明は、主電極およびその形成方法に関する。この主電極はエッチングまたはめっき方法に有用であり、このことは本出願と同時に提出された同時係属スイス特許出願0502538−2号「多層構造の形成方法」(代理人整理番号P52190002)にも記載されている。なお、この特許明細書の内容は参照によって本明細書に編入される。この主電極はマイクロおよびナノスケールの単層または多層構造に係るアプリケーションの製造を可能とする。この主電極は、プリント配線基板(printed wiring boards:PWB)、プリント基板(printed circuit boards:PCB)、マイクロ電子機械システム(micro electro mechanical systems:MEMS)、集積回路(integrated circuit:IC)相互接続、上記IC相互接続、センサ、フラットパネルディスプレイ、磁気および光学式記憶装置、太陽電池、およびその他の電子デバイスの製造に有用である。この主電極によれば、異なる導電性ポリマー構造、半導体構造、金属構造等の製造が可能であり、多孔性シリコンの形成によるシリコンの三次元構造でさえ製造可能となる。
特許文献1はマイクロおよびナノ構造に係るアプリケーションの製造のための電気化学パターン複製方法、ECPR(electrochemical pattern replication)、および導電性主電極の形成に関する技術を開示している。それによると、主電極により規定されるエッチングパターンまたはめっきパターンが、導電性材料からなる基板上に複製される。主電極は基板に密着しており、エッチング/めっきパターンはコンタクトエッチング/めっき処理により直接基板上に転写される。コンタクトエッチング/めっき処理は、主電極と基板の間の閉じたもしくは開いた空洞内に形成された局所エッチングセル/めっきセルにおいて実施される。
主電極は、構造が形成される基板と共に、エッチングまたはめっき処理により少なくとも1つの、通常複数の、電気化学セルを形成するために使用される。
主電極は、複数回のエッチングまたはめっき処理での使用に耐え得るように、耐久性材料から構成されていると良い。
国際公開第WO02/103085号公報
主電極は、基板上に先に形成されたパターンとそのパターンが整合するように、基板上に精密に調整して配置されなければならない。
また、主電極は、基板がトポグラフィを有する場合、基板に近接して配置されなければならない。
さらに、エッチング速度またはめっき速度は、他の領域に比べシード層の接触領域に近い、例えば周辺部の電気化学セルにおいてより高くなることがある。
さらなる問題は以下の記述から明らかになるであろう。
本発明の目的は、上記問題の少なくとも一部を解決または軽減できる電極を提供することにある。
本発明の別の目的は、複数回のエッチングまたはめっき処理に使用できる主電極を提供することにある。
本発明のさらに別の目的は、基板上に先に形成されたパターンに対して調整できる主電極を提供することにある。
本発明のさらに別の目的は、シード層の接触領域に対する電気化学セルの位置に関係なく、セルにおけるエッチング速度またはめっき速度の均一性を向上できる主電極を提供することにある。
本発明のさらに別の目的は、トポグラフィを有する基板に近接して配置できる主電極を提供することにある。
本発明のある様態によれば、主電極の形成方法は、導電性材料または半導体材料からなる前面および背面を有するディスクを用意する工程と、ディスクの少なくとも一部を囲む絶縁コーティング層を形成する工程と、電極形成導電性材料からなり、前記ディスクに前記絶縁コーティング層の少なくとも1つの開口を介して電気的に接続する導電電極層を前面の少なくとも一部に形成する工程と、少なくとも1つの空洞を前記導電電極層上に持つ絶縁パターン層を形成する工程とを有する。この方法は、前記ディスクに絶縁コーティング層の少なくとも1つの開口を介して電気的に接続する導電性材料からなる接触層を背面の少なくとも一部に形成する工程をさらに有しても良い。
別の様態によれば、主電極の形成方法は、絶縁材料からなり、前面および背面を有する絶縁ディスクを用意する工程と、前記導電性材料からなる絶縁ディスクに接続ビアを形成する工程と、導電性材料からなり前記ビアに電気的に接続する電極層を前面の少なくとも一部に形成する工程と、少なくとも1つの空洞を前記電極層上に持つ絶縁パターン層を形成する工程とを有する。この方法は、前記ビアに電気的に接続する導電性材料からなる接触層を背面の少なくとも一部に形成する工程をさらに有しても良い。
さらに別の様態によれば、主電極の形成方法は、導電性材料および/または半導体材料からなる少なくとも1つの層からなるディスクを用意する工程と、少なくとも部分的に絶縁材料からなる少なくとも1つの層からなる絶縁層を形成する工程と、前記絶縁材料に少なくとも1つの凹みを形成する工程と、電極形成導電性材料からなる導電電極層を各凹みに形成する工程と、前記絶縁層の裏側に少なくとも1つの凹みを形成する工程とを有する。この方法は、前記ディスクと前記電極層に電気的に接続する、導電性材料および/または半導体材料からなる少なくとも1つの層からなる接触層を前記絶縁層の裏側に形成する工程をさらに有しても良い。また、この方法は、少なくとももう1つの導電層を形成する工程をさらに有しても良い。
さらに別の様態によれば、主電極の形成方法は、導電性材料および/または半導体材料からなる少なくとも1つの層からなるキャリアを用意する工程と、前記導電性材料および/または半導体材料からなる層に複数の凹みを形成する工程と、少なくとも1つの絶縁層を凹みの間に備える工程とを有する。この方法は、少なくとも1つの導電電極層を前記凹みのうちの少なくとも1つの底面に備える工程をさらに有しても良い。また、この方法は、少なくとも1つの絶縁材料からなる層を前記キャリアの裏側に備える工程と、接続部をなす前記絶縁材料に少なくとも1つの凹みを形成する工程とをさらに有しても良い。また、この方法は、少なくとも1つの導電電極層を絶縁材料の凹みに備える工程をさらに有しても良い。また、この方法は、少なくとも1つの絶縁材料からなる層を前記凹みのうちの少なくとも1つの側面に備える工程をさらに有しても良い。また、この方法は、キャリアの前側のほぼ全面を覆う絶縁材料を堆積する工程と、絶縁材料をキャリアの凹みの底面から除去する工程とをさらに有しても良い。
絶縁材料は、熱酸化、熱窒化、スパッタリング、PECVDおよびALDからなる群から選択された方法により堆積されても良い。絶縁材料は、凹みの前記底面に垂直な方向のエッチング速度が前記側面に垂直な方向のエッチング速度より速いドライエッチング等の異方性エッチングにより除去しても良い。絶縁材料は、リソグラフィおよびエッチングにより凹みの底面から除去しても良い。
ある実施の形態によれば、この方法は、前記絶縁材料層をエッチングマスクとして用いて前記少なくとも1つの凹みをキャリアに形成する工程をさらに有しても良い。少なくとも1つの絶縁材料からなる層を前記絶縁層上にさらに形成しても良い。
さらに別の様態によれば、主電極は、基板を用いて電気化学セルを形成するための主電極であって、少なくとも部分的に導電性材料からなるキャリアと、少なくとも部分的に絶縁材料からなる少なくとも1つの層からなり、前記キャリアの略前面に形成され、少なくとも1つの空洞を備えた絶縁パターン層とを備え、前記キャリアは、絶縁コーティング層を備えた導電性材料または半導体材料からなる少なくとも1つの層からなるディスクと、前記ディスクに電気的に接続し、電極形成材料からなり少なくとも部分的にディスクの前面を覆う少なくとも1つの導電電極層とを備えることを特徴とする。キャリアは、導電性材料からなる少なくとも1つの層からなり、少なくともディスクの背面の一部を覆うおよび/または前記ディスクおよび前記電極層に電気的に接続する接続部を備えていても良い。絶縁コーティング層は、ディスクの導電性材料または半導体材料からなる部分を、前記ディスクの前面および背面の中心部を除いて、全部被覆しても良い。絶縁コーティング層は、前記ディスクの特定部分を選択的に被覆しても良い、または前記ディスクの導電層または半導体層のほぼ全面を被覆し、例えば、ウェットエッチング、ドライエッチング等のエッチングまたは機械的研磨により、選択された領域から部分的に除去されても良い。
さらに別の様態によれば、主電極は、基板を用いて電気化学セルを形成するための主電極であって、少なくとも部分的に導電性材料および/または半導体材料からなる少なくとも1つの層からなるキャリアと、少なくとも部分的に絶縁材料からなる少なくとも1つの層からなり、前記キャリアの略前面に形成された絶縁パターン層とを備え、前記キャリアは、絶縁材料からなる少なくとも1つの層からなり透明であり得るディスクと、電極形成材料からなる少なくとも1つの層からなり少なくとも部分的にディスクの前面を覆う導電電極層と、導電性材料からなる少なくとも1つの層からなり、前記電極層に電気的に接続するビア層と、を備えることを特徴とする。接続層がビア層および電極層に電気的に接続していても良い。接続層は、少なくともディスクの背面の一部を覆う導電性材料からなる少なくとも1つの層を備えていても良い。ディスクは、透明であり得る、絶縁材料からなる少なくとも1つの層を備え、ディスクの少なくとも一部は導電性材料または半導体材料からなっていても良い。導電部または半導体部は、前記絶縁性のディスクの中央に位置しても良い。
さらに別の様態によれば、主電極は、基板を用いて電気化学セルを形成するための主電極であって、導電性材料および/または半導体材料からなる少なくとも1つの層からなるディスクと、少なくとも部分的に絶縁材料からなる少なくとも1つの層からなる絶縁層とを備え、絶縁パターン層は前側に電極形成導電性材料からなる導電電極層を備えた少なくとも1つの凹みを備え、前記絶縁層は裏側に少なくとも1つの凹みを備えることを特徴とする。絶縁層は、前記ディスクをほぼ囲むように形成されても良い。絶縁層の裏側の凹みは、導電性材料および/または半導体材料からなる少なくとも1つの層からなり、前記ディスクおよび前記電極層に電気的に接続する接続層を備えていても良い。主電極は、少なくとももう1つの導電層をさらに備えていても良い。
さらに別の様態によれば、主電極は、基板を用いて電気化学セルを形成するための主電極であって、導電性材料および/または半導体材料からなる少なくとも1つの層からなるキャリアを備え、前記キャリアは前側に複数の凹みを備え、少なくとも1つの絶縁層が凹みの間に備えられていることを特徴とする。前記導電性材料および/または半導体材料からなる少なくとも1つの層の各凹みは底面と側面を有し、側面は絶縁材料からなる少なくとも1つの層を備えていても良い。底面は、電極形成導電性材料からなる導電電極層を少なくとも1層備えていても良い。
ある実施の形態によれば、キャリアは導電性材料および/または半導体材料からなる少なくとも1つの層からなり、電極形成導電性材料からなる導電電極層を絶縁パターン層の空洞に備えていても良い。キャリアは導電性材料および/または半導体材料からなる少なくとも1つの層からなり、前記前面にパターンを形成するための凹みを備えていても良い。この場合、凹み間の領域を覆うように絶縁材料が堆積され、導電電極層(4)が前記凹みの底面に形成される。
ある実施の形態によれば、主電極は、基板に対するコンタクトを配置するための凹みを備えていても良い。前記基板との電気的接続を形成するために電極が前記基板に配置される時の、基板表面との係合のためにコンタクトを配置しても良い。コンタクトは、前記絶縁材料外のキャリアの周囲面に配置されても良い。
ある実施の形態によれば、ディスクは弾性材料および/または可撓性材料からなっていても良い。絶縁パターン層の前面は、接触する基板の3次元構造に対応する構造を備えていても良い。
ある実施の形態によれば、陽極材を絶縁パターン層の空洞内に前記導電電極層に接して事前に堆積しても良い。陽極材は、電気めっき、無電解めっき、浸漬めっき、CVD、MOCVD、(帯電)パウダーコーティング、化学グラフト、電子グラフトおよびそれらの組み合わせからなる群から選択された方法により事前に堆積できる。陽極材を蒸着する方法としては、電気めっきまたは無電解めっきを用いることができる。
ある実施の形態によれば、前記絶縁パターン層を基板表面に接触させるために主電極を基板に押圧することによる基板のゆがみまたは凸凹を補償するため、前記キャリアの層は可撓性であっても良い。または、前記主電極を基板に接触させるために力を加えた時に前記絶縁パターン層の空洞内に落ち込まないように、前記キャリアの層は剛性であっても良い。キャリアの屈曲は、例えば25%未満、10%未満、約1%未満というように50%未満であって良い。キャリアは、ガラス、石英またはシリコンウェハより高い、もしくはほぼ同等の可撓性を持っていても良い。
ある実施の形態によれば、前記導電性材料および/または半導体材料からなる少なくとも1つの層は、導電性ポリマー、導電性ペースト、金属、Fe、Cu、Au、Ag、Pt、Si、SiC、Sn、Pd、Pt、Co、Ti、Ni、Cr、Al、インジウムスズ酸化物(indium tin oxide:ITO)、SiGe、GaAs、InP、Ru、Ir、Re、Hf、Os、Rh、合金、リン合金、SnAg、PdAg、SnAgCu、NiP、AuCu、シリサイド、ステンレス鋼、真鍮、はんだ材料、およびそれらの組み合わせからなる群から選択された材料であって良い。導電性材料からなる少なくとも1つの層は、Cr、Ti、Au、Ptからなる群から選択された金属であって良い。導電性材料からなる少なくとも1つの層はAuまたはPtであって良い。半導体材料からなる少なくとも1つの層はSiであって良い。絶縁材料は、SiO等の酸化物、石英、ガラス、SiN等の窒化物、ポリマー、ポリイミド、ポリウレタン、エポキシポリマ、アクリレート重合体、PDMS、(天然)ゴム、シリコーン、ラッカ、エラストマ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、およびそれらの組み合わせからなる群から選択された材料であって良い。絶縁材料は、熱酸化、プラズマ化学気相成長法(plasma-enhanced chemical vapor deposition:PECVD)、物理気相成長法(physical vapor deposition:PVD)、化学気相成長法(chemical vapor deposition:CVD)、電子陽極酸化処理、原子層成長法(atomic layer deposition:ALD)、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、粘着テープによるによる接着、熱分解、接着剤による接着、およびそれらの組み合わせからなる群から選択された方法により堆積できる。
ある実施の形態によれば、ウェットエッチングまたはドライエッチングにおいて、エッチングを施さない表面にエッチングマスクを用いても良い。エッチングマスクはリソグラフィ法によりパターニングされても良い。
ある実施の形態によれば、前記キャリアに平坦化工程を施しても良い。導電電極層は、Fe、Cu、Sn、Ag、Au、Pd、Co、Ti、Ta、Ni、Pt、Cr、Al、W、ITO、Si、Ru、Rh、Re、Os、Hf、Ir、Nb、その他の金属、合金、リン合金、SnAg、SnAgCu、CoWP、CoWB、CoWBP、NiP、AuCu、シリサイド、グラファイト、ステンレス鋼、導電性ポリマー、はんだ材料、導電性酸化物または半導体酸化物またはRu酸化物、Ir酸化物、Rh酸化物、Ti酸化物および/またはTa酸化物等の上記金属の酸化物の混合物等の混合酸化物からなる群から選択された導電性材料および/または半導体材料からなる少なくとも1つの層からからなっていても良い。導電電極層は、ALD、有機金属化学気相成長法(metal-organic chemical vapor deposition:MOCVD)、PVD、CVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着法、電子グラフト法、化学グラフト法、およびそれらの組み合わせからなる群から選択された方法により形成することができる。導電電極層は、PVD/スパッタリング法および無電解堆積法/浸漬堆積法の組み合わせによりされても良い。導電電極層に熱処理を施しても良い。熱処理は、急速熱アニール(rapid thermal anneal:RTA)等のアニーリング、炉加熱、熱板加熱、またはそれらの組み合わせであって良く、真空、フォーミングガス、水素ガス、窒素ガス、低酸素含有またはそれらの組み合わせからほぼなる雰囲気下で行われても良い。
ある実施の形態によれば、導電電極層は、少なくとも1つの材料からなる複数の層を形成してなっても良く、次の層を形成する前に少なくとも1つの層に前記熱処理を施しても良い。前記導電電極層を形成する前に粘着層をキャリアの少なくとも一部に形成しても良く、前記粘着層は、導電電極層の前記キャリアへの粘着性を高める1または複数の材料からなっていても良い。
絶縁パターン層は電気的に絶縁性の材料からなる1または複数の層からなり、複数の凹みをパターニングされても良い。絶縁パターン層は表面粗さが低く、厚さの均一性が高くても良い。
ある実施の形態によれば、絶縁パターン層の少なくとも1つの電気的に絶縁性の層は、熱酸化、熱窒化、PECVD、PVD、CVD、MOCVD、電子陽極酸化処理、ALD、スピンコーティング法、スプレーコーティング法、ディップコーティング法、カーテンコーティング法、ローラーコーティング法、パウダーコーティング法、熱分解、粘着テープによるによる接着、接着剤による接着、およびそれらの組み合わせからなる群から選択された方法により形成できる。前記絶縁パターン層を形成する前に粘着層をキャリアの少なくとも一部に形成しても良く、前記粘着層は、絶縁パターン層とキャリアの間の粘着特性を高める材料からなる少なくとも1つの層からなっていても良い。粘着層は、Pt、Al、Ni、Pd、Cr、Ti、TiW等の導電性材料、AP−3000、AP−100、AP−200、AP−300等の絶縁材料、HMDS等のシラン、およびそれらの組み合わせからなる群から選択された材料からなる少なくとも1つの層からなっていても良い。粘着層は、電着法、スピンコーティング法、スプレーコーティング法、ディップコーティング法、分子気相成長法(molecular vapor deposition:MVD)、ALD、MOCVD、CVD、PVD、スパッタリング法、無電解堆積法、浸漬堆積法、電子グラフト法、化学グラフト法、およびそれらの組み合わせからなる群から選択された堆積法により形成することができる。
ある実施の形態によれば、形成された絶縁パターン層に平坦化工程を施しても良い。平坦化工程は、化学機械研磨(chemical mechanical polishing:CMP)、ラップ仕上げ、接触平坦化(contact planarization:CP)等のエッチングおよび/または研磨、および/またはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチング、およびそれらの組み合わせからなる群から選択された方法により実施することができる。
電気的に絶縁性の材料は、有機化合物、ポリマ、絶縁無機化合物、酸化物、窒化物、ポリイミド、シロキサン変性ポリイミド、BCB、SU−8、ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、シリコーン、弾性重合体、ZEP等の電子ビームレジスト、フォトレジスト、薄膜レジスト、厚膜レジスト、多環オレフィン、ポリノルボルネン、ポリエチレン、ポリカーボネート、PMMA、BARC材料、リフトオフレイヤー(lift off layer:LOL)材料、PDMS、ポリウレタン、エポキシポリマ、フルオロエラストマ、アクリレート重合体、(天然)ゴム、シリコーン、ラッカ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、フルオロメチレンシアン酸エステル、無機−有機ハイブリッドポリマー、フッ素化および/または水素化非晶質炭素、有機ドープシリコンガラス(organic-doped silicon glass:OSG)、フッ素ドープシリコンガラス(fluorine-doped silicon glass:FSG)、PETE/シリコン化合物、オルトケイ酸テトラエチル(tetraethyl orthosilicate:TEOS)、SiN、SiO、SiON、SiOC、SiCN:H、SiOCH材料、SiCH材料、シリケート、石英系材料、シルセスキオキサン(silsesquioxane:SSQ)系材料、メチルシルセスキオキサン(methyl silsesquioxane:MSQ)、水素シルセスキオキサン(hydrogen silsesquioxane:HSQ)、TiO、Al、TiN、およびそれらの組み合わせからなる群から選択された材料であって良い。前記絶縁パターン層の凹みは、リソグラフィ、エッチングおよび/または機械研磨により形成されても良い。エッチングとしては、ウェットエッチングおよび/またはドライエッチングを用いても良い。ドライエッチングとしては、イオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング、またはそれらの組み合わせを用いても良い。エッチングは、前記前記絶縁パターン層の少なくとも一部の領域、つまりエッチングを施さない表面、にパターニングされたエッチングマスクを設ける工程を含んでいても良い。パターニングされたエッチングマスクは、リソグラフィおよび/またエッチングにより形成されても良い。エッチングマスクは、薄膜フォトレジスト、ポリイミド、BCB、厚膜フォトレジスト、および/またはその他のポリマー等のリソグラフィに用いられる高分子レジスト、またはSiN、SiO、SiC、Pt、Ti、TiW、TiN、Al、Cr、Au、Cu、Ni、Ag、NiP等の等のハードマスク、またはそれらの組み合わせからなっていても良い。ハードマスクは、PVD、CVD、MOCVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着法、PECVD、ALD、およびそれらの組み合わせからなる群から選択された方法により形成することができる。エッチングマスクは、さらに形成された主電極により形成された少なくとも1つの電気化学セルの少なくとも1つの構造層からなっていても良い。
ある実施の形態によれば、構造層は、Cu、Ni、NiFe、NiP、Au、Ag、Sn、Pb、SnAg、SnAgCu、SnPb、およびそれらの組み合わせからなる群から選択された少なくとも1つの材料からなっていても良い。前記絶縁パターン層を形成する前にエッチングストップ層を形成しても良い。エッチングストップ層は、Ti、Pt、Au、Ag、Cr、TiW、SiN、Ni、Si、SiC、SiO、Al、InGaP、CoP、CoWP、NiP、NiPCo、AuCo、BLOKTMおよびそれらの組み合わせからなる群から選択された材料からなる少なくとも1つの層からなっていても良い。
ある実施の形態によれば、絶縁パターン層の空洞の側壁の傾斜角を調整するために、前記絶縁パターン層を形成するためのパターニングを変更しても良い。絶縁パターン層の空洞の側壁はほぼ垂直であっても良く、それにより側壁は導電電極表面の法線に対して約45°未満、例えば約20°未満、例えば約5°未満、例えば約2°未満、例えば約1°未満、例えば約0.1°未満の角度を持つ。例えば波長フィルタを用いる、反射防止コーティングを用いる、照射線量を変更する、現像時間を変更する、熱処理を用いる、および/またはそれらの組み合わせにより、フォトリソグラフィックパターニングのパラメータを変えることによって、傾斜角を最適化できる。反応性イオンエッチング(reactive ion etching:RIE)等のドライエッチングのためのガス組成、プラテン電力(RF電力)、および/またはプラズマ電力(コイル電力とも呼ばれる)を最適化することによって、特定の傾斜角を得ることができる。
ある実施の形態によれば、絶縁パターン層の空洞を形成するためにダマシンプロセスを用いても良い。前記ダマシンプロセスでは、凹みを持つ犠牲パターン層をキャリア上に形成し、前記犠牲パターン層を覆って犠牲パターン層の凹みを埋めるように絶縁材料を堆積し、犠牲パターン層が露出するまで絶縁材料を平坦化した後、犠牲パターン層を除去することによって絶縁パターン層が形成される。犠牲パターンは、リソグラフィ、めっき処理および/またはエッチングによってパターニングされた材料を用いることによって得ることができる。犠牲パターン層は、さらに形成された主電極により形成された電気化学セルの少なくとも1つの構造層からなっていても良い。
ある実施の形態によれば、この方法は、少なくとも絶縁パターン層の一部をリリース層で被覆する工程をさらに有し、前記リリース層は絶縁パターン層と絶縁パターン層に接するその他の材料との間の機械的および化学的結合を解除しても良い。リリース層は、スピンコーティング法、スプレーコーティング法、CVD、MOCVD、MVD、PVD、および/またはその組み合わせにより形成できる。リリース層は、メトキシシラン、クロロシラン、フルオロシラン等のシラン、ポリジメチルシロキサン、ポリエチレングリコールシロキサン、ジメチルシロキサンオリゴマ(dimethyl-siloxane:DMS oligomer)等のシロキサン、および/または非晶質フルオロポリマー、フルオロ炭素ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、サイトフルオロポリマー等のその他のポリマー、およびそれらの組み合わせからなる群から選択された材料からなっていても良い。前記少なくとも1つの電気化学セルを形成する表面は、前記電気化学セルに用いられる電解質の湿潤性能が良好となる表面特性を有していても良い。前記少なくとも1つの電気化学セルを形成する表面は親水性であっても良く、水溶液に対して低い接触角を持っていても良い。前記絶縁パターン層の表面の少なくとも一部は、表面エネルギーを小さくして親水性表面を形成するような方法で処理されていても良い。前記絶縁パターン層の表面の少なくとも一部は、熱処理、酸素/窒素/アルゴンプラズマ処理、固着防止のための表面の改質(surface conversion for anti-sticking:SURCAS)、過酸化物、過硫酸塩、濃酸/強塩基等の強酸化剤、またはそれらの組み合わせによって処理されていても良い。前記絶縁パターン層の少なくとも一部が高い表面エネルギーを持っている、または表面エネルギーを高めて疎水性表面とするために水素プラズマ等により処理されても良い。絶縁パターン層は、絶縁パターン層の空洞の側壁が親水性となり、絶縁パターン層の上端部が疎水性となるような特性を持つ少なくとも1つの材料からなる1または複数の層からなっていても良い。親水性材料は、SiN、SiO、酸素プラズマ処理されたポリマー(フォトレジストおよび/またはエラストマ等)、および/またはその他の表面に極性機能分子基を持つ材料、およびそれらの組み合わせからなる群から選択された材料であって良い。疎水性材料は、水素終端ポリマー等の極性機能分子基を持たない材料、テフロン(登録商標)、フルオロシラン/クロロシラン、シロキサン、フルオロエラストマ、およびそれらの組み合わせからなる群から選択された材料であって良い。
ある実施の形態によれば、絶縁パターン層は、主電極が対象とする基板に押し当てられた時に、絶縁パターン層の上端部と前記基板の間の機械的接触を向上するような特性を持つ少なくとも1つの材料からなる1または複数の層からなっていても良い。絶縁パターン層は、少なくとも1層のエラストマ等の可撓性材料からなる層からなっても良く、または少なくとも1層の剛性材料からなる層と少なくとも1層の可撓性材料からなる層からなっていても良い。少なくとも1層の可撓性材料からなる層は前記少なくとも1層の剛性材料からなる層の上に配置される。可撓性材料はエラストマであっても良い。前記エラストマは、高圧縮率、弾性特性、電気的絶縁性、低誘電性、良好な耐化学性、金属、シリコン、ガラス、酸化物、窒化物および/またはポリマー等の下層に対する高粘着性、経時による収縮または膨張に対する高い耐久性、および/または汚染有機化合物を放出しない非ブリーディング特性、感紫外線性、リソグラフィによりパターニングされている、透明、ドライエッチング等のエッチングによりパターニングされている、およびそれらの組み合わせからなる群から選択された特性を有する。エラストマは、ポリジメチルシロキサン(polydimethylsiloxane:PDMS)、シリコーン、エポキシシリコーン、フルオロシリコーン、フルオロエラストマ、(天然)ゴム、ネオプレン、EPDM、ニトリルゴム、アクリレートエラストマ、ポリウレタン、およびそれらの組み合わせからなる群から選択された材料である。エラストマは、0.1GPa未満、例えば1MPa未満、例えば約0.05MPa未満の引張弾性係数(ヤング率)を有しても良い。またはエラストマ層はShore-A硬度が90未満、例えば30未満、例えば約5未満である。
ある実施の形態によれば、キャリアまたはディスクは円形であって良い。または、キャリアまたはディスクは矩形であって良い。キャリアまたはディスクは絶縁パターン層の凹みと同じ領域に凹みを有していても良く、キャリアの凹みは導電電極層を備えていても良い。絶縁パターン層は絶縁材料からなる接着層を前記キャリア上に接着しパターニングして形成しても良い。接着層は、接着後除去可能な接着キャリアを備えていても良い。接着層は、SiO、ガラス、石英、または高分子フィルムであって良い。接着層は粘着接着層を備えていても良い。接着キャリアは接着後、研削または研磨等の機械的手法、またはウェットエッチングまたはドライエッチング等のエッチングによって除去されても良い。
ある実施の形態によれば、主電極は、基板の前側領域とほぼ同様の前側領域を有しても良い。主電極は、凹みまたは孔等の、基板への外部電気接続を可能にする接続部を備えていても良い。キャリアまたはディスクは少なくとも1つの凹みをその周辺部に備えていても良い。キャリアまたはディスクは、周辺部に近接する周辺部に接続孔を備えていても良い。
ある実施の形態によれば、接続部は、基板から電気化学セルを形成する際に均一の電流密度分布を得るように配置されても良い。主電極は、電極形成導電性材料からなり、前記絶縁パターン層の上端部の凹み間の少なくとも一部に電気的シード層接続を有しても良い。電気的シード層接続は、キャリア、ディスク、導電電極層、または接続層の導電性材料または半導体材料から絶縁材料により絶縁されていても良い。電気的シード層接続は、キャリアまたはディスク端周囲に層として設けられてもよい。電気的シード層接続は、絶縁パターン層の空洞の隣接部を除いて、絶縁パターン層の広い表面、ほぼ全面、にわたって設けられても良い。前記電気的シード層接続の異なる部分が、キャリアの裏側においてキャリアを貫く接続領域を備えていても良い。
ある実施の形態によれば、主電極は前記絶縁パターン層をスピンコーティング法またはスプレーコーティング法等により形成する時に形成されるエッジビードを減少させる手段をさらに備えていても良い。キャリアまたはディスクは凹みをその周辺部に備えていても良い。絶縁パターン層を形成する際にスピンキャリアを用いても良く、スピンキャリアはキャリアが埋め込まれる凹みを備えていても良い。エッジビードは、有機溶剤中での溶解、機械的除去、および/またはリソグラフィおよび/またはエッチングによるエッジビード領域からの絶縁パターン層の除去により排除できる。
ある実施の形態によれば、主電極は、主電極を基板に整合するための位置合わせマークをさらに備えていても良く、前記位置合わせマークは主電極の前側および/または裏側の層の構造または空洞からなる。位置合わせマークは、キャリア、導電電極層、および/または絶縁パターン層に設けられても良い。
ある実施の形態によれば、キャリアは紫外線、赤外線、またはX線等の整合に用いられる光に対して透明であっても良い。その場合、絶縁パターン層は位置合わせマークを備える。導電電極層は不透明な材料からなり、絶縁パターン層の位置合わせマークが位置する領域に開口を備えていても良い。導電電極層は整合に用いられる光に対して透明であっても良い。絶縁パターン層は不透明な材料からなり、キャリアまたは導電電極層の位置合わせマークが位置する領域に開口を備えていても良い。位置合わせマークは不透明な材料からなっていても良く、例えば金属が石英の上というように、透明な材料の一部の上に位置する。位置合わせマークは裏側に設けられても良く、前側に配置される場合、絶縁パターン層のパターンが位置合わせマークに位置合わせされ、裏側に配置される場合、位置合わせマークが絶縁パターン層のパターンに位置合わせされる。位置合わせマークは対向位置合わせ法を用いるために前側に設けられても良い。位置合わせマークは、前側の絶縁パターン層または導電電極層に設けられても良い。キャリアは、裏側から前側の位置合わせマークが見えるように、位置合わせマークの位置に貫通孔を備える。前記貫通孔に透明材料を用いても良い。
ある実施の形態によれば、基板は少なくとも一部にトポグラフィを有していても良く、絶縁パターン層にはトポグラフィを保障するまたはトポグラフィに適合するパターンが形成されていても良い。絶縁パターン層は、少なくとも1つの電気化学セルを形成するために主電極と基板が密着される時に基板上のトポグラフィを持つ領域に対応する領域に、少なくとも1つの空洞を備えていても良い。トポグラフィを持つ領域に対応する少なくとも1つの空洞は、絶縁パターン層のその他の凹みよりも浅くても良く、導電電極層を持たない。2回以上絶縁パターン層をパターニングすることにより、絶縁パターン層に異なる高さの空洞を備えても良い。絶縁パターン層はリソグラフィおよび/またエッチングにより形成されても良く、キャリアまたは導電電極に至る空洞が形成されている。絶縁パターン層は、基板上のトポグラフィを保障し、キャリアまたは導電電極層まで及ばない空洞を形成するために、少なくとも部分的にもう1度パターニングされても良い。絶縁パターン層は、基板上のトポグラフィを保障し、下層のキャリアまたは導電電極層にまで及ばない空洞を形成するために、リソグラフィおよび/またエッチングによりパターニングされ、下層のキャリアまたは導電電極層に至る空洞を形成するためにもう1度パターニングされても良い。絶縁パターン層は、絶縁材料からなる少なくとも2つの層および少なくとも1つのエッチングストップ層からなっていても良く、少なくとももう1度パターニングされても良い。前記パターニングは、最上部の絶縁パターン層にエッチングストップ層に至る空洞をエッチングする工程と、リソグラフィおよびエッチングによりエッチングストップ層を部分的に除去する工程と、前記パターニングされたエッチングストップ層をエッチングマスクとして用いて、下の絶縁パターン層に下層のエッチングストップ層、キャリアまたは導電電極層に至る別の空洞をエッチングする工程とを有する。絶縁パターン層の空洞は、基板表面のトポグラフィと同一または略同一のトポグラフィを持つ基板テンプレートのインプリントとして形成されても良く、絶縁パターン層はパターニングされ、下層のキャリアまたは導電電極層に至る空洞が形成されている。絶縁パターン層は、ネガティブフォトレジストおよび/またはUV硬化ポリマーからなる層を形成する工程と、前記層をフォトマスクを通して紫外線に暴露する工程と、ネガティブフォトレジストおよび/またはUV硬化ポリマーからなる層をさらに形成する工程と、前記第2の層を第2のフォトマスクを通して紫外線に暴露する工程と、両層を同時に現像する前に、必要に応じて露光後焼き締め(post-exposure bake:PEB)を行う工程とを有する手順を少なくとも1回実施することにより形成されても良い。前記手順では、前記層をフォトマスクを通して紫外線に暴露する代わりに、レーザービームまたは電子ビーム等に暴露する直接描画法を用いても良い。絶縁パターン層は、リソグラフィおよび/またエッチングを繰り返すことによりパターニングされても良く、これにより基板上の複数のレベルの異なる高さおよび形状を持つトポグラフィを保障する複数のレベルの空洞が形成される。前記トポグラフィに適合する前記少なくとも1つの空洞は、余裕を持ってトポグラフィを囲む十分な大きさを有していても良い。主電極のキャリアは絶縁パターン層の少なくとも1つの空洞内に凹みを備えていても良く、前記凹みはその壁を導電電極層で覆われている。前記導電電極層上には陽極材が事前に堆積されている。キャリアおよび導電電極層は、絶縁パターン層の少なくとも1つの空洞において突出構造を有しても良く、前記導電電極層上には陽極材が事前に堆積されている。
本発明のさらなる目的、特徴、利点は、以下の実施例の詳細な説明および添付図面を参照することにより明らかになるであろう。
以下、発明の実施の形態を図面を参照して説明する。これらの実施形態は当業者が本発明を実施できるように、かつ最良の実施の形態を例示するために説明されるが、本発明を限定するものではなく、本発明の範囲内でこの他にも種々な異なる特性の組み合わせが可能である。主電極および主電極の形成方法についての概略を以下に述べる。後述のECPR(electrochemical pattern replication)により、1または複数の材料からなる1または複数層の構造を製造するために使用される主電極の形成方法をいくつか説明する。この方法は概して、少なくとも部分的に導電性/半導体であるキャリアからなる主電極を形成する工程と、ECPRめっき処理において陽極として機能し、ECPRエッチングにおいて陰極として機能する導電電極層を形成する工程と、外部電源からキャリアおよび/または導電電極層への電気的接続が可能となるように、ECPR処理においてECPRエッチングまたはECPRめっき処理を行う空洞を規定する絶縁パターン層を形成する工程を含む。
主電極は、ECPR(electrochemical pattern replication)により、1または複数層の構造を形成するために使用され、ECPRは以下の6工程、すなわち
a)複数の電気化学セルを形成するために、主電極を基板、例えばシード層に接触させて配置する工程と、
b)エッチングによりシード層に構造を形成する、またはめっきによりシード層上に構造を形成する工程と、
c)前記基板から主電極を分離する工程とを有する。
第1の工程a)において、少なくとも1つの白金等の不活性材料からなる導電電極層および絶縁パターン層で構成される主電極を電解質の存在下で基板上の導電性の最上層またはシード層に物理的に密着させて配置し、主電極上の絶縁構造の空洞によって規定される電解質が充填された電気化学セルを形成する。
シード層は、Ru、Os、Hf、Re、Rh、Cr、Au、Ag、Cu、Pd、Pt、Sn、Ta、Ti、Ni、Al、それらの合金、Si、W、TiN、TiW、NiB、NiP、NiCo、NiBW、NiM−P、W、TaN、Wo、Co、CoReP、CoP、CoWP、CoWB、CoWBP等のその他の材料、ポリアニリン等の導電性ポリマ、SnPb、SnAg、SnAgCu、SnCu等のはんだ材料、モネル、パーマロイ等の合金、および/またはそれらの組み合わせのいずれかからなる1または複数の層で構成されていても良い。基板上のシード層はECPR処理の前に洗浄されて活性化されても良い。洗浄には、アセトンまたはアルコール等の有機溶剤、および/または硝酸、硫酸、リン酸、塩酸、酢酸、フッ化水素酸、過酸化物、過硫酸塩、塩化第二鉄等の強酸化剤等の無機溶剤、および/または脱イオン水を用いても良い。また、洗浄は酸素プラズマ、アルゴンプラズマおよび/または水素プラズマを用いて行ってもよく、機械的に不純物を除去しても良い。シード層は、酸化物を除去する溶剤、例えば硫酸、硝酸、塩酸、フッ化水素酸、リン酸および過硫酸ナトリウム、過硫酸アンモニウム、過酸化水素、塩化第二鉄等のエッチング液および/または酸化剤を含むその他の溶剤を用いて活性化することができる。
主電極を基板上の最上層に密着させて配置する工程は、主電極の絶縁パターンを基板上のパターン層に整合させる工程を含む。この工程おいては、主電極の前側または裏側に基板上の対応する位置合わせマークに整合するよう配列された位置合わせマークを用いても良い。整合工程は、電解質を用いる前または後に実施されても良い。主電極を基板上に接触させて配置する工程に先立って、事前に堆積された陽極材を絶縁パターン層の空洞内の前記導電電極層に備えても良い。主電極を基板上に接触させて配置する工程に先立って、主電極の空洞内の事前に堆積された材料を、上述の基板上のシード層と同様に、洗浄し活性化しても良い。
前記電解質は、従来の電気めっき浴等の電気化学めっきおよび/またはエッチングに適切な陽イオンおよび陰イオン溶液からなる。例えば、ECPRエッチングまたはECPRめっき処理された構造が銅からなる場合、酸性硫酸銅浴等の硫酸銅浴を用いても良い。酸性度は、例えばpH=2からpH=4程度で、pH<4で良い。抑制剤、レベラおよび/または促進剤等の添加剤、例えばポリエチレングリコール(polyethylene glycol:PEG)、塩化物イオンおよび/またはSPS(BiS(3-sulfopropyl)disulfide)を用いる実施の形態もある。その他の例としては、ECPRエッチングまたはECPRめっき処理された構造がNiからなる場合、ワット浴を用いても良い。材料の異なるECPRエッチングまたはECPRめっき処理された構造の適切な電解質系については、Lawrence J. Durney, et al., Electroplating Engineering Handbook, 4th ed., (1984)に記載されている。
第2の工程b)において、外部電源からの電圧を主電極および基板上のシード層に印加することにより主電極の空洞および基板上の最上層によって規定される各電気化学セル内で同時に電気化学処理を実施し、ECPRエッチングまたはECPRめっき処理を用いて、導電性材料からなる構造を形成する。基板上のシード層が陽極をなし、主電極の導電電極層が陰極を成すように電圧が印加された場合、シード層が溶解するとともに主電極の空洞内に材料が堆積される。シード層の溶解により形成された溝が、シード層の残留構造を別離する。残留シード層からなる構造は、主電極の絶縁パターン層の空洞のネガとなる。以下、この構造を「ECPRエッチング構造」と呼ぶ。主電極の導電電極層が陽極をなし、基板上のシード層が陰極を成すように電圧が印加された場合、主電極の空洞内に事前に堆積された陽極材が溶解するとともに基板上の電解質が充填された空洞内の導電層に材料が堆積される。基板上の導電層に堆積された材料は主電極の絶縁パターン層の空洞のポジとなる構造を形成する。以下、この構造を「ECPRめっき構造」と呼ぶ。
前記ECPRエッチング構造またはECPRめっき構造は、金属または合金等の導電性材料、例えばAu、Ag、Ni、Cu、Sn、Pbおよび/またはSnAg、SnAgCu、AgCuおよび/またはそれらの組み合わせ、例えばCuで構成されても良い。
前記陽極材を、陽極となる材料をECPRエッチングすることにより主電極の空洞内に事前に堆積し、主電極の絶縁パターン層の空洞内の陰極となる導電電極に前記材料を堆積する実施の形態もある。また、主電極の絶縁パターン層の空洞内の導電電極層上に、前記材料を選択的に通常の電気めっき、無電解めっき、浸漬めっき、CVD、MOCVD、(帯電)パウダーコーティング、化学グラフトおよび/または電子グラフトすることにより、前記陽極材を事前に堆積する実施の形態もある。
電圧は、エッチングおよび/またはめっきされた構造の均一性および/または特性を向上するように印加しても良い。印加される電圧は、直流電圧、パルス状電圧、矩形パルス状電圧、反転パルス電圧および/またはそれらの組み合わせであって良い。
エッチングおよび/またはめっきされた構造の均一性は、印加される電圧波形、振幅および周波数の最適な組み合わせを選択することによって向上できる。エッチング深度またはめっき厚は、時間および主電極を流れる電流をモニタリングすることにより制御できる。総電極領域が分かっている場合には、電流密度は電極領域を流れる電流から予測することができる。電流密度はエッチング速度またはめっき速度に対応するため、エッチング深度またはめっき厚はエッチング速度またはめっき速度および時間から予測することができる。
下層の溶解している陽極材の表面に到達する前に印加電圧を切断することにより、エッチングまたはめっき処理を中止する実施の形態もある。すなわち、エッチングにおいては、シード層にエッチングされた溝の底部にまだ層が残留し、下の基板層を被覆している状態で処理を中止する。このように処理を中止しない場合、シード層の一部への電気的接続が破壊される恐れがある。めっき処理においては、事前に堆積された陽極材からなる層がまだ、例えば5%から50%残留し、導電電極層を被覆している状態で処理を中止する。このように処理を中止しない場合、各電気化学セルにおいて電流分布が不均等になってしまう。
めっき構造の所望の高さを事前に堆積された陽極材の厚さより大幅に小さくする実施の形態もある。これは、陽極材を新たに堆積する前に、複数の構造層が1または複数の基板上にめっきされることを示唆する。例えば、事前に堆積された材料の高さは少なくともめっき構造の高さの2倍であっても良い。
ECPRめっき構造の複数の層が、各々の上に直接形成される実施の形態もある。
第3の工程c)において、ECPRエッチング構造またはECPRめっき構造が形成された後、基板上の主電極またはECPRエッチング構造もしくはECPRめっき構造への損傷を最小限に抑えるように、主電極を基板から分離する。この工程は、基板を所定の位置に固定し、主電極を基板表面に対して直交する方向に移動させることによって、または主電極を所定の位置に保持し、基板を主電極表面に対して直交する方向に移動させることによって実施できる。別の実施の形態によれば、分離を容易にするために平行度の低い方法で主電極を基板から分離しても良い。ECPRエッチングまたはECPRめっき処理後、主電極の空洞内に堆積された残留材料を、残留材料を溶解するのに適したウェットエッチング溶剤を用いて除去しても良い。また、例えばイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチングと共に異方性エッチングを用いても良い。ドライエッチングとウェットエッチングの組み合わせによる除去方法を適用する実施の形態もある。また、通常のめっき処理および/またはECPRめっき処理を陰極および/またはダミー基板にそれぞれ施して、残留材料を除去する実施の形態もある。これは、別のECPRエッチング工程における主電極の使用に先立って、またはECPRめっき工程で使用される主電極の空洞内への新たな材料の事前に堆積に先立って実施される。または、めっき処理の間、1工程につき事前に堆積された材料の一部分のみ用いて、工程数分、他の部分を次の工程に用いるようにしても良い。または、エッチングの間、陰極に堆積された材料、すなわち主電極は、各工程の間に除去しなくても良く、各第2および第3工程の間等に除去しても良い。
概して、3つの実施の形態による主電極の形成方法は以下の工程を含む。
1.絶縁または導電性/半導体キャリアを形成する工程
2.前記キャリアの少なくとも一部に導電電極層を形成する工程
3.前記導電電極層の少なくとも一部に絶縁パターン層を形成する工程
または
1.絶縁または導電性/半導体キャリアを形成する工程
2.前記キャリアの少なくとも一部に絶縁パターン層を形成する工程
3.前記キャリアの、前記絶縁パターン層に被覆されていない選択された領域に、導電電極層を形成する工程
または
1.導電性/半導体キャリアを用意し、パターニングする工程
2.前記パターニングされたキャリアの少なくとも一部に絶縁パターン層を形成する工程
3.前記パターニングされたキャリアの、前記絶縁パターン層に被覆されていない選択された領域に、導電電極層を形成する工程
ECPRエッチング、ECPRめっき処理、事前堆積、洗浄および/または除去処理の間、化学環境および/または電気化学環境に暴露された主電極の一部に用いられた材料は、通常、前記化学環境および/または電気化学環境下で溶解および酸化に耐性を有する。
ある実施の形態によれば、前記導電電極層を前記キャリア上に形成し、前記絶縁パターン層を導電電極層上に形成する。
別の実施の形態によれば、前記絶縁パターン層を前記キャリア上に形成し、前記導電電極層を絶縁パターン層の空洞内のキャリアの少なくとも一部上に形成する。
さらに別の実施の形態によれば、前記キャリアに凹みを形成し、前記絶縁パターン層をキャリアの凹みのない領域に形成する一方、前記導電電極層を前記絶縁パターン層に覆われていない凹みの底部および壁に形成する。
前記キャリアは、少なくとも1つの導電性/半導体材料からなる1または複数の層、または少なくとも1つの導電性/半導体材料からなる1または複数の層および少なくとも1つの絶縁材料からなる層からなっていても良い。
前記キャリアの層は、可撓性および/または剛性および/または可撓性層と剛性層の組み合わせであって良い。主電極を基板に接触させるために力を加えた時に、前記絶縁パターン層の空洞内に落ち込まないように前記キャリアに十分な剛性を備えた実施の形態もある。これにより、ECPRエッチングおよび/またはECPRめっき処理中のキャリアと基板の短絡接触を防ぐ。例えば、必要な圧力をかけた時のキャリアの屈曲幅は、空洞の高さの50%未満であると良く、例えば25%未満、10%未満、約1%未満であると良い。また、ECPRエッチングおよび/またはECPRめっき処理中に、主電極を基板に接触させるために加えた分散した力による基板のゆがみまたは凸凹を補償するため、前記キャリアに十分な可撓性を備えた実施の形態もある。場合によっては、キャリアは少なくとも基板と同程度の可撓性を有する。例えば、基板がガラス、石英またはシリコンウェハであった場合、主電極のキャリアはガラス、石英またはシリコンウェハと同等もしくはそれ以上の可撓性を有する。
導電性/半導体材料は、導電性ポリマー、導電性ペースト、金属、Fe、Cu、Au、Ag、Pt、Si、SiC、Sn、Pd、Pt、Co、Ti、Ni、Cr、Al、インジウムスズ酸化物(indium tin oxide:ITO)、SiGe、GaAs、InP、Ru、Ir、Re、Hf、Os、Rh、合金、リン合金、SnAg、PdAg、SnAgCu、NiP、AuCu、シリサイド、ステンレス鋼、真鍮、導電性ポリマー、はんだ材料、および/またはそれらの組み合わせからなっていても良い。絶縁層は、SiO等の酸化物、Al、TiO、石英、ガラス、SiN等の窒化物、ポリマー、ポリイミド、ポリウレタン、エポキシポリマ、アクリレート重合体、PDMS、(天然)ゴム、シリコーン、ラッカ、エラストマ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、および/またはその他の上記絶縁パターン層に用いられる材料からなっていても良い。
ある実施の形態によれば、キャリアは、少なくとも部分的に絶縁材コーティングに被覆された導電性/半導体ディスクからなる。絶縁材コーティングは、前側および裏側の中心部を除く導電性/半導体ディスクの全部分を覆うよう形成されても良い。絶縁材コーティングは、熱酸化、プラズマ化学気相成長法(plasma-enhanced chemical vapor deposition:PECVD)、物理気相成長法(physical vapor deposition:PVD)、化学気相成長法(chemical vapor deposition:CVD)、火炎加水分解蒸着(flame hydrolysis deposition:FHD)、電子陽極酸化処理、原子層成長法(atomic layer deposition:ALD)、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、粘着テープによるによる接着、熱分解、その他の適切なコーティング技術による接着、および/またはそれらの組み合わせ等の方法により形成できる。絶縁材コーティングは、前記導電性/半導体ディスクの対象部分に選択的に成膜しても良いし、導電性/半導体ディスクの全部分に成膜し、その後選択された領域から除去しても良い。例えば、絶縁材コーティングの除去は、残したい部分を保護するためにエッチングマスクを用いてエッチングにより行っても良いし、および/または機械的除去方法により行っても良い。
エッチングとしては、ドライエッチングおよび/またはウェットエッチングを用いることができる。ウェットエッチングでは、エッチング対象の材料を溶解する溶剤を使用する。前記溶剤は強酸等の強酸化剤を含むことが多い。例えば、SiOおよびその他の酸化物をエッチングする場合、希釈または濃縮フッ化水素酸を用いることができる。ドライエッチングはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等を含んでいても良い。エッチングマスクのパターンは、フォトリソグラフィ、レーザーリソグラフィ、電子ビームリソグラフィ、ナノインプリンティングリソグラフィ、および/またはエッチングマスクのパターンニングに適したその他のリソグラフィ法を用いることができる。前記エッチングマスクはポリマー材料からなっていても良く、例えば、薄膜フォトレジスト、ポリイミド、BCB、および/または厚膜フォトレジスト等のソグラフィに用いられるレジストからなっていても良い。エッチングマスクは、SiN、SiC、SiO、Pt、Ti、TiW、TiN、Al、Cr、Au、Ni、その他の硬質材料、および/またはそれらの組み合わせからなるハードマスクであっても良い。ハードマスクはリソグラフィでパターニングされた後、パターニングされたリソグラフィマスクで被覆されていない領域が選択的にエッチングされる。機械的除去方法は、研磨、研削、掘削、アブレーション、(サンドまたは液体)ブラスト、および/またはそれらの組み合わせを含む。
別の実施の形態によれば、キャリアは少なくとも部分的に導電性/半導体材料からなる絶縁ディスクからなる。この場合、導電性/半導体材料からなる部分は絶縁ディスクの中心部に位置する。ある実施の形態においては、絶縁ディスクの選択された領域に空洞を形成し、導電性/半導体材料を空洞内に堆積してキャリアを形成する。絶縁ディスクの空洞は、ウェットエッチング、ドライエッチングおよび/または機械的除去方法を用いて形成することができる。前記エッチングマスクを空洞の形成に用いることもでき、エッチングマスクは前記リソグラフィ法によってパターニングされる。前記導電性/半導体材料は、PVD、CVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着、化学グラフト法、電子グラフト法、機械的堆積、はんだ付け、糊付け、その他の適切な堆積法、および/またはそれらの組み合わせにより空洞内に堆積できる。平面性を向上し表面粗さを低減するために、キャリアに平坦化工程を施す実施の形態もある。
前記導電性電極層は、導電性/半導体材料からなる1または複数の層からなっていても良い。例えば、導電性電極層は、Fe、Cu、Sn、Ag、Au、Pd、Co、Ti、Ta、Ni、Pt、Cr、Al、W、ITO、Si、Ru、Rh、Re、Os、Hf、Ir、Nb、その他の金属、合金、リン合金、SnAg、SnAgCu、CoWP、CoWB、CoWBP、NiP、AuCu、シリサイド、グラファイト、ダイヤモンド、ステンレス鋼、導電性ポリマー、はんだ材料、導電性酸化物または半導体酸化物または混合酸化物(例えば、ルテニウム、イリジウム、ロジウム、チタニウムおよび/または酸化タンタル等の上記金属の酸化物の混合物)、および/またはそれらの組み合わせからなっていても良い。導電性電極層は、ALD、(metal-organic chemical vapor deposition:MOCVD)、CVD、PVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着、電子グラフト法、その他の適切な堆積法、および/またはそれらの組み合わせによりキャリアに形成できる。例えば、無電解堆積法、電着、浸漬堆積法、電子グラフト法、化学グラフト法、選択的CVD、および/または選択的MOCVD等によって、前記導電性電極層を選択的に導電性/半導体表面に堆積する実施の形態もある。
導電性電極層に熱処理を施す実施の形態もある。熱処理は、高真空、フォーミングガス、水素ガス、窒素ガス、低酸素含有ガス、および/またはそれらの組み合わせからなる雰囲気下で行われても良い。熱処理は、アニーリング(例えば、急速熱アニール(rapid thermal anneal:RTA))、炉加熱、火炎アニール、熱板加熱、および/またはそれらの組み合わせであって良い。熱処理は、キャリアへの接触抵抗および/または内部応力を低減することにより、導電性電極層とキャリアの間の粘着性を向上し、および/または主電極の電気的および/または機械的特性(硬度および/または磨耗耐性等)を向上する。少なくとも1つの材料からなる複数の層を形成して、次の層を形成する前に少なくとも1つの層に前記熱処理を施すことによって導電性電極層を形成する実施の形態もある。
ある実施の形態によれば、前記導電電極層を形成する前に粘着層をキャリアの少なくとも一部に形成する。前記粘着層は、導電電極層のキャリアへの粘着性を高める1または複数の材料からなっている。粘着層は、Pt、Al、Ni、Pd、Cr、Ti、TiW等の導電性材料、AP−3000(ダウ・ケミカル社製)、AP−100(Silicon Resources社製)、AP−200(Silicon Resources社製)、AP−300(Silicon Resources社製)等の絶縁材料、HMDS等のシラン、および/またはそれらの組み合わせからなっていても良い。必要に応じて、例えば粘着層が絶縁性である場合キャリアへの電気的接続を確保するため、粘着層は前記キャリアの全領域を被覆しない。または、粘着層をキャリア全面に形成し、その後、例えば前側中央部のように導電電極層とキャリアの間の電気的接続が必要な領域から粘着層の一部を除去する。粘着層が、導電電極層の堆積を容易にまたは向上する触媒層として機能する実施の形態もある。粘着層は、電着法、スピンコーティング法、スプレーコーティング法、ディップコーティング法、分子気相成長法(molecular vapor deposition:MVD)、ALD、MOCVD、CVD、PVD、スパッタリング法、無電解堆積法、浸漬堆積法、電子グラフト法、化学グラフト法、および/または粘着材料に適した堆積法により形成することができる。
前記絶縁パターン層は、パターニングされた電気的に絶縁性の材料からなる1または複数の層からなっていても良い。絶縁パターン層は、層の表面粗さが低く、厚さの均一性が高くなる方法で形成されても良い。ある実施の形態によれば、絶縁パターン層は、熱酸化、熱窒化、PECVD、PVD、CVD、火炎加水分解蒸着(flame hydrolysis deposition:FHD)、MOCVD、電子陽極酸化処理、ALD、スピンコーティング法、スプレーコーティング法、ディップコーティング法、カーテンコーティング法、ローラーコーティング法、パウダーコーティング法、熱分解、粘着テープによるによる接着、接着剤による接着、その他の堆積法、および/またはそれらの組み合わせ等の方法により形成できる。
ある実施の形態によれば、絶縁パターン層をキャリアに形成する前に粘着層を形成する。前記粘着層は、前記絶縁パターン層とキャリア表面の間の粘着特性を高める少なくとも1つの材料からなる少なくとも1つの層からなっていても良い。前記粘着層は、絶縁材料または導電性材料からなっていても良い。例えば、前記粘着層は、Pt、Ni、Al、Cr、Ti、TiW、AP−3000(ダウ・ケミカル社製)、AP−100(Silicon Resources社製)、AP−200(Silicon Resources社製)、AP−300(Silicon Resources社製)、HMDS等のシラン、反射防止コーティング(bottom anti-reflective coating:BARC)、および/またはそれらの組み合わせからなっていても良い。粘着層は、PECVD、PVD、CVD、MOCVD、ALD、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、および/またはそれらの組み合わせ等の方法により形成することができる。
より平面度の高い表面を得るために、形成された絶縁パターン層に平坦化工程を施す実施の形態もある。前記平坦化工程は絶縁パターン層のパターニングに先立って行われても良い。平坦化工程は、化学機械研磨(chemical mechanical polishing:CMP)、ラップ仕上げ、接触平坦化(contact planarization:CP)等のエッチングおよび/または研磨、および/またはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチング、および/またはその他の平坦化方法、および/またはそれらの組み合わせ等の方法により実施することができる。
絶縁パターン層は、ポリマー等の有機化合物、または酸化物および/または窒化物等の絶縁無機化合物からなっていても良い。例えば、ポリマーとしては、ポリイミド、シロキサン変性ポリイミド、BCB、SU−8、ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、シリコーン、弾性重合体、電子ビームレジスト(ZEP等(住友)、フォトレジスト、薄膜レジスト、厚膜レジスト、多環オレフィン、ポリノルボルネン、ポリエチレン、ポリカーボネート、PMMA、BARC材料、リフトオフレイヤー(lift off layer:LOL)材料、PDMS、ポリウレタン、エポキシポリマー、フルオロエラストマ、アクリレート重合体、(天然)ゴム、シリコーン、ラッカ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、フルオロメチレンシアン酸エステル、無機−有機ハイブリッドポリマー、(フッ素化および/または水素化)非晶質炭素、および/またはその他のポリマー、および/またはそれらの組み合わせを用いることができる。例えば、無機化合物としては、有機ドープシリコンガラス(organic-doped silicon glass:OSG)、フッ素ドープシリコンガラス(fluorine-doped silicon glass:FSG)、PETE/シリコン化合物、オルトケイ酸テトラエチル(tetraethyl orthosilicate:TEOS)、SiN、SiO、SiON、SiOC、SiCN:H、SiOCH材料、SiCH材料、シリケート、石英系材料、シルセスキオキサン(silsesquioxane:SSQ)系材料、メチルシルセスキオキサン(methyl silsesquioxane:MSQ)、水素シルセスキオキサン(hydrogen silsesquioxane:HSQ)、TiO、Al、TiN、および/またはそれらの組み合わせを用いることができる。絶縁パターン層は、パターニング処理(リソグラフィおよび/またはエッチング)を容易にするような特性、下層への良好な粘着性、良好な力学的耐久性を有し、および/またはECPR処理および/または中間洗浄および/または除去工程において不活性であっても良い。
リソグラフィおよび/またはエッチングにより絶縁パターン層のパターン(空洞)を形成する実施の形態もある。前記リソグラフィは、UVリソグラフィ、レーザーリソグラフィ、電子ビーム(electron-beam:e-beam)リソグラフィ、ナノインプリンティング、その他のリソグラフィ法、および/またはそれらの組み合わせを含む。
前記絶縁パターン層は、ECPRエッチング構造またはECPRめっき構造の所望の寸法および高さにより、異なる高さを有しても良い。ある実施の形態においては、前記絶縁パターン層は数百ミクロンまでの厚さを有しても良い。また、別の実施の形態では、前記絶縁パターン層を20nmまで薄くしても良い。また、ある実施の形態では、空洞の高さ/幅比率(アスペクト比と呼ばれる)が10未満、例えば約5未満、例えば約2未満、例えば約1未満である。また、ある実施の形態では、例えばICアプリケーション上で、アスペクト比約5未満、例えば約2未満、例えば約1未満で絶縁パターン層は約50μm未満、例えば約15μm未満、例えば約5μm未満である。また、ある実施の形態では、例えばICアプリケーション上で、例えばIC相互接続グローバル配線に対して絶縁パターン層は約2μm未満、例えばIC相互接続中間配線に対して約500nm未満、例えばIC相互接続中間配線に対して約200nm未満、例えばIC相互接続「メタル1」配線に対して約100nm未満、例えばIC相互接続「メタル1」配線に対して約50nm未満である。前記化学電気セル内部では強制対流がないため、制限最大電流および最大めっき/エッチング速度は電解質の特性と電極間の距離、すなわち絶縁パターン層の高さによって決まる。イオン濃度が高い、電気化学的にエッチングされ堆積された材料からなる電解質を用いることにより、高い制限電流を得ることができる。さらに、導電電極と基板上のシード層の間の距離を狭くすることによっても、高い制限電流を得ることができる。しかし、短い距離、つまり薄い絶縁パターン層は、短絡の危険性を増大させる。形成される構造層の厚さは絶縁パターン層の約90%以下、例えば約50%以下、例えば約10%以下であって良い。
前記エッチングは、絶縁パターン層を残す領域を保護するためにエッチングマスクを用いて行われる、および/または機械的除去法が用いられる。エッチングは、ウェットエッチングおよび/またはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチングを含む。エッチングマスクのパターンは前記リソグラフィ法により形成できる。前記エッチングマスクは、例えば、薄膜フォトレジスト、ポリイミド、BCB、厚膜フォトレジスト、および/またはその他のポリマー等のソグラフィに用いられるポリマーレジストからなっていても良い。エッチングマスクは、SiN、SiO、SiC、Pt、Ti、TiW、TiN、Al、Cr、Au、Ni、Ag、NiP、その他の硬質材料、それらの合金、および/またはそれらの組み合わせからなるハードマスクであっても良い。前記ハードマスクは、PVD、CVD、MOCVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着、PECVD、ALD、その他の適切な堆積法、および/またはそれらの組み合わせにより形成できる。ある実施の形態においては、ハードマスクはリソグラフィでパターニングされた後、パターニングされたリソグラフィマスクで被覆されていない領域がウェットエッチングおよび/またはドライエッチングにより選択的にエッチングされる。
ある実施の形態においては、例えばハードマスクがCu、Ni、NiFe、NiP、Au、Ag、Sn、Pb、SnAg、SnAgCu、SnPb、および/またはそれらの組み合わせからなっている場合は、ハードマスクはECPRエッチング構造またはECPRめっき構造の層を少なくとも1層含んでいても良い。この場合、主電極の絶縁電極層は、前記エッチングと組み合わせて、他の主電極を用いてパターニングされ、他のリソグラフィ法は必要ない。
ある実施の形態においては、前記絶縁パターン層を形成する前にエッチングストップ層が形成される。エッチングストップ層は、1または複数の、絶縁パターン層よりもエッチングの影響を受けない材料からなる少なくとも1つの層からなっている。これにより、エッチングを停止または減速し、絶縁パターン層がエッチングにより貫通した時に下層を保護する。前記エッチングストップ層は、Ti、Pt、Au、Ag、Cr、TiW、SiN、Ni、Si、SiC、SiO、Al、InGaP、CoP、CoWP、NiP、NiPCo、AuCo、BLOKTM(適用された材料)、またはその他のエッチングによる影響が小さい材料、および/またはそれらの組み合わせからなっていても良い。
ある実施の形態によれば、絶縁パターン層の空洞の側壁の傾斜角を調整するために、前記パターニングを変更しても良い。傾斜角は、ECPRエッチング構造またはECPRめっき構造のアプリケーションにより決まる。ある実施の形態においては、垂直に近い側壁(絶縁層の側壁とキャリア表面の間の傾斜角が90°に近い。垂直は、水平状態の構造の通常の位置に関しての垂直を意味する)が、ある電気特性を得るために用いられる。これは、側壁が電極表面の法線に対して例えば約0.1°未満というような約1°未満の角度(傾斜角)を持っていることを意味する。別の実施の形態においては、傾斜角を大きくして、ECPRめっき構造からの主電極の分離工程を絶縁パターン層およびECPRめっき構造への損傷なく行えるようにしている。この場合の傾斜角は約45°まで、例えば20°まで、例えば5°までとしても良い。傾斜角をゼロより大きく変更することによって、絶縁層の空洞が上端部に底部より広い開口を持ち(通常、正傾斜角と呼ばれる)、前記分離工程が向上する。傾斜角は負の角度であってはならない。
ある実施の形態によれば、リソグラフィにより絶縁パターン層を形成する際に使用されるフォトレジストは、垂直な側壁または正傾斜角を実現する科学的または物理的特性を有していても良い。例えば、ゼロに近い傾斜角を得るために、SU−8(マイクロケム社製)、THB(JSR製)等のネガティブフォトレジスト、またはZEP(住友)等の電子ビームレジストを用いることができる。AZ(登録商標)、AXTM、AZ(登録商標)P9200、AZ(登録商標)P4000(AZエレクトロニック マテリアルズ製)、ARFレジスト(JSR製)、SPRレジスト(ローム・アンド・ハース電子材料株式会社製)等のポジティブフォトレジスト、および/またはその他のポジティブフォトレジストを用いて正傾斜角の絶縁パターン層を形成することもできる。傾斜角は、フォトリソグラフィックのパラメータを変更することによっても調整できる。例えば、映写レンズを通してフォトレジストを露光する際の焦点深度を変更することによって、側壁の傾斜角を変えることができる。また、例えば波長フィルタを用いる、反射防止コーティングを用いる、照射線量を変更する、現像時間を変更する、熱処理を用いる、および/またはそれらの組み合わせによりフォトリソグラフィックパターニングのパラメータを変えることによって、傾斜角を最適化できる。
別の実施の形態によれば、垂直な側壁または正傾斜角を得るために、前記絶縁パターン層をパターニングするためのエッチング法を変更しても良い。例えば、反応性イオンエッチング(reactive ion etching:RIE)等のドライエッチングのためのガス組成、プラテン電力(RF電力)、プラズマ電力(コイル電力とも呼ばれる)を最適化することによって、特定の傾斜角を得ることができる。前記ガス組成は、例えば、フッ化炭素、酸素、水素、塩素、および/またはアルゴンからなっていても良い。側壁上の保護物質の重合度を変更することによっても傾斜角を制御することができる。例えば、ガス組成中のフッ化炭素レベルを上げるまたは下げることにより、それぞれ、重合度が高くもしくは低くなり、傾斜角を大きく(垂直性を低く)または傾斜角を小さく(垂直性を高く)することができる。さらに、酸素および/または水素の含有量を変更することによって重合度を制御することもできる。つまり、酸素レベルを上げることによって重合度が低くなり、傾斜角を小さく(垂直性を高く)することができる。その逆についても同じことがいえる。また、水素レベルを上げることによって重合度が高くなり、傾斜角を大きく(垂直性を低く)することができる。その逆についても同じことがいえる。プラテン電力を一定に保ちつつ前記コイル電力を下げることにより前記傾斜角を小さく(垂直性を高く)する実施の形態もある。これにより、スパッタリング効果が向上し、前記絶縁パターン層をエッチングする際、側壁をより垂直にできる。逆にコイル電力を上げることにより、逆の効果を得ることができ、傾斜角を大きく(垂直性を低く)することができる。前記コイル電力を一定に保ちつつ前記プラテン電力を上げることにより前記傾斜角を小さく(垂直性を高く)する実施の形態もある。前記コイル電力を一定に保ちつつ前記プラテン電力を上げることにより、前記絶縁パターン層をエッチングする際、傾斜角を大きく(垂直性を低く)することができる。
さらに別の実施の形態によれば、ダマシンプロセスを用いて絶縁パターン層の空洞(パターン)を形成しても良い。前記ダマシンプロセスでは、まず犠牲パターン層をキャリア上に形成し、次に前記犠牲パターン層を覆って犠牲パターン層の空洞を埋めるように、絶縁パターン層について上述した方法を用いて絶縁材料を堆積し、前記平坦化方法により犠牲パターン層が露出するまで絶縁材料を平坦化した後、犠牲パターン層を除去することによって絶縁パターン層が形成される。例えば、犠牲パターン層は、ECPRエッチングまたはECPRめっき処理を構造層に施すことによって、または周知のリソグラフィおよび/またはエッチング/めっき処理によって形成することができる。このパターニング法は、例えばリソグラフィおよび/またはエッチングによって直接パターニングするのが難しい絶縁パターン層材料に適用しても良い。
ECPRめっき構造からの分離性を高めるために、絶縁パターン層表面を処理する実施の形態もある。例えば、絶縁パターン層表面は、前記空洞の側壁とECPRめっき構造の側壁の間に非粘着効果を得るように処理されても良い。この処理では、ECPRめっき構造に対する機械的および化学的結合を低下させるリリース層で、前記絶縁パターン層表面を被覆しても良い。リリース層は、スピンコーティング法、スプレーコーティング法、CVD、MOCVD、MVD、PVD、および/またはその組み合わせにより形成できる。リリース層は、メトキシシラン、クロロシラン、フルオロシラン等のシラン、ポリジメチルシロキサン、ポリエチレングリコールシロキサン、ジメチルシロキサンオリゴマ(dimethyl-siloxane:DMS oligomer)等のシロキサン、および/または非晶質フルオロポリマー、フルオロ炭素ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、サイトフルオロポリマー等のその他のポリマー、および/またはそれらの組み合わせからなっていても良い。
ある実施の形態によれば、絶縁パターン層に用いられる材料は、電解質の湿潤性能を良好にして絶縁パターンの空洞を埋めるような特性を持つ、および/または処理を施される。ある実施の形態においては、絶縁パターン層材料の少なくとも一部が低い表面エネルギー特性を有し、親水性である。つまり、水溶液に対して低い接触角を持っている。さらに、絶縁パターン層材料の一部が表面エネルギーを小さくして親水性表面を形成するような方法で処理されていても良い。この表面処理は、例えば熱処理、酸素/窒素/アルゴンプラズマ処理、固着防止のための表面の改質(surface conversion for anti-sticking:SURCAS)、および/または過酸化物、過硫酸塩、濃酸/強塩基等の強酸化剤による処理、および/またはそれらの組み合わせを含む。別の実施の形態によれば、絶縁パターン層の少なくとも一部が、高い表面エネルギーを持っている、または表面エネルギーを高めて疎水性表面とするような処理を施されても良い。その処理は水素プラズマによる処理を含んでも良い。ある実施の形態においては、絶縁パターン層は、絶縁パターン層の空洞の側壁が親水性となり、絶縁パターン層の上端部が疎水性となるような特性を持つ少なくとも1つの材料からなる1または複数の層からなっている。親水性材料は、例えばSiN、SiO、酸素プラズマ処理されたポリマー(フォトレジストおよび/またはエラストマ等)、および/またはその他の表面に極性機能分子基を持つ材料、および/またはそれらの組み合わせであって良い。疎水性材料は、水素終端ポリマー等の極性機能分子基を持たない材料、テフロン(登録商標)、フルオロシラン/クロロシラン、シロキサン、フルオロエラストマ、および/またはそれらの組み合わせであって良い。
別の実施の形態によれば、絶縁パターン層は、主電極がシード層に押し当てられた時に、絶縁パターン層の上面と基板上のシード層表面の間の機械的接触を向上するような特性を持つ少なくとも1つの材料からなる1または複数の層からなっていても良い。上述したように、絶縁パターン層は、少なくとも1層のエラストマ等の可撓性材料からなる層からなっても良く、または少なくとも1層の剛性材料からなる層と少なくとも1層のエラストマ材料からなる層からなっていても良い。前記エラストマ材料からなる層は前記剛性材料からなる層の上に配置される。前記エラストマ層は、高圧縮率、弾性特性、電気的絶縁性および/または低誘電性を持ち、ECPR処理および/または中間洗浄および/または除去工程環境下で、例えば電解質に対する良好な耐化学性を有する。エラストマ層は、PECVD、PVD、CVD、MOCVD、ALD、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、熱分解、および/またはそれらの組み合わせ等の方法により形成できる。また、エラストマ層は、金属、シリコン、ガラス、酸化物、窒化物および/またはポリマー等の下層に対する高粘着性、経時によるおよび/またはECPR処理環境下での、例えば電解質中での、収縮または膨張に対する高い耐久性、および/または汚染有機化合物を放出しない非ブリーディング特性、感紫外線性を有し、フォトリソグラフィによりパターニングされており、透明で、例えばドライエッチング等のエッチングによりパターニングされている。ある実施の形態においては、エラストマは、ポリジメチルシロキサン(polydimethylsiloxane:PDMS)、シリコーン、エポキシシリコーン、フルオロシリコーン、フルオロエラストマ、(天然)ゴム、ネオプレン、EPDM、ニトリルゴム、アクリレートエラストマ、ポリウレタン、および/またはそれらの組み合わせからなっていても良い。エラストマ層は、0.1GPa未満、例えば1MPa未満、例えば約0.05MPa未満、の引張弾性係数(ヤング率)を有しても良い。またはエラストマ層はShore-A硬度が90未満、例えば30未満、例えば約5未満であって良い。
ある実施の形態によれば、絶縁層は、例えばパターニングされたキャリア等のすでにパターニングされた表面に形成されても良い。ある実施の形態においては、絶縁パターン層は、例えば、熱酸化、熱窒化、スパッタリング、PECVDおよび/またはALD等の、用いられた材料が下層のパターニングされたキャリアの構造に等角的に追随するような方法で形成される。前記絶縁層は、下層のパターニングされたキャリアの少なくとも一部が露出するようにパターニングされても良い。パターニングは、例えば、絶縁パターン層が前記キャリアの構造の上端部および/または側壁を被覆しつつ、前記パターニングされたキャリアの空洞の底部の少なくとも一部領域が露出するように行われても良い。前記パターニングは、上記のリソグラフィおよび/またはエッチングにより実施できる。前記絶縁パターン層が形成される前に、前記パターニングされたキャリアが、パターニングされた構造上に絶縁材料からなる層を少なくとも1層有する実施の形態もある。例えば、キャリアを絶縁材料からなる層を少なくとも1層含むエッチングマスクを用いてエッチングによりパターニングし、エッチングマスクを絶縁パターン層を形成する前に除去しない。これにより、構造上に絶縁材料からなる層がパターニングされたキャリアの底部に比べて厚く形成される。この実施の形態では、上端部を露出する前に、ドライエッチング等のエッチングによりパターニングされたキャリアの空洞の底部を露出する。前記ドライエッチングは、異方性エッチングとして知られる前記パターニングされたキャリアの面に垂直な方向のエッチング速度が横方向のエッチング速度より速いエッチングであって良く、これにより、側壁を絶縁材料に覆われたままに保ちつつパターニングされたキャリアの空洞の底部の絶縁パターン材料を露出できる。別の実施の形態によれば、絶縁パターン層は、前記キャリアおよび/または前記導電電極層への電気的接続に用いられる少なくとも一部を露出するようにパターニングされる。
以下に、主電極の実施の形態を図面を参照して説明する。
ある実施の形態によれば、導電性/半導体ディスク2および絶縁コーティング層3からなるキャリア1を用意する。図1(a)に示すように、前記絶縁コーティング層3は、前側および裏側の中心領域を除く、導電性/半導体ディスク2の全領域を被覆しても良い。導電性/半導体ディスク2を被覆し、少なくとも一部と電気的に接続するように、導電電極層4がキャリア1の前側に形成されても良い。ある実施の形態においては、前記導電電極層4はまた、前記絶縁コーティング層3の少なくとも一部を被覆している。外部電源から主電極への良好な電気的接続を得るために、キャリアの裏側において、前記導電性/半導体ディスクの少なくとも一部上に接続層5を備える実施の形態もある。図1(b)は、導電性/半導体ディスク2および絶縁コーティング層3からなり、導電電極層4と接続層5を備えるキャリア1の一実施の形態を示す断面図である。ある実施の形態においては、図1(c)に示すように、絶縁材料6がキャリア1および導電電極層4上に堆積している。絶縁材料をリソグラフィおよび/またはエッチングによりパターニングして、絶縁パターン層7を形成しても良い。図1(d)は、キャリア1、導電電極層4、接続層5、および絶縁パターン層7からなる主電極8の一実施の形態を示す断面図である。
ある実施の形態においては、キャリア1は、図2(a)に示すように、少なくとも部分的に導電性/半導体材料10を充填した導電性ビア11を中央に備えた絶縁ディスク9を備えている。主電極と基板の間の整合をとるために、絶縁ディスク9は透明であっても良い。ある実施の形態においては、導電電極層4をキャリア1の前側に形成されても良い。また、外部電源から主電極への良好な電気的接続を得るために、接続層5を裏側に形成しても良い。導電電極層4と接続層5の間の電気的接続はビア11によって確保することができる。図2(b)は、絶縁ディスク9および導電性ビア11を含むキャリア1、導電電極層4、および接続層5の一実施の形態を示す断面図である。図2(c)に示すように、絶縁材料6をキャリア1および導電電極層4上に堆積しても良い。絶縁材料をリソグラフィおよび/またはエッチングによりパターニングして、絶縁パターン層7を形成しても良い。図2(d)は、絶縁ディスク9および導電性ビア11を含むキャリア1、導電電極層4、接続層5、および絶縁パターン層7からなる主電極の一実施の形態を示す断面図である。
ある実施の形態によれば、少なくとも一部分、例えばキャリアの前側、が絶縁コーティング層3に被覆された導電性/半導体ディスク2からなるキャリア1を用意する。図3(a)に示すように、まず、絶縁コーティング層で導電性/半導体ディスク全面を被覆する実施の形態もある。絶縁コーティング層をリソグラフィおよび/またはエッチングによりパターニングして、絶縁パターン層7を形成しても良い。こうして形成された空洞内では、図3(b)に示すように、導電性/半導体ディスク2の少なくとも一部が露出する。図3(c)に示すように、絶縁パターン層の空洞の底部の導電性/半導体ディスク上に導電電極層4が選択的に形成されても良い。一部、例えば裏側中心、の絶縁パターン層7を除去し、導電性/半導体ディスク2を露出させて主電極への電気的接続を確保しても良い。外部電源から主電極への良好な電気的接続を得るために、主電極の、例えば裏側の、導電性/半導体ディスクの露出した領域に接続層5を形成しても良い。導電電極層4の形成に先立って、裏側の絶縁パターン層7の一部を除去する実施の形態もある。そして、導電電極層と同じ工程で、同様の方法により接続層5を形成しても良い。接続層5が少なくとも1層からなり、導電電極層4の形成と同じ工程で形成された後、次の工程で少なくとももう1つの導電層を形成する実施の形態もある。図3(d)は、導電性/半導体ディスク2、絶縁パターン層7、導電電極層4、および接続層5からなる主電極8を示す断面図である。図3(e)は、導電性/半導体ディスク2、絶縁パターン層7、導電電極層4、および接続層5からなる主電極8の別の実施の形態を示す断面図である。図3(e)において、接続層は複数の層からなり、少なくとも1層が裏側で絶縁パターン層7の一部を被覆している。
さらに別の実施の形態によれば、導電性/半導体のキャリア1を用意する。キャリアは、少なくとも前側をリソグラフィおよび/またはエッチングによりパターニングされている。ある実施の形態においては、パターニングに用いられるエッチングマスク12は絶縁材料を含む。
図4(a)は、エッチングマスク12を備えた導電性/半導体のキャリア1を示す断面図である。絶縁パターン層7をパターニングされた前記キャリアおよび前記エッチングマスク12上に形成しても良い。絶縁パターン層7が、図4(b)に示すように、下層のパターン層の構造に等角的に追随するような方法で形成される実施の形態もある。層12が加わることにより、前記パターン上に絶縁層が空洞の底部に比べて厚く形成される。
側壁および上端部の絶縁パターン層7を残しつつパターン底部の絶縁パターン層7からキャリア1を露出させるためにエッチングを用いても良い。空洞の底におけるエッチング速度が側壁におけるエッチング速度より速いドライエッチングを用いても良い。キャリアのパターニングに用いる前記エッチングマスク12の厚みに対応する絶縁材料厚を上端部に残しつつ、空洞の底部から上端部と同量の絶縁材料を除去する実施の形態もある。図4(c)は、キャリア1、エッチングマスク12、およびパターニングされたキャリアの空洞の底を露出するためにエッチングされた絶縁パターン層7からなる主電極8を示す断面図である。
ある実施の形態においては、パターニングされたキャリアのエッチングマスク12に被覆されていない領域または絶縁パターン層7に導電電極層が選択的に形成される。そして、図4(d)に示すように、絶縁パターン層を除去するために第2のエッチングマスク12を形成して、次の工程でキャリア1の一部を露出させても良い。
裏側の絶縁パターン層の一部はリソグラフィおよび/またはエッチングにより除去することができる。外部電源から主電極への良好な電気的接続を得るために、接続層5をキャリアの露出した部分に形成しても良い。主電極における電気的接続が主電極の裏側中央に形成される実施の形態もある。導電電極層4と同じ工程で接続層5を形成する実施の形態もある。この場合、接続領域のキャリアの露出は、導電電極層4の形成に先立って実施される。接続層5をキャリア1の露出した部分にのみ形成する実施の形態もある。また、別の実施の形態においては、接続層はキャリアの露出した部分および絶縁パターン層7の一部に形成される。
図4(e)は、絶縁エッチングマスク12をキャリア構造上に用いてパターニングされた導電性/半導体キャリア1、絶縁パターン層7、パターニングされたキャリアの空洞に成膜された導電電極層4、および裏側の絶縁パターン層の一部およびキャリアの露出した部分に形成された接続層5からなる主電極の一実施の形態を示す断面図である。
ある実施の形態においては、導電電極層4の形成に先立って絶縁パターン層7の空洞底部のキャリア1から例えばエッチングにより材料を除去して、主電極8の空洞を深くしても良い。エッチングとしては、ドライエッチングを用いても良い。絶縁パターン層7をエッチングマスクとして用いる実施の形態もある。より深い空洞を形成することにより、主電極の空洞を、より多くのECPRめっき処理に用いられる事前に堆積材料および/またはECPRエッチングによりエッチングされた材料で充填できる。図5は、絶縁パターン層7の空洞をキャリア1内に深くエッチングし、その後キャリア1を選択的に堆積した導電電極層4で被覆した主電極8を示す断面図である。
ある実施の形態においては、絶縁接着層13を接着してパターニングすることにより前記絶縁パターン層7を前記キャリア1上に形成する。キャリア1が、キャリアの前側および裏側中心部を除いて絶縁コーティング層3により被覆された導電性/半導体ディスク2からなる実施の形態もある。また、キャリア1が、導電性ビア11をキャリア中央に備えた絶縁ディスク9からなる実施の形態もある。
ある実施の形態においては、絶縁接着層13の形成に先立って導電電極層4がキャリアに形成される。絶縁接着層13を、絶縁接着層がキャリア1上に形成された後除去できる接着キャリア14に付着する実施の形態もある。例えば、Si接着キャリア14上で絶縁接着層13はSiOであり、またはその他の除去可能な接着キャリア14上で絶縁接着層13は石英等のガラスまたは高分子フィルムである。粘着強度等の接着特性を向上するために絶縁接着層13をキャリア1に付着する前に、粘着接着層15を絶縁接着層13上に形成する実施の形態もある。粘着接着層15は、キャリアおよび/またはキャリア1上の導電電極層4への良好な接着特性を実現するような材料からなっていても良く、導電性材料からなっている。または、粘着接着層15は、非導電性材料からなり、エッチングにより選択的に除去されても良い。例えば、粘着接着層15は、導電電極層4への良好な接着性を示す金属および/または合金からなっていても良い。粘着接着層15は、前記導電電極層4について述べたような材料からなっていても良い。
図6(a)は、導電電極層4を含み、絶縁接着層13および粘着接着層15を備えた接着キャリア14が付着される前のキャリア1を示す断面図である。
図6(b)は、接着キャリア14の絶縁接着層13が、粘着接着層15および導電電極層4を介してキャリア1に接着される様子を示す。絶縁接着層13とキャリア1の間の層が接着過程で変化(混合)し、接着中間層16が形成される実施の形態もある。接着キャリア14は、機械的手法および/またはドライエッチング、ウェットエッチング等のエッチングにより除去しても良い。接着キャリア14が除去された後、絶縁接着層13をリソグラフィおよび/またエッチングによりパターニングしても良い。図6(c)は、パターニングされ、接着中間層16により導電電極層4および粘着接着層15を介してキャリア1に接着された絶縁接着層13を備えた主電極8の一実施の形態を示す断面図である。導電電極層4が前記接着中間層16上、もしくは、接着中間層16が存在しない場合(つまり、絶縁接着層13が直接キャリア1上に形成されている時)キャリア1上のパターニングされた絶縁接着層13の空洞内に選択的に成膜される実施の形態もある。
ある実施の形態においては、主電極は外部電源から前記導電電極層の少なくとも一部への電気的接続を可能にする。
ある実施の形態においては、外部電源から導電電極層の少なくとも一部に接続する前記キャリアの導電性/半導体材料への電気的接続が形成される。
ある実施の形態においては、外部電源から導電電極層に接続するキャリアの導電性/半導体部分の少なくとも一部に接続する接続層への電気的接続が形成される。
例えば、電気的接続は前記キャリアの裏側、つまり主電極の絶縁構造の反対側、に位置する。電気的接続が前記キャリアの裏側中央に位置する実施の形態もある。また、電気的接続が、例えば前記キャリアの周辺等の前側から形成される実施の形態もある。
ある実施の形態においては、ECPRエッチングまたはECPRめっき処理間に絶縁パターン層および基板によって規定される空洞を充填する電解質中を除いて、電解質を介しておよび/または直接、導電電極層への電気的接続と基板への電気的接続の間で短絡および/または顕著な電気的接続がなくなるように、前記キャリアの絶縁部および/または絶縁パターン層が形成されていても良い。例えば、絶縁材料は、絶縁パターン層の空洞および電気的接続領域内を除くキャリアの導電性/半導体部分全体を覆っている。
ある実施の形態においては、ECPRエッチングまたはECPRめっき処理間に主電極が基板に接触して配置された時に、主電極は外部電源から基板上のシード層への電気的接続を許容する特性を有する。
シード層の電気的接続に使用可能な少なくとも一部の領域が、物理的に基板に接触していない主電極に被覆されない実施の形態もある。
基板上のシード層への電気的接続を、広いシード層領域に接触する領域を有する主電極を用いて実現する実施の形態もある。
図7(a)は、大きな基板17上のシード層18の領域に接触する狭い領域を有する主電極8を示す断面図である。
図7(b)は、大きな基板上のシード層18の領域に接触する狭い領域を有する主電極の一実施の形態を示す上面図である。
ある実施の形態においては、主電極と基板は同様の寸法を有し、基板上のシード層への電気的接続のための場所を確保するために、主電極の少なくとも一部から材料が除去される。また、ある実施の形態においては、基板上のシード層への接続を許容するため、主電極周辺部に凹みを設ける。
図7(c)は、基板上のシード層への電気的接続を許容する凹み19を備えた主電極8を示す断面図である。前記凹みは主電極の全周辺にわたって設けられても良いし、いくつかの特定の接続部位にのみ設けられても良い。
基板17上のシード層17への電気的接続を許容する、主電極8を通る接続孔20を設ける実施の形態もある。接続孔20は主電極8の周辺部に隣接して形成される。
図7(d)は、絶縁パターン層7および導電電極層4を備え、周辺部に接続孔20が形成された主電極の前側を示す上面図である。図7(e)に示すように、接続孔20は主電極8の領域内に形成さる。前記凹みおよび/または接続部位は、リソグラフィおよび/またはエッチングおよび/または研磨、研削、掘削、アブレーション、CNC加工、超音波加工、ダイヤモンド加工、水ジェット加工、レーザー加工、(サンドまたは液体)ブラスト、および/またはそれらの組み合わせ等の機械的手法により形成することができる。凹みおよび/または接続部位は、電気的接続に適合するような寸法であって良い。電気的接続は、例えば、薄箔、バネ、ピン、および/またはその他の適切な電気的接続、および/またはそれらの組み合わせからなっていても良い。電気的接続は、ECPRエッチングおよび/またはECPRめっき処理中および/またはそれらの処理に用いられるステンレス鋼、Au、Ag、Cu、Pd、Pt、白金めっきチタン、および/またはそれらの組み合わせ等の電解質中で腐食または酸化しない材料からなる層を少なくとも1層含んでいても良い。
ある実施の形態においては、主電極の設計により備えられるシード層への接続部位は、ECPRエッチングおよび/またはECPRめっき処理中のシード層の電流分布を均一にするよう配置されている。例えば、シード層周辺部への連続電気的接続を許容するよう、凹みを主電極の全周辺にわたって設けても良い。別の実施の形態においては、基板上のシード層への電気的接続を良好に分配するよう、いくつかの(例えば少なくとも3つの)接続孔を主電極の周辺に沿って均一に配置しても良い。
ある実施の形態においては、主電極の一部は導電性で導電電極層に接続され、シード層への電気的接続に接触しておよび/または近接して配置されており、ECPRエッチングおよび/またはECPRめっき処理中の主電極の導電電極層から基板上のシード層への短絡を防ぐために絶縁材料によって被覆されている。
シード層への電気的接続が主電極の集積部分となる実施の形態もある。この場合、主電極上のシード層接続は、導電電極層に接続する主電極の導電部から絶縁されていなければならない。さもないと、主電極がECPRエッチングまたはECPRめっき処理に使用された場合、2つの電極間で短絡が起こる可能性がある。主電極の導電電極層への電気的接続を、キャリアの絶縁コーティングが除去されている前記キャリアの裏側中央に設ける実施の形態もある。この場合、シード層接続は、絶縁材料によってキャリアの導電部から分離された、裏側周辺から前側への導電層であっても良い。前記シード層接続は、導電電極層について上述した材料から同様の方法を用いて形成しても良い。
図7(f)は、導電性キャリア、絶縁パターン層7、および導電電極層4からなる主電極8を示す。前記絶縁パターン層は、接続層5を通して電気的接続が可能な裏側中央および前側の空洞内を除く、導電性キャリアの全領域を覆っている。前記シード層接続31は主電極の裏側周辺部、端部、および前側周辺部に設けられている。シード層接続31は主電極のその他の導電部から絶縁パターン層により絶縁されている。絶縁パターン層はシード層接続の側部に位置しても良い。
図7(g)は、絶縁パターン層7、導電性キャリア1、導電電極層4、接続層5およびシード層接続31からなる主電極8が、シード層18を備えた基板17に接触して配置された様子を示す。絶縁層とシード層の間の空洞によって規定される電気化学セル内に電解質29が封入されている。外部電圧源が接続層5(接続層は前記キャリア1を介して前記導電電極層4に電気的に接続している)およびシード層接続31(前記シード層接続は前記シード層に電気的に接続している)に接続して、絶縁パターン層の空洞内の陽極である前記導電電極層に事前に堆積された陽極材が溶解して電解質に運ばれ、陰極であるめっき構造24が前記電気化学セル内のシード層上に形成される。電圧源の極性を反転することによって、シード層は電気化学的にエッチングされる。
図7(h)は、シード層接続31が、絶縁パターン層の空洞に隣接する端部を除く絶縁パターン層7のほぼ全面にあたる広い領域に形成された様子を示す。図7(h)中、シード層接続31の個々の部分は、絶縁パターン層が連続面を形成するかもしれないため、図示されていない部分によって相互接続されている。
絶縁パターン層が連続面を形成しない場合、図7(i)に示すように、シード層接続31の個々の部分は、キャリアを通って、キャリアの裏側の接続領域に接続する。さもなければ、分離したシード層接続31の個々の部分に接触するシード層が、シード層接続31の部分間の接続を形成する可能性がある。シード層接続31の個々の部分は、シード層、特に薄いシード層、の抵抗の低減に寄与する。抵抗の低減には後述するような効果がある。
ある実施の形態においては、キャリアに対する絶縁パターン層の形成方法を向上するために、前記凹み(図7(d)参照)は主電極に用いられるキャリアの周辺部に形成される。例えば、絶縁パターン層をキャリアにポリマ(例えばフォトレジスト)をスピンコーティングまたはスプレーコーティングにより塗布して形成する場合、キャリア周辺部に塗布処理による絶縁材料のエッジビードが形成される可能性がある。スピンコーティングまたはスプレーコーティングにより絶縁パターン層を形成する前にキャリアに凹みを形成することにより、エッジビードの発生を抑制もしくは防止できる。エッジビードの発生を抑制もしくは防止することにより主電極においてより平面性の高い表面を得ることができ、ECPRエッチングおよび/またはECPRめっき処理中の主電極と基板の物理的接触を高めることができる。エッジビードの発生はキャリアの凹みの特性に因るところが大きい。図8(a)から図8(h)は、主電極に用いられるキャリアの凹みの異なる実施の形態を示す。
図9(a)は、凹みのないキャリアを用いた場合に絶縁パターン層7に形成されるエッジビード21の様子を示す。図9(b)は、図8に例示された実施の形態の凹みのあるキャリアのいずれかを用いた場合に、絶縁パターン層7に形成されるエッジビード21が低減している様子を示す。図8(a)から図8(h)に示される実施の形態のすべてがいずれも、絶縁パターン層7のエッジビード21を低減するために用いることができる。
スピンコーティングにより絶縁パターン層7を形成する際にスピンキャリア22を用いることによりエッジビード21を低減する実施の形態もある。スピンキャリア22を用いる方法は、図10(a)に示すように、スピンキャリア22が主電極のキャリア1を埋め込んだ凹みを有することに特徴がある。スピンキャリアの凹みの高さは主電極のキャリアの厚さと同じであって良い。主電極のキャリアの端部とスピンキャリアの空洞の側壁の間の隙間はできる限り小さくする。例えば粘着層を用いるおよび/またはスピンキャリアに形成された1または複数の真空溝を通じて真空を作ることによって、キャリア1をスピンキャリアに取り付けることができる。スピンキャリア22を用いてスピンコーティングにより絶縁パターン層7を形成する場合、図10(b)に示すように、主電極のキャリア1の周辺部ではなくスピンキャリア22の周辺部に絶縁パターン層6のエッジビード21が生ずる。図10(c)は、スピンキャリア22から分離された後絶縁パターン層7をパターニングされた主電極8を示す。
ある実施の形態においては、図11(a)に示すように、有機溶剤中での溶解、機械的除去、および/またはリソグラフィおよび/またはエッチングによるエッジビード領域からの絶縁パターン層の除去等のエッジビード除去方法を用いて絶縁パターン層7の前記エッジビード21を除去することができる。図11(b)は、前記エッジビード除去方法により絶縁パターン層7のエッジビード21が除去された主電極8を示す。
ある実施の形態においては、主電極8の製造中、導電電極層4とキャリア1の導電性/半導体部分の電気抵抗が、ECPRエッチングおよび/またはECPRめっき処理が施されている基板17上のシード層17の電気抵抗と一致することがある。低いまたは高い低効率の材料を選択することにより、および/またはキャリア1および/または導電電極層4を厚くまたは薄くすることにより、キャリア1および/または導電電極層4の抵抗をそれぞれ大きくまたは小さくすることができる。ECPRエッチングおよび/またはECPRめっき処理中の電流の総抵抗は、以下の経路の抵抗の合計により決まる。
1.キャリア1の導電性/半導体部分
2.導電電極層4
3.ECPRエッチングおよび/またはECPRめっき処理により形成された電気化学セル23
4.基板上のシード層18
便宜上、前記キャリア1の導電性/半導体部分を通る経路の抵抗をRとし、前記導電電極層4を通る経路の抵抗をRとし、前記シード層18を通る経路の抵抗をR18とし、前記ECPRエッチングおよび/またはECPRめっき処理により形成された電気化学セル23を通る経路の抵抗をR23とする。
ある実施の形態においては、主電極8のキャリア1および導電電極層4は、ECPRエッチングおよび/またはECPRめっき処理中に供給される電流が、キャリア1、導電電極層4およびシード層18を通過する際、どの領域内で電気化学セル23を流れるかにかかわらず等しい総抵抗を受けることを特徴とする。これは、外部電源からキャリア1の裏側中央および基板17上のシード層18の周辺部のみへの電気的接続を形成することにより実現できる。この場合、キャリア1の裏側中央から前記キャリア、導電電極層4、シード層18を通って周辺部の電気的接続へ流れる電流に対する総抵抗は、どの領域内で電気化学セル23を流れるかにかかわらず同じである。また、ECPRエッチングおよび/またはECPRめっき処理中の電流密度もシード層電気的接続に対する電気化学セルの位置にかかわらず同じである。したがって、電流密度に線形に比例するエッチング速度および/またはめっき速度は、位置にかかわらず電気化学セル内すべてで同じである。上記のように主電極/シード層抵抗を一致させることにより、本質的に従来の電着/電気化学エッチングに係る、不均一な径方向高さ分布を生じる熱効果と呼ばれる径方向依存性の不均一なエッチング/めっき速度の問題を低減または防止することができる。
ある実施の形態においては、例えばシード層が薄い場合、キャリア1および導電電極層4の総抵抗はシード層18の抵抗よりも小さくなり、ECPRエッチングおよび/またはECPRめっき処理を行なう際に、基板または主電極中央よりも周辺部に近接して位置する電気化学セル23内の電流密度が高くなる。例えばシード層が厚い場合、キャリア1および導電電極層4の総抵抗はシード層18の抵抗よりも大きくなり、ECPRエッチングおよび/またはECPRめっき処理を行う際に、基板17または主電極8中央よりも周辺部に近接して位置する電気化学セル23内の電流密度が低くなる。
例えば、図12(a)に示すように、中央の電気化学セル23への経路または電気化学セル23からの経路の抵抗R’を、J’を中央の電気化学セルの電流密度、J”を周辺部の電気化学セルの電流密度とした場合、以下の関係を満たすように周辺部の電気化学セルへの経路または電気化学セルからの経路の抵抗R”と一致させることができる。
1. if R’=1/(1/R1’+1/R4’)+R18’ is equal to R”=1/(1/R1”+1/R4”)+R18”, then j’=j”; or
2. if R’=1/(1/R1’+1/R4’)+R18’ is greater than R”=1/(1/R1”+1/R4”)+R18”+, then j’<j”; or
3. if R’=1/(1/R1’+1/R4’)+R18’ is less than R”=1/(1/R1”+1/R4”)+R18”, then j’>j”
抵抗R1およびR4を異なる方法でR18と一致させることにより、主電極の中心から周辺部へ径方向に沿った、ECPRエッチング構造および/またはECPRめっき構造の特定の高さ分布を得ることができる。
詳細には、材料の低効率から層の厚さを調整することによって、主電極における抵抗をシード層における抵抗と一致させる実施の形態もある。ある実施の形態においては、R+R=R18となるようにキャリア1および導電電極層4の厚さと低効率をシード層の厚さと低効率と一致させることにより、電流密度(エッチング速度またはめっき速度)が径方向に均一に分布する。図12(b)は、ECPRエッチング構造および/またはECPRめっき構造24の径方向高さ分布を示す。
別の実施の形態においては、R1+R4<R18となるようにキャリア1および導電電極層4の厚さと低効率をシード層の厚さと低効率と一致させることにより、中心部および周辺部に近い領域における電流密度を高くしている。図12(c)は、ECPRエッチング構造および/またはECPRめっき構造24の径方向高さ分布を示す。
さらに別の実施の形態においては、R1+R4>R18となるようにキャリア1および導電電極層4の厚さと低効率をシード層の厚さと低効率と一致させることにより、中心部および周辺部に近い領域における電流密度を高くしている。図12(d)は、ECPRエッチング構造および/またはECPRめっき構造24の径方向高さ分布を示す。
図1(d)に示すように、キャリア1の導電性部分(例えば導電性/半導体ディスク2)が、絶縁コーティング層3の存在によって裏側中央の導電電極層にのみ接続している実施の形態もある。この場合、導電電極層4の厚さと低効率のみシード層18と一致させれば良い。
ECPRエッチング構造および/またはECPRめっき構造24の径方向高さ分布を、前または後の工程による異なる高さ分布を保障するために用いる実施の形態もある。ある実施の形態においては、図13(a)に示すように、主電極における抵抗を、(例えばPVDにより)凹面層25を備えた基板17上に均一な厚さで形成されたシード層18の抵抗と一致させて、1/R1+1/R4<1/R18となるようにし、ECPRエッチング構造および/またはECPRめっき構造24が凹面層を保障する凹状径方向高さ分布を有して形成される。これにより、図13(b)に示すように、前記ECPRエッチング構造および/またはECPRめっき構造24の上端部の高さが基板から均一の高さhとなる。また、別の実施の形態においては、図14(a)に示すように、主電極における抵抗を、(例えばPVDにより)凸面層26を備えた基板17上に均一な厚さで形成されたシード層18の抵抗と一致させて、1/R1+1/R4>1/R18となるようにし、ECPRエッチング構造および/またはECPRめっき構造24が凸面層を保障する凸状径方向高さ分布を有して形成される。これにより、図14(b)に示すように、前記ECPRエッチング構造および/またはECPRめっき構造24の上端部の高さが基板から均一の高さhとなる。
主電極とシード層の抵抗を一致させる方法は、図12に示される主電極の実施の形態に制限されるものではなく、主電極のすべての実施の形態に適用することができる。
特定のめっき効果またはエッチング効果を得るために、主電極を中心部から異なる厚みを有するおよび/または中心部から異なる低効率を有する異なる材料からなる電極層およびディスクを用いて形成しても良い。例えば、中心部から半径方向距離半分の位置において厚さを半分にしたり、または低効率を2倍にしたりしても良い。内側半分がシード層の抵抗に適合している場合、対応する領域内の構造の高さは均一になり、その他半分の領域に対応する構造の高さは低くなる。この他の設計も可能である。
ある実施の形態においては、主電極をECPRエッチングおよび/またはECPRめっき処理に用いる基板に位置合わせするための位置合わせマークを主電極の一部に形成しても良い。前記位置合わせマークが主電極上の空洞および/または構造を含む実施の形態もある。構造および/または空洞は前記リソグラフィおよび/またはエッチングにより形成できる。位置合わせマークには、前記キャリア、前記導電電極層および/または前記絶縁パターン層と同様の材料を用いることができる。位置合わせマークは、前記キャリア、前記導電電極層および/または前記絶縁パターン層に設けることができる。位置合わせマークが導電電極層の構造または空洞の一部である実施の形態もある。位置合わせマークを、前記キャリアの裏側の絶縁パターン層を部分的に露出する工程と同じ工程で形成する実施の形態もある。ある実施の形態においては、主電極は、位置合わせに用いられる光(例えば、紫外線、可視光線、赤外線、および/またはX線)に対して透明なキャリア、導電電極層、位置合わせマークを備えた絶縁パターン層からなる。導電電極層が位置合わせに用いられる光に対して透明ではない場合、導電電極層の位置合わせマークが位置するおよび/または位置すべき場所に開口を形成し、位置合わせに用いられる光が開口において主電極を通過できるようにしても良い。開口は、キャリアが導電電極層に被覆される時(例えば、堆積過程で特定領域を被覆する時)に形成しても良い。開口は、導電電極層が形成された後(例えば、機械的手法、リソグラフィおよび/またはエッチングにより)に形成しても良い。整合構造を透明材料から形成し、主電極の基板に対する位置合わせを容易にする実施の形態もある。または、位置合わせマークが導電電極層および/またはキャリアに形成される。絶縁パターン層が透明ではない場合、絶縁パターン層の位置合わせマークの位置にリソグラフィおよび/またはエッチングにより開口を形成する。前記絶縁パターン層の開口を絶縁パターン層をパターニングする際に形成する実施の形態もある。ある実施の形態においては、位置合わせマークは不透明な材料からなり、例えば金属が石英の上というように、透明な材料の一部の上に位置しても良く、これにより位置合わせマークの良好なコントラストを得ることができる。ある実施の形態においては、主電極は、位置合わせマークを裏側に備えた不透明なキャリア、導電電極層、絶縁パターン層からなる。これにより、主電極前側の絶縁パターン層の構造を裏側の位置合わせマークに対して整合することができる。または、位置合わせマークを絶縁パターン層を形成した後に形成し、裏側の位置合わせマークを前側の絶縁パターン対して位置合わせする。主電極が不透明なキャリアを有していても、例えば対向位置合わせ法を用いる場合等、整合構造が絶縁パターン層および/または導電電極層の一部である実施の形態もある。例えば不透明なキャリアを用いるような実施の形態においては、主電極前側の導電電極層および/または絶縁パターン層に位置合わせマークを形成しても良い。リソグラフィおよび/またはエッチングにより、裏側から前記位置合わせマークが見えるようにキャリアの位置合わせマークの位置に貫通孔を形成し、背面位置合わせ法を可能としても良い。ある実施の形態においては、前記貫通孔を導電電極層および絶縁パターン層を形成した後に形成しても良く、また、例えば先に前記貫通孔に透明材料を充填する時等場合によっては、前記貫通孔を導電電極層および絶縁パターン層を形成する前に形成しても良い。
ある実施の形態においては、整合工程はキャリブレーション工程を含んでいても良い。キャリブレーション工程は、ある電極により形成されたECPRエッチング構造層および/またはECPRめっき構造層のオーバーレイエラーを測定し、次のECPRエッチングおよび/またはECPRめっき処理工程で前記主電極を用いる際に前記エラーを保障することを特徴とする。これは、例えば背面位置合わせキーを主電極に有する場合等に有用である。
ある実施の形態においては、絶縁パターン層を、ECPRエッチングおよび/またはECPRめっき処理に用いられる基板のトポグラフィを保障するまたはトポグラフィに適合するように形成しても良い。
これは、ECPRエッチングおよび/またはECPRめっき処理の際、主電極と基板を密着する時に、基板のトポグラフィ領域に対応する領域に少なくとも1つの空洞が形成された絶縁パターン層を備えた主電極を用いることにより実現できる。ある実施の形態においては、基板のトポグラフィを保障する空洞は、絶縁パターン層のその他の空洞ほど深くなくても良い。トポグラフィ周囲の空洞が主電極の導電電極層に届かない場合、その他の実施の形態では使用されるこの特定領域においてECPRエッチングおよび/またはECPRめっき処理は行われない。絶縁層を2回以上パターニングすることにより異なる高さの構造から絶縁パターン層を形成する実施の形態もある。基板のトポグラフィは部分的に、シード層のように、導電性の材料が用いられていなくても良い。
ある実施の形態においては、まず、リソグラフィおよび/またはエッチングにより絶縁パターン層を形成し、キャリアまたは導電電極に至る空洞を形成する、次に、絶縁パターン層を少なくとも部分的にもう1度パターニングし、基板上のトポグラフィを保障し、キャリアまたは導電電極層まで及ばない空洞を形成する。
図15(a)は、エッチングマスク12が形成され、キャリア上の絶縁パターン層6の上端部でリソグラフィによりパターニングされた様子を示す。
図15(b)は、下層のキャリア1に至る空洞が、前記絶縁パターン層のエッチングマスク12で保護されていない領域にエッチングされた様子を示す。
図15(c)は、第1のエッチングマスクが除去され、第2のエッチングマスク12が先にエッチングされた絶縁パターン層6の一部にリソグラフィによりパターニングされた様子を示す。または、第1のエッチングマスクを除去し第2のエッチングマスクを形成してパターニングする代わりに、第1のエッチングマスクに2回目のパターニングを施しても良い。
図15(d)は、基板上のトポグラフィを保障する空洞の第2層が、絶縁パターン層のエッチングマスク12で保護されていない領域にエッチングされた様子を示す。
図15(e)は、前記エッチングマスクを除去した後に絶縁パターン層の空洞内のキャリア上に導電電極層4を形成した主電極8の仕上り状態を示す。空洞の最上層は基板のトポグラフィを保障しても良く、導電電極層に至る空洞の最下層をECPRエッチング構造またはECPRめっき構造に用いても良い。
別の実施の形態においては、まず、リソグラフィおよび/またはエッチングにより絶縁パターン層を形成し、基板上のトポグラフィを保障し、下層のキャリアまたは導電電極層まで及ばない空洞を形成する。次に、絶縁パターン層をもう1度パターニングし、下層のキャリアまたは導電電極層に至る空洞を形成する。
図16(a)は、エッチングマスク12が形成されリソグラフィによりパターニングされた様子、および絶縁パターン層6の前記エッチングマスクで保護されていない領域に空洞がエッチングされた様子を示す。これらの第1に形成された空洞は基板上のトポグラフィを保障し、下層のキャリア1まで及ばない。
図16(b)は、第1のエッチングマスクが除去され、第2のエッチングマスク12がリソグラフィによりパターニングされて、絶縁パターン層6の次の工程でキャリア1までエッチングされない領域のみを被覆している様子を示す。
図16(c)は、絶縁パターン層6のエッチングマスクで保護されていない領域にキャリア1に至る空洞がエッチングされ、前記エッチングマスクを除去した後に絶縁パターン層の空洞内のキャリア上に導電電極層4を形成した主電極8の仕上り状態を示す。第1に形成された絶縁パターン層の空洞は基板上のトポグラフィを保障する。
ある実施の形態においては、基板上のトポグラフィを保障する絶縁パターン層を備えた主電極を形成する工程は、絶縁材料からなる複数の層および少なくとも1つのエッチングストップ層を形成する工程と、前記エッチングストップ層を2つの絶縁パターン層の間に配置する工程を含む。エッチングストップ層は、SiNまたはSiO等の上記材料からなっていても良く、PVD、CVDまたはPECVD等の上記方法により形成することができる。この実施の形態は、最上部の絶縁パターン層にエッチングストップ層に至る空洞をエッチングする工程と、リソグラフィおよびエッチングによりエッチングストップ層を部分的に除去(パターニング)する工程と、前記パターニングされたエッチングストップ層をエッチングマスクとして用いて、下の絶縁パターン層に下層のエッチングストップ層、キャリアまたは導電電極層に至る別の空洞をエッチングする工程とを有する。複数層の空洞を有する絶縁パターン層を備えた主電極を形成するために、この工程を複数回繰り返しても良い。
図17(a)は、エッチングストップ層27を間に挟んで絶縁パターン層7がキャリア1上に形成され、エッチングマスク12が絶縁パターン層上に形成されパターニングされた様子を示す。
図17(b)は、空洞の最上層を形成するエッチングマスク12で保護されていない絶縁パターン層7の領域がエッチングされた様子を示す。エッチングは空洞がエッチングストップ層27に到達すると停止する。
図17(c)は、エッチングストップ層27が部分的にリソグラフィおよびエッチングにより除去され、下層の絶縁パターン層の少なくとも一部が露出した様子を示す。
図17(d)は、エッチングストップ層27で保護されていない最下部の絶縁パターン層7の一部の領域に下層のキャリア1に至る空洞がエッチングされた様子を示す。
図17(e)は、前記エッチングマスク12を除去し、最上部の絶縁パターン層6に保護されていないエッチングストップ層27の一部を除去した後に絶縁パターン層の空洞内のキャリア1上に導電電極層4を形成した主電極8の仕上り状態を示す。
ある実施の形態においては、絶縁パターン層の空洞は基板表面のトポグラフィと同一のトポグラフィを持つ基板テンプレートのインプリントとして形成され、絶縁パターン層はパターニングされ、下層のキャリアまたは導電電極層に至る空洞が形成される。
図18(a)は、基板テンプレート30がキャリア1上の絶縁パターン層7に押し付けられ、基板テンプレートの突出部のインプリントである空洞が絶縁パターン層に形成される様子を示す。
図18(b)は、前記基板テンプレート30を除去した後、キャリア1上の絶縁パターン層7に空洞が形成された様子を示す。
図18(c)は、最初に基板テンプレートのインプリントが形成された前記絶縁パターン層6をリソグラフィおよびエッチングによりキャリア1までパターニングした後に絶縁パターン層の空洞底のキャリア1上に導電電極層4を形成した主電極8の仕上り状態を示す。
ある実施の形態においては、基板上のトポグラフィを保障する絶縁パターン層を備えた主電極を形成する工程は、絶縁パターン層を形成するために上記した方法によりネガティブフォトレジストおよび/またはUV硬化ポリマーからなる層を形成する工程と、前記層をフォトマスクを通して紫外線に暴露する工程と、ネガティブフォトレジストおよび/またはUV硬化ポリマーからなる第2層を形成する工程と、前記第2層を第2のフォトマスクを通して紫外線に暴露する工程と、両層を同時に現像する前に、必要に応じて露光後焼き締め(post-exposure bake:PEB)を行う工程とを有する。前記層間の粘着性ならびに機械的および化学的特性を向上するために堅焼きを行う実施の形態もある。これにより、2以上のパターニングされた層が重なって形成される。また、前記層をフォトマスクを通して紫外線に暴露する代わりに、レーザービームまたは電子ビームに暴露する直接描画法を用いても良い。
図19(a)は、ECPRめっき構造24を形成するため、陽極材28が事前に堆積され、シード層18により絶縁パターン層6の空洞内に電解質29が封入された主電極8が基板17に接触して配置され、絶縁パターン層が基板上のトポグラフィ30を保障している様子を示す。
図19(b)は、主電極を除去した後のトポグラフィ30を有する基板17、およびシード層18が除去された後めっき構造24が構造間の領域に形成された様子を示す。
ある実施の形態においては、基板上のトポグラフィ全体にわたって(すなわち、基板トポグラフィの上端部または隣接部のみではなく)連続するエッチング構造またはめっき構造の形成を可能とするように主電極の絶縁パターンを形成しても良い。
図20(a)は、シード層18を備える基板17のトポグラフィ30を保障する上層部の空洞を有する絶縁パターン層7を備えた主電極8を示す。絶縁パターン層はまた、キャリア1上の導電電極層4に至る下層部の空洞を有する。陽極材28は導電電極層に事前に堆積されている。
図20(b)は、前記主電極8および基板17を示す。前記主電極は前記基板のトポグラフィ30を保障する絶縁パターン層7を備え、前記基板上にはシード層18が形成されている。
図20(c)は、主電極8が基板17に接触して配置された様子を示す。絶縁パターン層7の空洞内において、基板上にめっき構造24が形成され、電解質29がシード層18によって封入されている。絶縁パターン層7は基板のトポグラフィ30を保障する空洞も有する。
図20(d)は、主電極8が除去され、めっき構造により被覆されていなかった領域からシード層18が除去された後の、めっき構造がトポグラフィ30を有する基板17に形成された様子を示す。
主電極の導電電極層を陰極として用いて、電気化学処理によりエッチングパターンを形成する実施の形態もある。それによれば、基板から溶解して電解質に運ばれた材料は陰極上に堆積され、主電極の絶縁パターン層のパターンに対応したECPRエッチング構造を基板上に形成する。基板から溶解した陽極となる材料が陰極となる導電電極層に堆積されるため、電解質中の溶解した陽極材の量は電気化学処理中ほぼ一定に保たれる。溶解した材料の堆積速度がゼロだった場合、電解質中の溶解した陽極材のイオン濃度は急速に上昇する。その結果、電気化学反応が鈍化し、最終的には停止する。過剰なイオン濃度はまた塩沈殿を引き起こす。この場合、わずかな量の材料のみが基板から溶解して薄い層がパターニングされることもある。溶解反応が適切な堆積反応を有することを確認すれば、厚い層を持つ基板をエッチングすることができる。電気化学処理における溶解反応および堆積反応は、陽極、陰極および電解質系における所定の印加電位での熱力学および動力学反応によって決まる。適切な陽極材、陰極材および電解質材料を選択することにより、選択された系における溶解反応および堆積反応が熱力学的、動力学的に良好となり、所望の溶解反応および堆積反応を得ることができる。
適切な陽極、陰極および電解質系の一例として、Niを陽極材として、Auを陰極材として、ワット浴を電解質として用いたものが挙げられる。ある様態によれば、堆積反応が溶解反応に正確に対応する必要はない。溶解した材料の堆積速度がゼロより大きければ、電解質中の陽極材のイオン濃度はゆっくり上昇し、反応が停止するまでの時間が長くなるため、基板上の厚い層をエッチングすることができる。例えば、溶解したイオンの堆積速度は溶解速度の90〜100%であっても良い。この例では、ゆっくりと上昇する溶解した陽極のイオン濃度が過度に高くなる前に所望のエッチング厚を得ることができる。溶解速度は堆積速度より低くても良く、この場合、結果として電解質中のイオン濃度が低下する。しかし、溶解反応が堆積反応に比べて過度に遅くなければ(例えば、>堆積速度の90%)、電解質中の陽極材のイオン濃度が低下する前に所望の厚さで基板をエッチングできる。不適切な系の一例として、Agを陽極材として、Alを陰極材として、アルカリシアン銀浴を電解質として用いたものが挙げられる。この例では、銀イオンの堆積速度がゼロとなり、電解質中の銀イオン濃度が急速に上昇する。
主電極の導電電極層を陽極として用い、主電極により規定される空洞内の陽極上に陽極材を事前に堆積して、電気化学処理によりめっきパターンを形成する。それによれば、陽極材は溶解して電解質に運ばれて陰極となる基板上に堆積され、主電極の絶縁パターン層の空洞に対応したECPRめっき構造を基板上に形成する。
材料を事前に堆積しない従来技術の問題の1つは、図23(a)に示すように、陽極材が主電極の導電電極層4から直接溶解し、溶解した材料が絶縁パターン層7を損傷するため、主電極が磨耗することである。図23(b)に示すように、主電極8の空洞に陽極材28を事前に堆積することにより、電気化学処理中に溶解しない不活性物質を含む導電電極層4を得ることができ、絶縁パターン層7も損傷することがない。そのため、主電極を何回も再利用することが可能となり、コスト的、時間的に効率よくパターニングを行うことができる。
材料を事前に堆積しない従来技術の別の問題は、溶解した材料が絶縁パターン層を損傷することにより、絶縁パターン層の小空洞内では大空洞内と異なって陽極領域が拡大することである。図23(a)に示すように、大空洞内では小空洞内に比べて損傷による領域の拡大が小さい。陽極領域の拡大により陰極の電流密度(めっき速度)が高くなるため、絶縁パターン層の小空洞内にめっきされる構造は大空洞内の構造よりも高いめっき速度で堆積され、パターンによって決まる厚さ分布が不均一となる。この問題も、材料の事前に堆積により解決できる。材料を事前に堆積した場合、領域が拡大することはないため、パターンの寸法に関係なくすべての空洞内で電流密度(めっき速度)が等しくなる。
また、事前に堆積された材料の溶解は、陰極に堆積された電解質中のイオン濃度の低下を防ぐ。電解質中のイオン濃度が低下すると、堆積処理は徐々に鈍化し最終的には停止することになるため、層の薄いめっき構造しか得ることができない。十分な量の電気化学堆積反応の間に溶解する事前に堆積された材料を用いることにより、安定したイオン濃度を維持し、層の厚いめっき構造を得ることができる。適切な事前に堆積する材料(陽極)、シード層材料(陰極)および電解質を選択することにより、選択された系における溶解反応および堆積反応が熱力学的、動力学的に良好となり、所望の溶解反応および堆積反応を得ることができる。適切な電気化学系の選択の一例として、Cuを事前に堆積する材料(陽極)として、Cuをシード層材料(陰極)として、酸性硫酸銅浴を電解質として用いたものが挙げられる。場合によっては、堆積反応が溶解反応に正確に対応する必要はない。事前に堆積された材料の溶解速度がゼロより大きければ、電解質中のイオン濃度はゆっくり低下し、反応が停止するまでの時間が長くなるため、厚い層をめっきすることができる。例えば、溶解速度は堆積速度の90〜100%であっても良い。この例では、ゆっくりと低下する堆積された材料のイオン濃度が過度に低くなる前に所望のめっき厚を得ることができる。
すべての実施の形態において、異なる高さおよび形状のトポグラフィを保障するために、前記リソグラフィおよび/またはエッチング工程を繰り返すことにより基板上の多層のトポグラフィを保障する多層の空洞を形成して絶縁パターン層をパターニングできる。トポグラフィを保障する空洞はトポグラフィ30に正確に適合する必要はないが、余裕を持って空洞内にトポグラフィを抱合できる大きさであると良い。
ある実施の形態においては、多層の空洞を有する絶縁パターン層を備えた前記主電極を用いて、多層のECPRめっき構造を1工程で形成できる。前記構造層は絶縁パターン層の前記多層の空洞により規定される。
ECPRエッチング構造層またはECPRめっき構造層の厚さ分布を高精度に均一化する方法を用いて主電極を配置する実施の形態もある。前記構造層の一部に不均一なパターンを設けるため、絶縁パターン層の空洞内においてキャリアおよび/または導電電極層の少なくとも一部を変更しても良い。ある実施の形態においては、図21(a)に示すように、主電極8のキャリア1は、絶縁パターン層7の少なくとも1つの空洞に凹みを有していても良い。前記凹みは壁を導電電極層4に被覆され、陽極材28が前記導電電極層に事前に堆積されている。前記凹みを有する前記空洞内の基板17にECPRめっき処理を施している間、絶縁パターン層7の壁に近い領域の電流密度(めっき速度)が高くなり、図21(b)に示すように、ECPRめっき構造24の高さが大きくなる。
別の実施の形態においては、図22(a)に示すように、キャリア1および導電電極層4は絶縁パターン層7の少なくとも1つの空洞に突出構造を有し、陽極材28が前記導電電極層に事前に堆積されている。突出構造を有する前記空洞内の基板17にECPRめっき処理を施している間、突出構造に近い基板上の領域の電流密度(めっき速度)が高くなり、図22(b)に示すように、ECPRめっき構造24の高さが大きくなる。場合によっては、連動衝突構造、はんだボール配置盤または機械的配置構造/基準等のアプリケーションには、図21(b)および図22(b)に示すように、不均一な高さの構造層を形成する実施の形態を適用しても良い。
以上、本方法のいくつかの工程を異なる組み合わせで説明した。しかし、この明細書を読んだ当業者が想到し得る別の組み合わせも可能であり、それらも本発明の範囲内に包含されるものであることはいうまでもない。また、これらの工程は本発明の範囲内で種々の変更が可能である。したがって、本発明は添付の請求項によってのみ制限されるものとする。
導電性または半導体キャリアから主電極を形成する方法の工程を模式的に表した断面図である。 非導電性キャリアから主電極を形成する方法の工程を模式的に表した断面図である。 パターンに導電層が追加された非導電性キャリアから主電極を形成する方法の工程を模式的に表した断面図である。 キャリアにパターンが形成された主電極を形成する方法の工程を模式的に表した断面図である。 パターンのセルが深い主電極の模式断面図である。 粘着層が絶縁パターン層に接着された主電極を形成する方法の工程を模式的に表した断面図である。 (a)および(b)はそれぞれ、大きな基板上の主電極の模式断面図および上面図であり、(c)および(d)、(e)はそれぞれ、1または複数の空洞を備えた主電極の模式断面図および上面図であり、(f)、(i)は、基板への接触領域を備えた主電極の模式断面図である。 異なるタイプの端部凹みを有するキャリアの模式断面図である。 エッジビードを含むまたはエッジビードが低減された様子をそれぞれ模式的に表した断面図である。 エッジビードのない主電極を形成する方法の工程を模式的に表した断面図である。 エッジビードのない主電極を形成する方法の工程を模式的に表した断面図である。 (a)は、電気化学セルにおける導電路の拡大断面図であり、(b)〜(d)は、異なる径方向高さ分布の構造をめっきされた主電極の断面図である。 最初から凹状の基板を示す、図12に類似した断面図である。 最初から凸状の基板を示す、図13に類似した断面図である。 実施の形態に係る、3次元空洞をパターン層に有する主電極の模式断面図である。 他の実施の形態に係る、3次元空洞をパターン層に有する主電極の模式断面図である。 さらに他の実施の形態に係る、3次元空洞をパターン層に有する主電極の模式断面図である。 さらに他の実施の形態に係る、3次元空洞をパターン層に有する主電極の模式断面図である。 図18に示した実施の形態の主電極が使用される様子を模式的に表した断面図である。 (a)は、さらに他の実施の形態に係る3次元空洞をパターン層に有する主電極の模式断面図であり、(b)〜(d)は、(a)に示した実施の形態の主電極が使用される様子を模式的に表した断面図である。 実施の形態に係る、材料が不均一に事前に堆積された異なる深さの空洞を有する主電極の模式断面図である。 他の実施の形態に係る、材料が不均一に事前に堆積された空洞を有する主電極の模式断面図である。 (a)は、電極の導電電極層が電気化学セル中で溶解して前記電極の絶縁パターン層を損傷している様子を模式的に表した断面図であり、(b)は、電極の導電電極層に事前に堆積された陽極材が電気化学セル中で溶解し、前記導電電極層に損傷がない様子を模式的に表した断面図である。

Claims (244)

  1. 基板を用いて電気化学セルを形成するための主電極であって、
    少なくとも部分的に導電性材料からなるキャリア(1、2、3、9)と、
    少なくとも部分的に絶縁材料からなる少なくとも1つの層からなり、前記キャリア(1、2、3、9)の略前面に形成され、少なくとも1つの空洞を備えた絶縁パターン層(7)と、を備え、
    前記キャリアは、
    絶縁コーティング層(3)を備えた導電性材料または半導体材料からなる少なくとも1つの層からなるディスク(2)と、
    前記ディスク(2)に電気的に接続し、電極形成材料からなり少なくとも部分的にディスク(2)の前面を覆う少なくとも1つの導電電極層(4)と、
    を備えることを特徴とする主電極。
  2. 前記キャリアは、
    導電性材料からなる少なくとも1つの層からなり、少なくとも前記ディスクの背面の一部を覆うおよび/または前記ディスク(2)および前記電極層(4)に電気的に接続する接続部を備えることを特徴とする請求項1に記載の主電極。
  3. 前記絶縁コーティング層は、前記ディスクの導電性材料または半導体材料からなる部分を、前記ディスクの前面および背面の中心部を除いて、全部被覆することを特徴とする請求項1または2に記載の主電極。
  4. 前記絶縁コーティング層は、前記ディスクの特定部分を選択的に被覆、または前記ディスクの導電層または半導体層のほぼ全面を被覆し、ウェットエッチング、ドライエッチング等のエッチングまたは機械的研磨により、選択された領域から部分的に除去されることを特徴とする請求項1、2、または3のいずれかに記載の主電極。
  5. 基板を用いて電気化学セルを形成するための主電極であって、
    少なくとも部分的に導電性材料および/または半導体材料からなる少なくとも1つの層からなるキャリア(1、4、5、9、11)と、
    少なくとも部分的に絶縁材料からなる少なくとも1つの層からなり、前記キャリア(1、4、5、9、11)の略前面に形成された絶縁パターン層(7)と、を備え、
    前記キャリアは、
    絶縁材料からなる少なくとも1つの層からなり、透明であり得るディスク(9)と、
    電極形成材料からなる少なくとも1つの層からなり、ディスクの前面の少なくとも一部を覆う導電電極層(4)と、
    導電性材料からなる少なくとも1つの層からなり、前記電極層(4)に電気的に接続するビア層(11)と、
    を備えることを特徴とする主電極。
  6. 前記ビア層(11)および前記電極層(4)に電気的に接続する接続層(5)をさらに備えることを特徴とする請求項5に記載の主電極。
  7. 前記接続層(5)は、少なくともディスクの背面の一部を覆う導電性材料からなる少なくとも1つの層からなることを特徴とする請求項6に記載の主電極。
  8. 前記ディスク(9)は、透明であり得る、絶縁材料からなる少なくとも1つの層を備え、ディスク(9)の少なくとも一部は導電性材料または半導体材料からなることを特徴とする請求項5に記載の主電極。
  9. 前記導電部または半導体部は、前記絶縁性のディスクの中央に位置することを特徴とする請求項8に記載の主電極。
  10. 基板を用いて電気化学セルを形成するための主電極であって、
    導電性材料および/または半導体材料からなる少なくとも1つの層からなるディスク(2)と、
    少なくとも部分的に絶縁材料からなる少なくとも1つの層からなる絶縁層(3)と、
    を備え、
    前記絶縁パターン層(3)は前側に電極形成導電性材料からなる導電電極層(4)を備えた少なくとも1つの凹みを備え、
    前記絶縁層(3)は裏側に少なくとも1つの凹み(5)を備えることを特徴とする主電極。
  11. 前記絶縁層(3)は、前記ディスク(2)をほぼ囲むように形成されていることを特徴とする請求項11に記載の主電極。
  12. 前記絶縁層の裏側の凹み(5)は、導電性材料および/または半導体材料からなる少なくとも1つの層からなり、前記ディスク(2)および前記電極層(4)に電気的に接続する接続層を備えることを特徴とする請求項10または11に記載の主電極。
  13. 少なくとももう1つの導電層をさらに備えることを特徴とする請求項12に記載の主電極。
  14. 基板を用いて電気化学セルを形成するための主電極であって、
    導電性材料および/または半導体材料からなる少なくとも1つの層からなるキャリア(1)を備え、
    前記キャリア(1)は前側に複数の凹みを備え、少なくとも1つの絶縁層(12)が凹みの間に備えられていることを特徴とする主電極。
  15. 前記導電性材料および/または半導体材料からなる少なくとも1つの層の各凹みは底面と側面を有し、
    前記側面は絶縁材料からなる少なくとも1つの層(7)を備えることを特徴とする請求項14に記載の主電極。
  16. 前記底面は、電極形成導電性材料からなる導電電極層(4)を少なくとも1層備えることを特徴とする請求項15に記載の主電極。
  17. 各凹みは底面と側面を有し、
    前記底面および前記側面は、電極形成導電性材料からなる導電電極層(4)を少なくとも1層備えることを特徴とする請求項14に記載の主電極。
  18. 前記キャリア(1)は裏側に絶縁材料からなる少なくとも1つの層(7)を備え、
    前記絶縁材料は少なくとも1つの接続を形成する凹み(5)を備えることを特徴とする請求項15に記載の主電極。
  19. 前記接続を形成する凹み(5)は少なくとも1つの導電電極層を備えることを特徴とする請求項18に記載の主電極。
  20. 前記絶縁層(12)上に、絶縁材料からなる少なくとも1つの層をさらに備えることを特徴とする請求項14〜18のいずれか1項に記載の主電極。
  21. 前記キャリア(1)は導電性材料および/または半導体材料からなる少なくとも1つの層からなり、電極形成導電性材料からなる導電電極層(4)を絶縁パターン層(7)の空洞に備えることを特徴とする請求項1〜20のいずれか1項に記載の主電極。
  22. 前記キャリア(1)は導電性材料および/または半導体材料からなる少なくとも1つの層からなり、前記前面にパターンを形成するための凹みを備え、
    絶縁材料が凹み間の領域を覆うように堆積され、
    導電電極層(4)を前記凹みの底面に備えることを特徴とする請求項1〜21のいずれか1項に記載の主電極。
  23. 基板に対するコンタクトを配置するための凹み(19、20)をさらに備えることを特徴とする請求項1〜22のいずれか1項に記載の主電極。
  24. 前記基板との電気的接続を形成するために電極を前記基板に配置する際に基板表面と係合するコンタクト(31)をさらに備えることを特徴とする請求項23に記載の主電極。
  25. 前記コンタクト(31)は、前記絶縁材料外のキャリア(1)の周囲面に配置されていることを特徴とする請求項24に記載の主電極。
  26. 前記ディスク(2)は弾性材料および/または可撓性材料からなることを特徴とする請求項1〜25のいずれか1項に記載の主電極。
  27. 絶縁パターン層(7)の前面は、接触する基板の3次元構造に対応する構造を備えることを特徴とする請求項1〜26のいずれか1項に記載の主電極。
  28. 絶縁パターン層(7)の空洞の側壁は、前面の法線に対して傾斜していることを特徴とする請求項1〜27のいずれか1項に記載の主電極。
  29. 陽極材(28)が絶縁パターン層(7)の空洞内に前記導電電極層(4)に接して事前に堆積されていることを特徴とする請求項1〜28のいずれか1項に記載の主電極。
  30. 前記陽極材(28)は、電気めっき、無電解めっき、浸漬めっき、CVD、MOCVD、(帯電)パウダーコーティング、化学グラフト、電子グラフトおよびそれらの組み合わせからなる群から選択された方法により事前に堆積されたことを特徴とする請求項29に記載の主電極。
  31. 前記陽極材(28)は電気めっきまたは無電解めっきにより堆積されたことを特徴とする請求項30に記載の主電極。
  32. 前記絶縁パターン層を基板表面に接触させるために主電極を基板に押圧することによる基板のゆがみまたは凸凹を補償するため、前記キャリアの層は可撓性であることを特徴とする請求項1〜31のいずれか1項に記載の主電極。
  33. 前記主電極を基板に接触させるために力を加えた時に、前記絶縁パターン層の空洞内に落ち込まないように前記キャリアの層は剛性であることを特徴とする請求項1〜32のいずれか1項に記載の主電極。
  34. 前記キャリアの屈曲は、例えば25%未満、10%未満、約1%未満というように50%未満であることを特徴とする請求項33に記載の主電極。
  35. 前記キャリアは、ガラス、石英またはシリコンウェハより高い、もしくはほぼ同等の可撓性を有することを特徴とする請求項1〜34のいずれか1項に記載の主電極。
  36. 前記導電性材料および/または半導体材料からなる少なくとも1つの層は、導電性ポリマー、導電性ペースト、金属、Fe、Cu、Au、Ag、Pt、Si、SiC、Sn、Pd、Pt、Co、Ti、Ni、Cr、Al、インジウムスズ酸化物(indium tin oxide:ITO)、SiGe、GaAs、InP、Ru、Ir、Re、Hf、Os、Rh、合金、リン合金、SnAg、PdAg、SnAgCu、NiP、AuCu、シリサイド、ステンレス鋼、真鍮、はんだ材料、およびそれらの組み合わせからなる群から選択された材料からなることを特徴とする請求項1〜35のいずれか1項に記載の主電極。
  37. 前記導電性材料からなる少なくとも1つの層は、Cr、Ti、Au、Ptからなる群から選択された金属からなることを特徴とする請求項36に記載の主電極。
  38. 前記導電性材料からなる少なくとも1つの層は、AuまたはPtからなることを特徴とする請求項37に記載の主電極。
  39. 前記半導体材料からなる少なくとも1つの層はSiであることを特徴とする請求項36に記載の主電極。
  40. 絶縁材料は、SiO等の酸化物、石英、ガラス、SiN等の窒化物、ポリマー、ポリイミド、ポリウレタン、エポキシポリマ、アクリレート重合体、PDMS、(天然)ゴム、シリコーン、ラッカ、エラストマ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項1〜39のいずれか1項に記載の主電極。
  41. 前記導電電極層(4)は、Fe、Cu、Sn、Ag、Au、Pd、Co、Ti、Ta、Ni、Pt、Cr、Al、W、ITO、Si、Ru、Rh、Re、Os、Hf、Ir、Nb、その他の金属、合金、リン合金、SnAg、SnAgCu、CoWP、CoWB、CoWBP、NiP、AuCu、シリサイド、グラファイト、ステンレス鋼、導電性ポリマー、はんだ材料、導電性酸化物または半導体酸化物または混合酸化物(例えばRu酸化物、Ir酸化物、Rh酸化物、Ti酸化物および/またはTa酸化物等の上記金属の酸化物の混合物)からなる群から選択された導電性材料および/または半導体材料からなる少なくとも1つの層からからなることを特徴とする請求項1〜40のいずれか1項に記載の主電極。
  42. 前記導電電極層(4)が形成される前に粘着層がキャリア(1)の少なくとも一部に形成され、
    前記粘着層は導電電極層の前記キャリアへの粘着性を高める1または複数の材料からなることを特徴とする請求項1〜41のいずれか1項に記載の主電極。
  43. 前記絶縁パターン層(7)は、1または複数の電気的に絶縁性の材料からなり、複数の凹みをパターニングされていることを特徴とする請求項1〜42のいずれか1項に記載の主電極。
  44. 前記絶縁パターン層(7)は表面粗さが低く、厚さの均一性が高いことを特徴とする請求項43に記載の主電極。
  45. 前記絶縁パターン層(7)が形成される前に粘着層がキャリア(1)の少なくとも一部に形成され、
    前記粘着層は絶縁パターン層とキャリア間の粘着特性を高める材料からなる少なくとも1つの層からなることを特徴とする請求項1〜44のいずれか1項に記載の主電極。
  46. 前記粘着層は、Pt、Al、Ni、Pd、Cr、Ti、TiW等の導電性材料、AP−3000、AP−100、AP−200、AP−300等の絶縁材料、HMDS等のシラン、およびそれらの組み合わせからなる群から選択された材料からなる少なくとも1つの層からなることを特徴とする請求項45に記載の主電極。
  47. 前記電気的に絶縁性の材料は、有機化合物、ポリマー、絶縁無機化合物、酸化物、窒化物、ポリイミド、シロキサン変性ポリイミド、BCB、SU−8、ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、シリコーン、弾性重合体、ZEP等の電子ビームレジスト、フォトレジスト、薄膜レジスト、厚膜レジスト、多環オレフィン、ポリノルボルネン、ポリエチレン、ポリカーボネート、PMMA、BARC材料、リフトオフレイヤー(lift off layer:LOL)材料、PDMS、ポリウレタン、エポキシポリマ、フルオロエラストマ、アクリレート重合体、(天然)ゴム、シリコーン、ラッカ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、フルオロメチレンシアン酸エステル、無機−有機ハイブリッドポリマー、(フッ素化および/または水素化)非晶質炭素、有機ドープシリコンガラス(organic-doped silicon glass:OSG)、フッ素ドープシリコンガラス(fluorine-doped silicon glass:FSG)、PETE/シリコン化合物、オルトケイ酸テトラエチル(tetraethyl orthosilicate:TEOS)、SiN、SiO、SiON、SiOC、SiCN:H、SiOCH材料、SiCH材料、シリケート、石英系材料、シルセスキオキサン(silsesquioxane:SSQ)系材料、メチルシルセスキオキサン(methyl silsesquioxane:MSQ)、水素シルセスキオキサン(hydrogen silsesquioxane:HSQ)、TiO、Al、TiN、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項1〜46のいずれか1項に記載の主電極。
  48. 前記構造層は、Cu、Ni、NiFe、NiP、Au、Ag、Sn、Pb、SnAg、SnAgCu、SnPb、およびそれらの組み合わせからなる群から選択された少なくとも1つの材料からなることを特徴とする請求項1〜47のいずれか1項に記載の主電極。
  49. 前記絶縁パターン層(7)を形成する前に形成されるエッチングストップ層をさらに備えることを特徴とする請求項1〜48のいずれか1項に記載の主電極。
  50. 前記エッチングストップ層は、Ti、Pt、Au、Ag、Cr、TiW、SiN、Ni、Si、SiC、SiO、Al、InGaP、CoP、CoWP、NiP、NiPCo、AuCo、BLOKTMおよびそれらの組み合わせからなる群から選択された材料からなる少なくとも1つの層からなることを特徴とする請求項49に記載の主電極。
  51. 前記絶縁パターン層(7)の空洞の側壁はほぼ垂直であることを特徴とする請求項1〜50のいずれか1項に記載の主電極。
  52. 前記側壁は前記導電電極(4)表面の法線に対して約45°未満、例えば約20°未満、例えば約5°未満、例えば約2°未満、例えば約1°未満、例えば約0.1°未満の角度を持つことを特徴とする請求項51に記載の主電極。
  53. 少なくとも絶縁パターン層(7)の一部を被覆するリリース層をさらに有し、
    前記リリース層は絶縁パターン層と絶縁パターン層に接するその他の材料との間の機械的および化学的結合を低減することを特徴とする請求項1〜52のいずれか1項に記載の主電極。
  54. 前記少なくとも1つの電気化学セルを形成する表面は、前記電気化学セルに用いられる電解質の湿潤性能が良好となる表面特性を有することを特徴とする請求項1〜53のいずれか1項に記載の主電極。
  55. 前記少なくとも1つの電気化学セルを形成する表面は親水性であり、水溶液に対して低い接触角を持つことを特徴とする請求項1〜54のいずれか1項に記載の主電極。
  56. 前記絶縁パターン層(7)の表面の少なくとも一部が、表面エネルギーを小さくして親水性表面を形成するような方法で処理されていることを特徴とする請求項1〜55のいずれか1項に記載の主電極。
  57. 前記絶縁パターン層(7)の表面の少なくとも一部が、熱処理、酸素/窒素/アルゴンプラズマ処理、固着防止のための表面の改質(surface conversion for anti-sticking:SURCAS)、過酸化物、過硫酸塩、濃酸/強塩基等の強酸化剤、またはそれらの組み合わせによって処理されていることを特徴とする請求項1〜56のいずれか1項に記載の主電極。
  58. 前記絶縁パターン層(7)の少なくとも一部が高い表面エネルギーを持っている、または表面エネルギーを高めて疎水性表面とするために水素プラズマ等により処理されることを特徴とする請求項1〜57のいずれか1項に記載の主電極。
  59. 前記絶縁パターン層(7)は、絶縁パターン層の空洞の側壁が親水性となり、絶縁パターン層の上端部が疎水性となるような特性を持つ少なくとも1つの材料からなる1または複数の層からなることを特徴とする請求項1〜58のいずれか1項に記載の主電極。
  60. 前記親水性材料は、SiN、SiO、酸素プラズマ処理されたポリマ(フォトレジストおよび/またはエラストマ等)、および/またはその他の表面に極性機能分子基を持つ材料、およびそれらの組み合わせからなる群から選択された材料であり、
    前記疎水性材料は、水素終端ポリマ等の極性機能分子基を持たない材料、テフロン(登録商標)、フルオロシラン/クロロシラン、シロキサン、フルオロエラストマ、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項59に記載の主電極。
  61. 前記絶縁パターン層(7)は、主電極(8)が基板に押し当てられた時に、絶縁パターン層の上端部と前記基板の間の機械的接触を向上するような特性を持つ少なくとも1つの材料からなる1または複数の層からなることを特徴とする請求項1〜60のいずれか1項に記載の主電極。
  62. 前記絶縁パターン層(7)は、少なくとも1つのエラストマ等の可撓性材料からなる層、または少なくとも1つの剛性材料からなる層と少なくとも1つの可撓性材料からなる層からなることを特徴とする請求項1〜61のいずれか1項に記載の主電極。
  63. 前記少なくとも1つの可撓性材料からなる層は、前記少なくとも1つの剛性材料からなる層の上に設けられていることを特徴とする請求項62に記載の主電極。
  64. 前記可撓性材料はエラストマであり、
    前記エラストマは、高圧縮率、弾性特性、電気的絶縁性、低誘電性、良好な耐化学性、金属、シリコン、ガラス、酸化物、窒化物および/またはポリマー等の下層に対する高粘着性、経時による収縮または膨張に対する高い耐久性、および/または汚染有機化合物を放出しない非ブリーディング特性、感紫外線性、リソグラフィによりパターニングされている、透明、ドライエッチング等のエッチングによりパターニングされている、およびそれらの組み合わせからなる群から選択された特性を有することを特徴とする請求項62または63に記載の主電極。
  65. 前記エラストマは、ポリジメチルシロキサン(polydimethylsiloxane:PDMS)、シリコーン、エポキシシリコーン、フルオロシリコーン、フルオロエラストマ、(天然)ゴム、ネオプレン、EPDM、ニトリルゴム、アクリレートエラストマ、ポリウレタン、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項64に記載の主電極。
  66. 前記エラストマは、0.1GPa未満、例えば1MPa未満、例えば約0.05MPa未満の引張弾性係数(ヤング率)を有する、またはエラストマ層はShore-A硬度が90未満、例えば30未満、例えば約5未満であることを特徴とする請求項64または65に記載の主電極。
  67. 前記キャリア(1)または前記ディスク(2)は円形であることを特徴とする請求項1〜66のいずれか1項に記載の主電極。
  68. 前記キャリア(1)または前記ディスク(2)は矩形であることを特徴とする請求項1〜67のいずれか1項に記載の主電極。
  69. 前記キャリアまたは前記ディスクは、絶縁パターン層の凹みと同じ領域に凹みを有し、
    前記キャリアの凹みは導電電極層を備えることを特徴とする請求項1〜68のいずれか1項に記載の主電極。
  70. 前記絶縁パターン層(7)は絶縁材料からなる接着層(13)を前記キャリア(1)上に接着しパターニングして形成されることを特徴とする請求項1〜69のいずれか1項に記載の主電極。
  71. 前記接着層(13)は、接着後除去可能な接着キャリア(14)を備えることを特徴とする請求項70に記載の主電極。
  72. 前記接着層(13)は、SiO、ガラス、石英、または高分子フィルムからなることを特徴とする請求項71に記載の主電極。
  73. 前記接着層(13)は、粘着接着層(15)を備えることを特徴とする請求項70に記載の主電極。
  74. 基板の前側領域とほぼ同形の前側領域をさらに備えることを特徴とする請求項1〜73のいずれか1項に記載の主電極。
  75. 凹みまたは孔である、基板への外部電気接続を可能にする接続部をさらに備えることを特徴とする請求項1〜74のいずれか1項に記載の主電極。
  76. 前記キャリアまたは前記ディスクは、少なくとも1つの凹みを周辺部に備えることを特徴とする請求項75に記載の主電極。
  77. 前記キャリアまたは前記ディスクは、周辺部に近接する周辺部に接続孔を備えることを特徴とする請求項75に記載の主電極。
  78. 前記接続部は、基板から電気化学セルを形成する際に均一の電流密度分布を得るように配置されることを特徴とする請求項75に記載の主電極。
  79. 電極形成材料からなり、前記絶縁パターン層の上端部の凹み間の少なくとも一部に電気的シード層接続(31)をさらに備えることを特徴とする請求項1〜78のいずれか1項に記載の主電極。
  80. 前記電気的シード層接続(31)は、キャリア、ディスク、導電電極層、または接続層の導電性材料または半導体材料から絶縁材料により絶縁されていることを特徴とする請求項79に記載の主電極。
  81. 前記電気的シード層接続(31)は、キャリアまたはディスク端周囲に層として設けられることを特徴とする請求項79または80に記載の主電極。
  82. 前記電気的シード層接続(31)は、パターン層の空洞の隣接部を除いて、絶縁パターン層(7)の広い表面およびほぼ全面にわたって設けられていることを特徴とする請求項79、80または81に記載の主電極。
  83. 前記電気的シード層接続(31)の異なる部分が、キャリアの裏側においてキャリアを貫く接続領域を備えることを特徴とする請求項82に記載の主電極。
  84. 前記絶縁パターン層をスピンコーティング法またはスプレーコーティング法等により成膜する時に形成されるエッジビードを減少させる手段をさらに備えることを特徴とする請求項1〜83のいずれか1項に記載の主電極。
  85. 前記キャリアまたは前記ディスクは凹みを周辺部に備えることを特徴とする請求項84に記載の主電極。
  86. 前記絶縁パターン層(7)を形成する際に用いられるスピンキャリアをさらに備え、
    スピンキャリア(22)はキャリア(1)が埋め込まれる凹みを備えることを特徴とする請求項84に記載の主電極。
  87. 主電極を基板に位置合わせするための位置合わせマークをさらに備え、
    前記位置合わせマークは主電極の前側および/または裏側の層の構造または空洞からなることを特徴とする請求項1〜86のいずれか1項に記載の主電極。
  88. 前記位置合わせマークは、前記キャリア、前記導電電極層、および/または前記絶縁パターン層に設けられていることを特徴とする請求項87に記載の主電極。
  89. 前記キャリアは紫外線、赤外線、またはX線等の整合に用いられる光に対して透明であり、
    前記絶縁パターン層が位置合わせマークを備えることを特徴とする請求項87に記載の主電極。
  90. 前記導電電極層は不透明な材料からなり、前記絶縁パターン層の位置合わせマークが位置する領域に開口を備えることを特徴とする請求項89に記載の主電極。
  91. 導電性材料は整合に用いられる光に対して透明であることを特徴とする請求項89に記載の主電極。
  92. 前記絶縁パターン層は不透明な材料からなり、前記キャリアまたは前記導電電極層の位置合わせマークが位置する領域に開口を備えることを特徴とする請求項88に記載の主電極。
  93. 前記位置合わせマークは不透明な材料からなり、例えば金属が石英の上というように、透明な材料の一部の上に位置することを特徴とする請求項88に記載の主電極。
  94. 前記位置合わせマークが裏側に設けられ、
    前側に配置される場合、前記絶縁パターン層のパターンが位置合わせマークに位置合わせされ、
    裏側に配置される場合、位置合わせマークが前記絶縁パターン層のパターンに位置合わせされることを特徴とする請求項88に記載の主電極。
  95. 対向位置合わせ法を用いるために、前記位置合わせマークは前側に設けられることを特徴とする請求項88に記載の主電極。
  96. 前記位置合わせマークは前側の前記絶縁パターン層または前記導電電極層に設けられ、
    前記キャリアは、裏側から前側の位置合わせマークが見えるように、位置合わせマークの位置に貫通孔を備えることを特徴とする請求項88に記載の主電極。
  97. 前記貫通孔に透明材料を備えることを特徴とする請求項96に記載の主電極。
  98. 基板は少なくとも一部にトポグラフィを有し、
    絶縁パターン層は前記トポグラフィを保障するまたは前記トポグラフィに適合するパターンを備えることを特徴とする請求項1〜97のいずれか1項に記載の主電極。
  99. 前記絶縁パターン層は、少なくとも1つの電気化学セルを形成するために主電極と基板が密着される時に前記基板上のトポグラフィを持つ領域に対応する領域に、少なくとも1つの空洞を備えることを特徴とする請求項98に記載の主電極。
  100. トポグラフィを持つ領域に対応する前記少なくとも1つの空洞は、前記絶縁パターン層のその他の凹みよりも浅いことを特徴とする請求項99に記載の主電極。
  101. トポグラフィを持つ領域に対応する前記少なくとも1つの空洞は、導電電極層を持たないことを特徴とする請求項99または100に記載の主電極。
  102. 前記絶縁パターン層は2回以上パターニングされ、異なる高さの空洞を備えることを特徴とする請求項99に記載の主電極。
  103. 前記絶縁パターン層は、絶縁材料からなる少なくとも2つの層および少なくとも1つのエッチングストップ層からなることを特徴とする請求項102に記載の主電極。
  104. 前記トポグラフィに適合する前記少なくとも1つの空洞は、余裕を持って前記トポグラフィを囲む十分な大きさを有することを特徴とする請求項102に記載の主電極。
  105. 主電極(8)の前記キャリア(1)は絶縁パターン層(7)の少なくとも1つの空洞内に凹みを備え、
    前記凹みは壁を導電電極層(4)で覆われ、
    前記導電電極層上には陽極材(28)が事前に堆積されていることを特徴とする請求項1〜104のいずれか1項に記載の主電極。
  106. 前記キャリア(1)および導電電極層(4)は、絶縁パターン層(7)の少なくとも1つの空洞において突出構造を有し、
    前記導電電極層上には陽極材(28)が事前に堆積されていることを特徴とする請求項1〜105のいずれか1項に記載の主電極。
  107. 導電性材料または半導体材料からなる前面および背面を有するディスクを用意する工程と、
    ディスクの少なくとも一部を囲む絶縁コーティング層を形成する工程と、
    電極形成導電性材料からなり、前記ディスクに前記絶縁コーティング層の少なくとも1つの開口を介して電気的に接続する導電電極層を前面の少なくとも一部に形成する工程と、
    少なくとも1つの空洞を前記導電電極層上に有する絶縁パターン層を形成する工程と、
    を有することを特徴とする主電極の形成方法。
  108. 導電性材料からなり、前記ディスクに前記絶縁コーティング層の少なくとも1つの開口を介して電気的に接続する接触層を背面の少なくとも一部に形成する工程をさらに有することを特徴とする請求項107に記載の方法。
  109. 絶縁材料からなり、前面および背面を有する絶縁ディスクを用意する工程と、
    導電性材料からなる前記絶縁ディスクに接続ビアを形成する工程と、
    導電性材料からなり、前記ビアに電気的に接続する電極層を前面の少なくとも一部に形成する工程と、
    少なくとも1つの空洞を前記電極層上に有する絶縁パターン層を形成する工程と、
    を有することを特徴とする主電極の形成方法。
  110. 導電性材料からなり、前記ビアに電気的に接続する接触層を背面の少なくとも一部に形成する工程をさらに有することを特徴とする請求項109に記載の方法。
  111. 導電性材料および/または半導体材料からなる少なくとも1つの層からなるディスクを用意する工程と、
    少なくとも部分的に絶縁材料からなる少なくとも1つの層からなる絶縁層を形成する工程と、
    前記絶縁材料に少なくとも1つの凹みを形成する工程と、
    電極形成導電性材料からなる導電電極層を各凹みに形成する工程と、
    前記絶縁層の裏側に少なくとも1つの凹みを形成する工程と、
    を有することを特徴とする主電極の形成方法。
  112. 導電性材料および/または半導体材料からなる少なくとも1つの層からなり、前記ディスクと前記電極層に電気的に接続する接触層を前記絶縁層の裏側に形成する工程をさらに有することを特徴とする請求項111に記載の方法。
  113. 少なくとももう1つの導電層を形成する工程をさらに有することを特徴とする請求項112に記載の方法。
  114. 導電性材料および/または半導体材料からなる少なくとも1つの層からなるキャリアを用意する工程と、
    前記導電性材料および/または半導体材料からなる層に複数の凹みを形成する工程と、
    少なくとも1つの絶縁層を凹みの間に備える工程と、
    を有することを特徴とする主電極の形成方法。
  115. 少なくとも1つの導電電極層(4)を前記凹みのうちの少なくとも1つの底面に備える工程をさらに有することを特徴とする請求項114に記載の方法。
  116. 少なくとも1つの絶縁材料からなる層を前記キャリアの裏側に備える工程と、
    接続部をなす前記絶縁材料に少なくとも1つの凹みを形成する工程と、
    をさらに有することを特徴とする請求項114に記載の方法。
  117. 少なくとも1つの導電電極層を絶縁材料の凹みに備える工程をさらに有することを特徴とする請求項114に記載の方法。
  118. 少なくとも1つの絶縁材料からなる層を前記凹みのうちの少なくとも1つの側面に備える工程をさらに有することを特徴とする請求項117に記載の方法。
  119. キャリアの前側のほぼ全面を覆うように絶縁材料を堆積する工程と、
    絶縁材料をキャリアの凹みの底面から除去する工程と、
    をさらに有することを特徴とする請求項118に記載の方法。
  120. 前記絶縁材料を、熱酸化、熱窒化、スパッタリング、PECVDおよびALDからなる群から選択された方法により堆積することを特徴とする請求項119に記載の方法。
  121. 前記絶縁材料を、凹みの前記底面に垂直な方向のエッチング速度が前記側面に垂直な方向のエッチング速度より速いドライエッチング等の異方性エッチングにより除去することを特徴とする請求項120に記載の方法。
  122. 前記絶縁材料を、リソグラフィおよびエッチングにより凹みの底面から除去することを特徴とする請求項120に記載の方法。
  123. 前記絶縁材料層をエッチングマスクとして用いて、前記少なくとも1つの凹みを前記キャリアに形成する工程をさらに有することを特徴とする請求項120に記載の方法。
  124. 前記絶縁層(12)上に、絶縁材料からなる少なくとも1つの層をさらに形成することを特徴とする請求項119に記載の方法。
  125. 前記キャリア(1)は導電性材料および/または半導体材料からなる少なくとも1つの層からなり、電極形成導電性材料からなる導電電極層(4)を前記絶縁パターン層(7)の空洞に備えることを特徴とする請求項107〜124のいずれか1項に記載の方法。
  126. 前記キャリア(1)は導電性材料および/または半導体材料からなる少なくとも1つの層からなり、前記前面にパターンを形成するための凹みを備え、
    凹み間の領域を覆うように絶縁材料を堆積し、
    導電電極層(4)を前記凹みの底面に形成することを特徴とする請求項107〜125のいずれか1項に記載の方法。
  127. 基板に対するコンタクトを配置するための凹み(19、20)を備える工程をさらに有することを特徴とする請求項107〜126のいずれか1項に記載の方法。
  128. 基板表面との電気的接続を形成するために電極を前記基板に配置する際に前記基板表面と係合するコンタクト(31)を備える工程をさらに有することを特徴とする請求項127に記載の方法。
  129. 前記コンタクト(31)を、前記絶縁材料外のキャリア(1)の周囲面に配置することを特徴とする請求項128に記載の方法。
  130. 前記ディスク(2)は弾性材料および/または可撓性材料からなることを特徴とする請求項107から129のいずれか1項に記載の方法。
  131. 絶縁パターン層(7)の前面は、接触する基板の3次元構造に対応する構造を備えることを特徴とする請求項107〜130のいずれか1項に記載の方法。
  132. 絶縁パターン層(7)の空洞の側壁は、前面の法線に対して傾斜していることを特徴とする請求項107〜131のいずれか1項に記載の方法。
  133. 陽極材(28)を絶縁パターン層(7)の空洞内に前記導電電極層(4)に接して事前に堆積する工程をさらに有することを特徴とする請求項107〜132のいずれか1項に記載の方法。
  134. 陽極材(28)を、電気めっき、無電解めっき、浸漬めっき、CVD、MOCVD、(帯電)パウダーコーティング、化学グラフト、電子グラフトおよびそれらの組み合わせからなる群から選択された方法により事前に堆積する工程をさらに有することを特徴とする請求項132に記載の方法。
  135. 前記陽極材(28)を電気めっきまたは無電解めっきにより堆積することを特徴とする請求項134に記載の方法。
  136. 前記絶縁パターン層を基板表面に接触させるために主電極を基板に押圧することによる基板のゆがみまたは凸凹を補償するため、前記キャリアの層は可撓性であることを特徴とする請求項107〜135のいずれか1項に記載の方法。
  137. 前記主電極を基板に接触させるために力を加えた時に、前記絶縁パターン層の空洞内に落ち込まないように前記キャリアの層は剛性であることを特徴とする請求項107〜136のいずれか1項に記載の方法。
  138. 前記キャリアの屈曲は、例えば25%未満、10%未満、約1%未満というように50%未満であることを特徴とする請求項137に記載の方法。
  139. 前記キャリアは、ガラス、石英またはシリコンウェハより高い、もしくはほぼ同等の可撓性を有することを特徴とする請求項107〜138のいずれか1項に記載の方法。
  140. 前記導電性材料および/または半導体材料からなる少なくとも1つの層は、導電性ポリマー、導電性ペースト、金属、Fe、Cu、Au、Ag、Pt、Si、SiC、Sn、Pd、Pt、Co、Ti、Ni、Cr、Al、インジウムスズ酸化物(indium tin oxide:ITO)、SiGe、GaAs、InP、Ru、Ir、Re、Hf、Os、Rh、合金、リン合金、SnAg、PdAg、SnAgCu、NiP、AuCu、シリサイド、ステンレス鋼、真鍮、はんだ材料、およびそれらの組み合わせからなる群から選択された材料からなることを特徴とする請求項107〜139のいずれか1項に記載の方法。
  141. 前記導電性材料からなる少なくとも1つの層は、Cr、Ti、Au、Ptからなる群から選択された金属からなることを特徴とする請求項140に記載の方法。
  142. 前記導電性材料からなる少なくとも1つの層は、AuまたはPtからなることを特徴とする請求項141に記載の方法。
  143. 前記半導体材料からなる少なくとも1つの層はSiであることを特徴とする請求項142に記載の方法。
  144. 絶縁材料は、SiO等の酸化物、石英、ガラス、SiN等の窒化物、ポリマー、ポリイミド、ポリウレタン、エポキシポリマ、アクリレート重合体、PDMS、(天然)ゴム、シリコーン、ラッカ、エラストマ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項107〜143のいずれか1項に記載の方法。
  145. 絶縁材料を、熱酸化、プラズマ化学気相成長法(plasma-enhanced chemical vapor deposition:PECVD)、物理気相成長法(physical vapor deposition:PVD)、化学気相成長法(chemical vapor deposition:CVD)、電子陽極酸化処理、原子層成長法(atomic layer deposition:ALD)、スピンコーティング法、スプレーコーティング法、ローラーコーティング法、パウダーコーティング法、粘着テープによるによる接着、熱分解、接着剤による接着、およびそれらの組み合わせからなる群から選択された方法により形成することを特徴とする請求項107〜144のいずれか1項に記載の方法。
  146. 前記ウェットエッチング法またはドライエッチング法は、エッチングを施さない表面にエッチングマスクを用いることを特徴とする請求項107〜145のいずれか1項に記載の方法。
  147. エッチングマスクをリソグラフィ法によりパターニングすることを特徴とする請求項146に記載の方法。
  148. 前記キャリアを平坦化する工程をさらに含むことを特徴とする請求項107〜147のいずれか1項に記載の方法。
  149. 前記導電電極層(4)は、Fe、Cu、Sn、Ag、Au、Pd、Co、Ti、Ta、Ni、Pt、Cr、Al、W、ITO、Si、Ru、Rh、Re、Os、Hf、Ir、Nb、その他の金属、合金、リン合金、SnAg、SnAgCu、CoWP、CoWB、CoWBP、NiP、AuCu、シリサイド、グラファイト、ステンレス鋼、導電性ポリマー、はんだ材料、導電性酸化物または半導体酸化物またはRu酸化物、Ir酸化物、Rh酸化物、Ti酸化物および/またはTa酸化物等の上記金属の酸化物の混合物等の混合酸化物からなる群から選択された導電性材料および/または半導体材料からなる少なくとも1つの層からからなることを特徴とする請求項107〜148のいずれか1項に記載の方法。
  150. 前記導電電極層(4)を、ALD、有機金属化学気相成長法(metal-organic chemical vapor deposition:MOCVD)、PVD、CVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着法、電子グラフト法、化学グラフト法、およびそれらの組み合わせからなる群から選択された方法により形成することを特徴とする請求項149に記載の方法。
  151. 前記導電電極層(4)を、PVD/スパッタリング法および無電解堆積法/浸漬堆積法の組み合わせにより形成することを特徴とする請求項150に記載の方法。
  152. 前記導電電極層は、熱的な方法で処理されることを特徴とする請求項107〜151のいずれか1項に記載の方法。
  153. 前記熱的な方法は、急速熱アニール(rapid thermal anneal:RTA)等のアニーリング、炉加熱、熱板加熱、またはそれらの組み合わせであり、真空、フォーミングガス、水素ガス、窒素ガス、低酸素含有またはそれらの組み合わせからほぼなる雰囲気下で行われることを特徴とする請求項152に記載の方法。
  154. 前記導電電極層(4)は、少なくとも1つの材料からなる複数の層を形成し、次の層を形成する前に少なくとも1つの層に熱処理を施して形成されることを特徴とする請求項107〜153のいずれか1項に記載の方法。
  155. 前記導電電極層(4)を形成する前に粘着層をキャリア(1)の少なくとも一部に形成する工程をさらに有し、
    前記粘着層は導電電極層の前記キャリアへの粘着性を高める1または複数の材料からなることを特徴とする請求項107〜154のいずれか1項に記載の方法。
  156. 前記絶縁パターン層(7)は、1または複数の電気的に絶縁性の材料からなり、複数の凹みをパターニングされていることを特徴とする請求項107〜155のいずれか1項に記載の方法。
  157. 前記絶縁パターン層(7)は表面粗さが低く、厚さの均一性が高いことを特徴とする請求項156に記載の方法。
  158. 前記絶縁パターン層の少なくとも1つの電気的に絶縁性の材料を、熱酸化、熱窒化、PECVD、PVD、CVD、MOCVD、電子陽極酸化処理、ALD、スピンコーティング法、スプレーコーティング法、ディップコーティング法、カーテンコーティング法、ローラーコーティング法、パウダーコーティング法、熱分解、粘着テープによるによる接着、接着剤による接着、およびそれらの組み合わせからなる群から選択された方法により形成することを特徴とする請求項157に記載の方法。
  159. 前記絶縁パターン層(7)を形成する前に粘着層をキャリア(1)の少なくとも一部に形成する工程をさらに有し、
    前記粘着層は絶縁パターン層とキャリア間の粘着特性を高める材料からなる少なくとも1つの層からなることを特徴とする請求項107〜158のいずれか1項に記載の方法。
  160. 前記粘着層は、Pt、Al、Ni、Pd、Cr、Ti、TiW等の導電性材料、AP−3000、AP−100、AP−200、AP−300等の絶縁材料、HMDS等のシラン、およびそれらの組み合わせからなる群から選択された材料からなる少なくとも1つの層からなることを特徴とする請求項159に記載の方法。
  161. 前記粘着層を、電着法、スピンコーティング法、スプレーコーティング法、ディップコーティング法、分子気相成長法(molecular vapor deposition:MVD)、ALD、MOCVD、CVD、PVD、スパッタリング法、無電解堆積法、浸漬堆積法、電子グラフト法、化学グラフト法、およびそれらの組み合わせからなる群から選択された堆積法により形成することを特徴とする請求項159に記載の方法。
  162. 形成された絶縁パターン層を平坦化する工程をさらに含むことを特徴とする請求項107〜161のいずれか1項に記載の方法。
  163. 前記平坦化工程を、化学機械研磨(chemical mechanical polishing:CMP)、ラップ仕上げ、接触平坦化(contact planarization:CP)等のエッチングおよび/または研磨、および/またはイオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング等のドライエッチング、およびそれらの組み合わせからなる群から選択された方法により実施することを特徴とする請求項162に記載の方法。
  164. 前記電気的に絶縁性の材料は、有機化合物、ポリマー、絶縁無機化合物、酸化物、窒化物、ポリイミド、シロキサン変性ポリイミド、BCB、SU−8、ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、シリコーン、弾性重合体、ZEP等の電子ビームレジスト、フォトレジスト、薄膜レジスト、厚膜レジスト、多環オレフィン、ポリノルボルネン、ポリエチレン、ポリカーボネート、PMMA、BARC材料、リフトオフレイヤー(lift off layer:LOL)材料、PDMS、ポリウレタン、エポキシポリマ、フルオロエラストマ、アクリレート重合体、(天然)ゴム、シリコーン、ラッカ、ニトリルゴム、EPDM、ネオプレン、PFTE、パリレン、フルオロメチレンシアン酸エステル、無機−有機ハイブリッドポリマー、フッ素化および/または水素化非晶質炭素、有機ドープシリコンガラス(organic-doped silicon glass:OSG)、フッ素ドープシリコンガラス(fluorine-doped silicon glass:FSG)、PETE/シリコン化合物、オルトケイ酸テトラエチル(tetraethyl orthosilicate:TEOS)、SiN、SiO、SiON、SiOC、SiCN:H、SiOCH材料、SiCH材料、シリケート、石英系材料、シルセスキオキサン(silsesquioxane:SSQ)系材料、メチルシルセスキオキサン(methyl silsesquioxane:MSQ)、水素シルセスキオキサン(hydrogen silsesquioxane:HSQ)、TiO、Al、TiN、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項107〜163のいずれか1項に記載の方法。
  165. 前記絶縁パターン層(7)の前記凹みを、リソグラフィ、エッチングおよび/または機械研磨により形成することを特徴とする請求項107〜164のいずれか1項に記載の方法。
  166. 前記エッチングはウェットエッチングおよび/またはドライエッチングを含むことを特徴とする請求項165に記載の方法。
  167. 前記ドライエッチングは、イオンスパッタリング、反応性イオンエッチング(reactive ion etching:RIE)、プラズマエッチング、レーザー研磨、イオンミリング、またはそれらの組み合わせを含むことを特徴とする請求項166に記載の方法。
  168. 前記エッチングは、前記前記絶縁パターン層の少なくとも一部のエッチングを施さない領域に、パターニングされたエッチングマスクを設ける工程を含むことを特徴とする請求項165、166または167に記載の方法。
  169. 前記パターニングされたエッチングマスクを、リソグラフィおよび/またエッチングにより形成することを特徴とする請求項165〜168のいずれか1項に記載の方法。
  170. 前記エッチングマスクは、薄膜フォトレジスト、ポリイミド、BCB、厚膜フォトレジスト、および/またはその他のポリマー等のリソグラフィに用いられる高分子レジスト、またはSiN、SiO、SiC、Pt、Ti、TiW、TiN、Al、Cr、Au、Cu、Ni、Ag、NiP等の等のハードマスク、またはそれらの組み合わせからなることを特徴とする請求項168または169に記載の方法。
  171. 前記ハードマスクを、PVD、CVD、MOCVD、スパッタリング法、無電解堆積法、浸漬堆積法、電着法、PECVD、ALD、およびそれらの組み合わせからなる群から選択された方法により形成することを特徴とする請求項170に記載の方法。
  172. 前記エッチングマスクは、さらに形成された主電極により形成された少なくとも1つの電気化学セルの少なくとも1つの構造層からなることを特徴とする請求項107〜171のいずれか1項に記載の方法。
  173. 前記構造層は、Cu、Ni、NiFe、NiP、Au、Ag、Sn、Pb、SnAg、SnAgCu、SnPb、およびそれらの組み合わせからなる群から選択された少なくとも1つの材料からなることを特徴とする請求項172に記載の方法。
  174. 前記絶縁パターン層(7)を形成する前にエッチングストップ層を形成する工程をさらに有することを特徴とする請求項107〜173のいずれか1項に記載の方法。
  175. 前記エッチングストップ層は、Ti、Pt、Au、Ag、Cr、TiW、SiN、Ni、Si、SiC、SiO、Al、InGaP、CoP、CoWP、NiP、NiPCo、AuCo、BLOKTMおよびそれらの組み合わせからなる群から選択された材料からなる少なくとも1つの層からなることを特徴とする請求項174に記載の方法。
  176. 前記絶縁パターン層(7)の空洞の側壁の傾斜角を調整するために、前記絶縁パターン層(7)を形成するためのパターニングを変更することを特徴とする請求項107〜175のいずれか1項に記載の方法。
  177. 前記絶縁パターン層(7)の空洞の側壁はほぼ垂直であり、前記導電電極(4)表面の法線に対して約45°未満、例えば約20°未満、例えば約5°未満、例えば約2°未満、例えば約1°未満、例えば約0.1°未満の角度を持つことを特徴とする請求項176に記載の方法。
  178. 波長フィルタを用いる、反射防止コーティングを用いる、照射線量を変更する、現像時間を変更する、熱処理を用いる、および/またはそれらの組み合わせ等により、フォトリソグラフィックパターニングのパラメータを変え、傾斜角を最適化することを特徴とする請求項175〜177のいずれか1項に記載の方法。
  179. 反応性イオンエッチング(reactive ion etching:RIE)等のドライエッチングのためのガス組成、プラテン電力(RF電力)、および/またはプラズマ電力(コイル電力とも呼ばれる)を最適化し、特定の傾斜角を得ることを特徴とする請求項175〜177のいずれか1項に記載の方法。
  180. 前記絶縁パターン層(7)の空洞を形成するためにダマシンプロセスを用い、
    前記ダマシンプロセスは、凹みを持つ犠牲パターン層をキャリア(1)上に形成する工程と、
    前記犠牲パターン層を覆って犠牲パターン層の凹みを埋めるように絶縁材料を堆積する工程と、
    犠牲パターン層が露出するまで絶縁材料を平坦化する工程と、
    犠牲パターン層を除去し、絶縁パターン層(7)を形成する工程と、
    を含むことを特徴とする請求項107〜179のいずれか1項に記載の方法。
  181. 前記犠牲パターンを、リソグラフィ、めっき処理および/またはエッチングによってパターニングされた材料を用いて形成することを特徴とする請求項180に記載の方法。
  182. 前記犠牲パターン層は、さらに形成された主電極(8)により形成された電気化学セルの少なくとも1つの構造層からなることを特徴とする請求項180に記載の方法。
  183. 少なくとも絶縁パターン層(7)の一部をリリース層で被覆する工程をさらに有し、
    前記リリース層は絶縁パターン層と絶縁パターン層に接するその他の材料との間の機械的および化学的結合を低減することを特徴とする請求項107〜182のいずれか1項に記載の方法。
  184. 前記リリース層は、スピンコーティング法、スプレーコーティング法、CVD、MOCVD、MVD、PVD、および/またはその組み合わせにより形成され、メトキシシラン、クロロシラン、フルオロシラン等のシラン、ポリジメチルシロキサン、ポリエチレングリコールシロキサン、ジメチルシロキサンオリゴマ(dimethyl-siloxane:DMS oligomer)等のシロキサン、および/または非晶質フルオロポリマー、フルオロ炭素ポリテトラフルオロエチレン(polytetrafluoroethylene:PTFE)、サイトフルオロポリマー等のその他のポリマー、およびそれらの組み合わせからなる群から選択された材料からなることを特徴とする請求項183に記載の方法。
  185. 前記少なくとも1つの電気化学セルを形成する表面は、前記電気化学セルに用いられる電解質の湿潤性能が良好となる表面特性を有することを特徴とする請求項107〜184のいずれか1項に記載の方法。
  186. 前記少なくとも1つの電気化学セルを形成する表面は親水性であり、水溶液に対して低い接触角を持つことを特徴とする請求項107〜185のいずれか1項に記載の方法。
  187. 前記絶縁パターン層(7)の表面の少なくとも一部が、表面エネルギーを小さくして親水性表面を形成するような方法で処理されていることを特徴とする請求項107〜186のいずれか1項に記載の方法。
  188. 前記絶縁パターン層(7)の表面の少なくとも一部が、熱処理、酸素/窒素/アルゴンプラズマ処理、固着防止のための表面の改質(surface conversion for anti-sticking:SURCAS)、過酸化物、過硫酸塩、濃酸/強塩基等の強酸化剤、またはそれらの組み合わせによって処理されていることを特徴とする請求項107〜187のいずれか1項に記載の方法。
  189. 前記絶縁パターン層(7)の少なくとも一部が高い表面エネルギーを持っている、または表面エネルギーを高めて疎水性表面とするために水素プラズマ等により処理されることを特徴とする請求項107〜188のいずれか1項に記載の方法。
  190. 前記絶縁パターン層(7)は、絶縁パターン層の空洞の側壁が親水性となり、絶縁パターン層の上端部が疎水性となるような特性を持つ少なくとも1つの材料からなる1または複数の層からなることを特徴とする請求項107〜189のいずれか1項に記載の方法。
  191. 前記親水性材料は、SiN、SiO、酸素プラズマ処理されたポリマー(フォトレジストおよび/またはエラストマ等)、および/またはその他の表面に極性機能分子基を持つ材料、およびそれらの組み合わせからなる群から選択された材料であり、
    前記疎水性材料は、水素終端ポリマー等の極性機能分子基を持たない材料、テフロン(登録商標)、フルオロシラン/クロロシラン、シロキサン、フルオロエラストマ、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項190に記載の方法。
  192. 前記絶縁パターン層(7)は、主電極(8)が対象とする基板に押し当てられた時に、絶縁パターン層の上端部と前記基板の間の機械的接触を向上するような特性を持つ少なくとも1つの材料からなる1または複数の層からなることを特徴とする請求項107〜191のいずれか1項に記載の方法。
  193. 前記絶縁パターン層(7)は、少なくとも1つのエラストマ等の可撓性材料からなる層、または少なくとも1つの剛性材料からなる層と少なくとも1つの可撓性材料からなる層からなることを特徴とする請求項107〜192のいずれか1項に記載の方法。
  194. 前記少なくとも1つの可撓性材料からなる層は、前記少なくとも1つの剛性材料からなる層の上に設けられていることを特徴とする請求項193に記載の方法。
  195. 前記可撓性材料はエラストマであり、
    前記エラストマは、高圧縮率、弾性特性、電気的絶縁性、低誘電性、良好な耐化学性、金属、シリコン、ガラス、酸化物、窒化物および/またはポリマー等の下層に対する高粘着性、経時による収縮または膨張に対する高い耐久性、および/または汚染有機化合物を放出しない非ブリーディング特性、感紫外線性、リソグラフィによりパターニングされている、透明、ドライエッチング等のエッチングによりパターニングされている、およびそれらの組み合わせからなる群から選択された特性を有することを特徴とする請求項193または194に記載の方法。
  196. 前記エラストマは、ポリジメチルシロキサン(polydimethylsiloxane:PDMS)、シリコーン、エポキシシリコーン、フルオロシリコーン、フルオロエラストマ、(天然)ゴム、ネオプレン、EPDM、ニトリルゴム、アクリレートエラストマ、ポリウレタン、およびそれらの組み合わせからなる群から選択された材料であることを特徴とする請求項195に記載の方法。
  197. 前記エラストマは、0.1GPa未満、例えば1MPa未満、例えば約0.05MPa未満の引張弾性係数(ヤング率)を有する、またはエラストマ層はShore-A硬度が90未満、例えば30未満、例えば約5未満であることを特徴とする請求項195または196に記載の方法。
  198. 前記キャリア(1)または前記ディスク(2)は円形であることを特徴とする請求項107〜197のいずれか1項に記載の方法。
  199. 前記キャリア(1)または前記ディスク(2)は矩形であることを特徴とする請求項107〜198のいずれか1項に記載の方法。
  200. 前記キャリアまたは前記ディスクは、絶縁パターン層の凹みと同じ領域に凹みを有し、
    前記キャリアの凹みは導電電極層を備えることを特徴とする請求項107〜199のいずれか1項に記載の方法。
  201. 前記絶縁パターン層(7)は絶縁材料からなる接着層(13)を前記キャリア(1)上に接着しパターニングして形成されることを特徴とする請求項107〜200のいずれか1項に記載の方法。
  202. 前記接着層(13)は、接着後除去可能な接着キャリア(14)を備えることを特徴とする請求項201に記載の方法。
  203. 前記接着層(13)は、SiO、ガラス、石英、または高分子フィルムからなることを特徴とする請求項202に記載の方法。
  204. 前記接着層(13)は、粘着接着層(15)を備えることを特徴とする請求項201に記載の方法。
  205. 前記接着キャリア(14)を、接着後、研削または研磨等の機械的手法、またはウェットエッチングまたはドライエッチング等のエッチングによって除去することを特徴とする請求項202に記載の方法。
  206. 基板の前側領域とほぼ同様の前側領域をさらに備えることを特徴とする請求項107〜205のいずれか1項に記載の方法。
  207. 凹みまたは孔である、基板への外部電気接続を可能にする接続部をさらに備えることを特徴とする請求項107〜206のいずれか1項に記載の方法。
  208. 前記キャリアまたは前記ディスクは、少なくとも1つの凹みを周辺部に備えることを特徴とする請求項207に記載の方法。
  209. 前記キャリアまたは前記ディスクは、周辺部に近接する周辺部に接続孔を備えることを特徴とする請求項207に記載の方法。
  210. 前記接続部は、基板から電気化学セルを形成する際に均一の電流密度分布を得るように配置されることを特徴とする請求項207に記載の方法。
  211. 電極形成材料からなる電気的シード層接続(31)を、前記絶縁パターン層の上端部の凹み間の少なくとも一部に備える工程をさらに有することを特徴とする請求項107〜210のいずれか1項に記載の方法。
  212. 前記電気的シード層接続(31)は、キャリア、ディスク、導電電極層、または接続層の導電性材料または半導体材料から絶縁材料により絶縁されていることを特徴とする請求項201に記載の方法。
  213. 前記電気的シード層接続(31)は、キャリアまたはディスク端周囲に層として設けられることを特徴とする請求項211または212に記載の方法。
  214. 前記電気的シード層接続(31)は、パターン層の空洞の隣接部を除いて、絶縁パターン層(7)の広い表面およびほぼ全面にわたって設けられていることを特徴とする請求項209〜213のいずれか1項に記載の方法。
  215. 前記電気的シード層接続(31)の異なる部分が、キャリアの裏側においてキャリアを貫く接続領域を備えることを特徴とする請求項214に記載の方法。
  216. 前記絶縁パターン層をスピンコーティング法またはスプレーコーティング法等により成膜する時に形成されるエッジビードを減少させる手段をさらに備えることを特徴とする請求項107〜213のいずれか1項に記載の方法。
  217. 前記キャリアまたは前記ディスクは凹みを周辺部に備えることを特徴とする請求項216に記載の方法。
  218. 前記絶縁パターン層(7)を形成する際にスピンキャリアを用い、
    スピンキャリア(22)はキャリア(1)が埋め込まれる凹みを備えることを特徴とする請求項216に記載の方法。
  219. 前記エッジビードを、有機溶剤中での溶解、機械的除去、および/またはリソグラフィおよび/またはエッチングによるエッジビード領域からの絶縁パターン層の除去により除去することを特徴とする請求項216〜218のいずれか1項に記載の方法。
  220. 主電極を基板に位置合わせするための位置合わせマークをさらに備え、
    前記位置合わせマークは主電極の前側および/または裏側の層の構造または空洞からなることを特徴とする請求項107〜219のいずれか1項に記載の方法。
  221. 前記位置合わせマークは、前記キャリア、前記導電電極層、および/または前記絶縁パターン層に設けられていることを特徴とする請求項220に記載の方法。
  222. 前記キャリアは紫外線、赤外線、またはX線等の整合に用いられる光に対して透明であり、
    前記絶縁パターン層が位置合わせマークを備えることを特徴とする請求項220に記載の方法。
  223. 前記導電電極層は不透明な材料からなり、前記絶縁パターン層の位置合わせマークが位置する領域に開口を備えることを特徴とする請求項222に記載の方法。
  224. 導電性材料は整合に用いられる光に対して透明であることを特徴とする請求項222に記載の方法。
  225. 前記絶縁パターン層は不透明な材料からなり、前記キャリアまたは前記導電電極層の位置合わせマークが位置する領域に開口を備えることを特徴とする請求項219に記載の方法。
  226. 前記位置合わせマークは不透明な材料からなり、例えば金属が石英の上というように、透明な材料の一部の上に位置することを特徴とする請求項220に記載の方法。
  227. 前記位置合わせマークを裏側に設け、
    前側に配置される場合、前記絶縁パターン層のパターンを位置合わせマークに位置合わせし、
    裏側に配置される場合、位置合わせマークを前記絶縁パターン層のパターンに位置合わせすることを特徴とする請求項220に記載の方法。
  228. 対向位置合わせ法を用いるために、前記位置合わせマークを前側に設けることを特徴とする請求項220に記載の方法。
  229. 前記位置合わせマークを前側の前記絶縁パターン層または前記導電電極層に設け、
    前記キャリアに、裏側から前側の位置合わせマークが見えるように、位置合わせマークの位置に貫通孔を備えることを特徴とする請求項220に記載の方法。
  230. 前記貫通孔に透明材料を備えることを特徴とする請求項229に記載の方法。
  231. 基板は少なくとも一部にトポグラフィを有し、
    絶縁パターン層は前記トポグラフィを保障するまたは前記トポグラフィに適合するパターンを備えることを特徴とする請求項107〜230のいずれか1項に記載の方法。
  232. 前記絶縁パターン層は、少なくとも1つの電気化学セルを形成するために主電極と基板が密着される時に前記基板上のトポグラフィを持つ領域に対応する領域に、少なくとも1つの空洞を備えることを特徴とする請求項231に記載の方法。
  233. トポグラフィを持つ領域に対応する前記少なくとも1つの空洞は、前記絶縁パターン層のその他の凹みよりも浅く、導電電極層を持たないことを特徴とする請求項232に記載の方法。
  234. 前記絶縁パターン層を2回以上パターニングし、異なる高さの空洞を備えることを特徴とする請求項232に記載の方法。
  235. 絶縁パターン層にリソグラフィおよび/またエッチングを施してキャリアまたは導電電極に至る空洞を形成し、
    絶縁パターン層を少なくとも部分的にもう1度パターニングして基板上のトポグラフィを保障し、キャリアまたは導電電極層まで及ばない空洞を形成することを特徴とする請求項234に記載の方法。
  236. 絶縁パターン層をリソグラフィおよび/またエッチングによりパターニングして基板上のトポグラフィを保障し、下層のキャリアまたは導電電極層にまで及ばない空洞を形成し、
    絶縁パターン層をもう1度パターニングして下層のキャリアまたは導電電極層に至る空洞を形成することを特徴とする請求項234に記載の方法。
  237. 前記絶縁パターン層は、絶縁材料からなる少なくとも2つの層および少なくとも1つのエッチングストップ層からなり、少なくとももう1度パターニングされ、
    前記パターニングは、
    最上部の絶縁パターン層にエッチングストップ層に至る空洞をエッチングする工程と、
    リソグラフィおよびエッチングによりエッチングストップ層を部分的に除去する工程と、
    前記パターニングされたエッチングストップ層をエッチングマスクとして用いて、下の絶縁パターン層に下層のエッチングストップ層、キャリアまたは導電電極層に至る別の空洞をエッチングする工程とを有することを特徴とする請求項234に記載の方法。
  238. 前記絶縁パターン層の空洞を、基板表面のトポグラフィと同一または略同一のトポグラフィを持つ基板テンプレートのインプリントとして形成し、
    前記絶縁パターン層をパターニングして下層のキャリアまたは導電電極層に至る空洞を形成することを特徴とする請求項234に記載の方法。
  239. 前記絶縁パターン層を、
    ネガティブフォトレジストおよび/またはUV硬化ポリマーからなる層を形成する工程と、
    前記層をフォトマスクを通して紫外線に暴露する工程と、
    ネガティブフォトレジストおよび/またはUV硬化ポリマーからなる層をさらに形成する工程と、
    前記第2の層を第2のフォトマスクを通して紫外線に暴露する工程と、
    両層を同時に現像する前に、必要に応じて露光後焼き締め(post-exposure bake:PEB)を行う工程とを有する手順を少なくとも1回実施することにより形成することを特徴とする請求項234に記載の方法。
  240. 前記手順において、前記層をフォトマスクを通して紫外線に暴露する代わりに、レーザービームまたは電子ビーム等に暴露する直接描画法を用いることを特徴とする請求項239に記載の方法。
  241. リソグラフィおよび/またエッチングを繰り返し前記絶縁パターン層(7)をパターニングし、基板上の複数のレベルの異なる高さおよび形状を持つトポグラフィを保障する複数のレベルの空洞を形成することを特徴とする請求項107〜240のいずれか1項に記載の方法。
  242. 前記トポグラフィに適合する前記少なくとも1つの空洞は、余裕を持って前記トポグラフィを囲む十分な大きさを有することを特徴とする請求項232に記載の方法。
  243. 主電極の前記キャリアは絶縁パターン層の少なくとも1つの空洞内に凹みを備え、
    前記凹みは壁を導電電極層で覆われ、
    前記導電電極層上には陽極材が事前に堆積されていることを特徴とする請求項107〜242のいずれか1項に記載の方法。
  244. 前記キャリアおよび導電電極層は、絶縁パターン層の少なくとも1つの空洞において突出構造を有し、
    前記導電電極層上には陽極材が事前に堆積されていることを特徴とする請求項107〜243のいずれか1項に記載の方法。
JP2008541119A 2005-11-18 2006-11-20 電極およびその形成方法 Pending JP2009516080A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE0502538 2005-11-18
SE0502539 2005-11-18
PCT/SE2006/001320 WO2007058604A1 (en) 2005-11-18 2006-11-20 Master electrode and method of forming the master electrode

Publications (2)

Publication Number Publication Date
JP2009516080A true JP2009516080A (ja) 2009-04-16
JP2009516080A5 JP2009516080A5 (ja) 2010-01-07

Family

ID=38048911

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2008541119A Pending JP2009516080A (ja) 2005-11-18 2006-11-20 電極およびその形成方法
JP2008541120A Expired - Fee Related JP5249040B2 (ja) 2005-11-18 2006-11-20 電極およびその形成方法
JP2008541118A Pending JP2009516388A (ja) 2005-11-18 2006-11-20 多層構造の形成方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2008541120A Expired - Fee Related JP5249040B2 (ja) 2005-11-18 2006-11-20 電極およびその形成方法
JP2008541118A Pending JP2009516388A (ja) 2005-11-18 2006-11-20 多層構造の形成方法

Country Status (7)

Country Link
US (12) US20090218233A1 (ja)
EP (3) EP1948852B1 (ja)
JP (3) JP2009516080A (ja)
KR (3) KR101424824B1 (ja)
CN (3) CN101360851B (ja)
DK (2) DK1948850T3 (ja)
WO (3) WO2007058603A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012129450A (ja) * 2010-12-17 2012-07-05 Fuji Electric Co Ltd 半導体装置の製造方法
JP2015030887A (ja) * 2013-08-02 2015-02-16 オムロン株式会社 電鋳部品及びその製造方法
KR20180080904A (ko) 2017-01-05 2018-07-13 주식회사 엘지화학 소수성 표면처리를 이용한 배터리 모듈 및 제작방법
KR20180100070A (ko) * 2016-01-28 2018-09-06 도쿄엘렉트론가부시키가이샤 금속 산화물의 스핀온 퇴적 방법
KR20190102091A (ko) * 2017-01-24 2019-09-02 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 및 바이어스 전력을 이용한 pvd 탄소를 위한 막 품질을 개선하는 방법

Families Citing this family (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100044079A1 (en) * 1999-08-27 2010-02-25 Lex Kosowsky Metal Deposition
US7276801B2 (en) * 2003-09-22 2007-10-02 Intel Corporation Designs and methods for conductive bumps
US7879721B2 (en) * 2005-10-28 2011-02-01 The Curators Of The University Of Missouri Rapid heating with nanoenergetic materials
EP1948852B1 (en) 2005-11-18 2018-08-29 Luxembourg Institute of Science and Technology (LIST) Master electrode and method of forming the master electrode
JP5041214B2 (ja) 2007-06-15 2012-10-03 ソニー株式会社 金属薄膜の形成方法および電子デバイスの製造方法
US7951697B1 (en) 2007-06-20 2011-05-31 Amkor Technology, Inc. Embedded die metal etch stop fabrication method and structure
US7923645B1 (en) 2007-06-20 2011-04-12 Amkor Technology, Inc. Metal etch stop fabrication method and structure
US8753974B2 (en) * 2007-06-20 2014-06-17 Micron Technology, Inc. Charge dissipation of cavities
US7958626B1 (en) 2007-10-25 2011-06-14 Amkor Technology, Inc. Embedded passive component network substrate fabrication method
WO2009058388A2 (en) * 2007-10-31 2009-05-07 The Board Of Trustees Of The Leland Stanford Junior University Layer-structured fuel cell catalysts and current collectors
JP4977587B2 (ja) * 2007-12-06 2012-07-18 シャープ株式会社 太陽電池の製造方法
US8273653B2 (en) * 2008-06-06 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Microscopic structure packaging method and device with packaged microscopic structure
JP5583894B2 (ja) * 2008-06-12 2014-09-03 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 電気錫めっき液および電気錫めっき方法
US20110089141A1 (en) * 2008-06-17 2011-04-21 Ulvac,Inc. Method for the production of multi-stepped substrate
US7929269B2 (en) * 2008-09-04 2011-04-19 Momentive Performance Materials Inc. Wafer processing apparatus having a tunable electrical resistivity
US9524945B2 (en) 2010-05-18 2016-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with L-shaped non-metal sidewall protection structure
CN102246292B (zh) * 2008-10-10 2014-06-18 台湾积体电路制造股份有限公司 在用于3d封装的基底中电镀基底贯通孔的方法
JP4893760B2 (ja) * 2009-01-27 2012-03-07 ソニー株式会社 回路基板の支持構造及び撮像装置
EP2218772A1 (en) * 2009-02-09 2010-08-18 Koninklijke Philips Electronics N.V. Cardiomyocytes-containing device and method for manufacturing the same
JP5556051B2 (ja) * 2009-04-15 2014-07-23 住友ベークライト株式会社 樹脂組成物およびそれを用いた半導体装置
NL2004545A (en) * 2009-06-09 2010-12-13 Asml Netherlands Bv Lithographic method and arrangement
US8962085B2 (en) 2009-06-17 2015-02-24 Novellus Systems, Inc. Wetting pretreatment for enhanced damascene metal filling
US9455139B2 (en) 2009-06-17 2016-09-27 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US9677188B2 (en) 2009-06-17 2017-06-13 Novellus Systems, Inc. Electrofill vacuum plating cell
WO2011001847A1 (ja) * 2009-07-01 2011-01-06 Jx日鉱日石金属株式会社 Ulsi微細銅配線埋め込み用電気銅めっき液
CN101656198B (zh) * 2009-07-21 2012-08-08 上海大学 导电氧化物薄膜的电刻蚀装置及方法
US8377816B2 (en) 2009-07-30 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming electrical connections
US8841766B2 (en) 2009-07-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US9190214B2 (en) * 2009-07-30 2015-11-17 Kemet Electronics Corporation Solid electrolytic capacitors with improved ESR stability
DE102009036418B4 (de) * 2009-08-06 2011-06-22 Siemens Aktiengesellschaft, 80333 Wellenleiter, insbesondere beim Dielektrikum-Wand-Beschleuniger
US8324738B2 (en) 2009-09-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned protection layer for copper post structure
US8598031B2 (en) 2009-09-28 2013-12-03 Globalfoundries Singapore Pte. Ltd. Reliable interconnect for semiconductor device
US9332642B2 (en) 2009-10-30 2016-05-03 Panasonic Corporation Circuit board
WO2011052211A1 (ja) * 2009-10-30 2011-05-05 パナソニック電工株式会社 回路基板及び回路基板に部品が実装された半導体装置
US8659155B2 (en) 2009-11-05 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps
US9012766B2 (en) 2009-11-12 2015-04-21 Silevo, Inc. Aluminum grid as backside conductor on epitaxial silicon thin film solar cells
JP4768848B2 (ja) * 2009-12-07 2011-09-07 株式会社東芝 電鋳用原盤及びその製造方法
JP2011128185A (ja) * 2009-12-15 2011-06-30 Shinano Kenshi Co Ltd 光走査装置
CN102110866B (zh) * 2009-12-24 2013-08-28 深南电路有限公司 波导槽制作工艺
US9862640B2 (en) 2010-01-16 2018-01-09 Cardinal Cg Company Tin oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10000411B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductivity and low emissivity coating technology
US10060180B2 (en) 2010-01-16 2018-08-28 Cardinal Cg Company Flash-treated indium tin oxide coatings, production methods, and insulating glass unit transparent conductive coating technology
US11155493B2 (en) 2010-01-16 2021-10-26 Cardinal Cg Company Alloy oxide overcoat indium tin oxide coatings, coated glazings, and production methods
US10000965B2 (en) 2010-01-16 2018-06-19 Cardinal Cg Company Insulating glass unit transparent conductive coating technology
KR101490571B1 (ko) 2010-01-28 2015-02-05 도꾸리쯔교세이호징 가가꾸 기쥬쯔 신꼬 기꼬 패턴 형상 도전성막의 형성 방법
US8610270B2 (en) 2010-02-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and semiconductor assembly with lead-free solder
US8293344B2 (en) 2010-02-26 2012-10-23 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
US8815059B2 (en) 2010-08-31 2014-08-26 Guardian Industries Corp. System and/or method for heat treating conductive coatings using wavelength-tuned infrared radiation
US8524337B2 (en) 2010-02-26 2013-09-03 Guardian Industries Corp. Heat treated coated article having glass substrate(s) and indium-tin-oxide (ITO) inclusive coating
US8304045B2 (en) 2010-02-26 2012-11-06 Guardian Industries Corp. Articles including anticondensation coatings and/or methods of making the same
US9194838B2 (en) 2010-03-03 2015-11-24 Osaka University Method and device for identifying nucleotide, and method and device for determining nucleotide sequence of polynucleotide
DE102010010348A1 (de) * 2010-03-05 2011-09-08 Albert-Ludwigs-Universität Freiburg Implantierbare Vorrichtung zum Erfassen einer Gefäßwanddehnung
US8441124B2 (en) 2010-04-29 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Cu pillar bump with non-metal sidewall protection structure
US20110272287A1 (en) * 2010-05-07 2011-11-10 International Business Machines Corporation Method for patterning magnetic films
KR101119251B1 (ko) * 2010-06-07 2012-03-16 삼성전기주식회사 터치패널
US9214576B2 (en) 2010-06-09 2015-12-15 Solarcity Corporation Transparent conducting oxide for photovoltaic devices
KR20130075765A (ko) * 2010-06-15 2013-07-05 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법 및 반도체 장치
US8709264B2 (en) 2010-06-25 2014-04-29 International Business Machines Corporation Planar cavity MEMS and related structures, methods of manufacture and design structures
CN101856302B (zh) * 2010-07-08 2012-08-22 上海交通大学 异步多通路刺激电针灸针及其制备方法
WO2012007522A2 (en) * 2010-07-15 2012-01-19 Replisaurus Group Sas Separation of master electrode and substrate in ecpr
CN103154081B (zh) * 2010-08-06 2015-11-25 普罗米鲁斯有限责任公司 用于微电子组装件的聚合物组合物
US8546254B2 (en) 2010-08-19 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming copper pillar bumps using patterned anodes
JP5730521B2 (ja) * 2010-09-08 2015-06-10 株式会社日立ハイテクノロジーズ 熱処理装置
US9773928B2 (en) 2010-09-10 2017-09-26 Tesla, Inc. Solar cell with electroplated metal grid
US8127979B1 (en) * 2010-09-25 2012-03-06 Intel Corporation Electrolytic depositon and via filling in coreless substrate processing
US9800053B2 (en) 2010-10-08 2017-10-24 Tesla, Inc. Solar panels with integrated cell-level MPPT devices
US8329021B2 (en) * 2010-10-28 2012-12-11 Palmaz Scientific, Inc. Method for mass transfer of micro-patterns onto medical devices
US9018100B2 (en) * 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
US8791501B1 (en) 2010-12-03 2014-07-29 Amkor Technology, Inc. Integrated passive device structure and method
SG182081A1 (en) * 2010-12-13 2012-07-30 Rohm & Haas Elect Mat Electrochemical etching of semiconductors
TW201227872A (en) * 2010-12-16 2012-07-01 Nat Applied Res Laboratories Metal wire structure and manufacturing method thereof
WO2012084048A1 (en) 2010-12-23 2012-06-28 Replisaurus Group Sas A method for providing an ecpr master electrode
EP2655698B1 (en) * 2010-12-23 2019-05-15 Luxembourg Institute of Science and Technology Master electrode for ecpr and manufacturing methods thereof
WO2012084046A1 (en) * 2010-12-23 2012-06-28 Replisaurus Group Sas An ecpr master electrode, and a method for providing such master electrode
WO2012084047A1 (en) 2010-12-23 2012-06-28 Replisaurus Group Sas An ecpr master electrode and a method for providing such ecpr master electrode
US8778799B2 (en) 2011-01-13 2014-07-15 Tamarack Scientific Co. Inc. Laser removal of conductive seed layers
JP5196086B2 (ja) * 2011-02-09 2013-05-15 大日本印刷株式会社 金めっき層を有するステンレス基板とステンレス基板への部分金めっきパターンの形成方法
CN102634829A (zh) * 2011-02-10 2012-08-15 林宏明 具有图案的网板模具及于工件上形成图案的方法
CN102168295B (zh) * 2011-02-15 2012-05-30 艾荻环境技术(上海)有限公司 具有选择性吸收功能的复合材料涂层
CN103702714B (zh) * 2011-04-15 2016-08-24 柯泰克股份有限公司 神经电极以及制造该神经电极的方法
US9316649B2 (en) * 2011-05-10 2016-04-19 Panasonic Healthcare Holdings Co., Ltd. Biological sample measuring device and method for measuring biological sample using same
US9054256B2 (en) 2011-06-02 2015-06-09 Solarcity Corporation Tunneling-junction solar cell with copper grid for concentrated photovoltaic application
ES2624739T3 (es) 2011-06-07 2017-07-17 Luxembourg Institute Of Science And Technology (List) Electrodo maestro ECPR y un procedimiento para proporcionar dicho electrodo maestro
KR20130006794A (ko) * 2011-06-23 2013-01-18 삼성전자주식회사 미세 패턴 형성 방법 및 반도체 소자의 제조 방법
CN102856277B (zh) * 2011-06-29 2015-03-11 中芯国际集成电路制造(上海)有限公司 石墨烯导电插塞及其形成方法
TW201308616A (zh) * 2011-08-03 2013-02-16 Motech Ind Inc 於基板上形成導電性圖案之方法
US8921165B2 (en) * 2011-08-03 2014-12-30 Cavendish Kinetics, Inc. Elimination of silicon residues from MEMS cavity floor
CN102629035A (zh) * 2011-09-29 2012-08-08 京东方科技集团股份有限公司 薄膜晶体管阵列基板及其制造方法
JP2013093412A (ja) * 2011-10-25 2013-05-16 Showa Denko Kk 発光ダイオード、発光ダイオードの製造方法、発光ダイオードランプ及び照明装置
US9263611B2 (en) * 2011-11-17 2016-02-16 Solar Junction Corporation Method for etching multi-layer epitaxial material
JP5504298B2 (ja) * 2012-02-22 2014-05-28 アオイ電子株式会社 振動発電素子およびその製造方法
RU2497230C1 (ru) * 2012-03-19 2013-10-27 Сергей Николаевич Максимовский Способ создания многослойной наноструктуры
JP5673592B2 (ja) * 2012-04-10 2015-02-18 Tdk株式会社 配線基板及びその製造方法
US20130316180A1 (en) * 2012-05-07 2013-11-28 Case Western Reserve University Biocompatible Packaging Suitable for Long-term Implantation and Method of Formation
US9260571B2 (en) 2012-05-24 2016-02-16 Lawrence Livermore National Security, Llc Hybrid polymer networks as ultra low ‘k’ dielectric layers
CN102766892B (zh) * 2012-08-10 2015-04-29 中国科学院重庆绿色智能技术研究院 微纳加工方法和设备
WO2014055781A1 (en) 2012-10-04 2014-04-10 Silevo, Inc. Photovoltaic devices with electroplated metal grids
US9865754B2 (en) 2012-10-10 2018-01-09 Tesla, Inc. Hole collectors for silicon photovoltaic cells
CN104756209A (zh) * 2012-10-30 2015-07-01 株式会社Leap 线圈元件的制造方法
JP5294288B1 (ja) * 2012-10-30 2013-09-18 株式会社Leap 樹脂基板を用い、電気鋳造によりコイル素子を製造する方法
FR2999805B1 (fr) * 2012-12-17 2017-12-22 Commissariat Energie Atomique Procede de realisation d'un dispositif de detection infrarouge
US8846529B2 (en) 2013-01-10 2014-09-30 International Business Machines Corporation Electroless plating of cobalt alloys for on chip inductors
US10074755B2 (en) 2013-01-11 2018-09-11 Tesla, Inc. High efficiency solar panel
US9412884B2 (en) 2013-01-11 2016-08-09 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
US9219174B2 (en) 2013-01-11 2015-12-22 Solarcity Corporation Module fabrication of solar cells with low resistivity electrodes
ES2705199T3 (es) 2013-01-17 2019-03-22 Atotech Deutschland Gmbh Contactos eléctricos galvanizados para módulos solares
KR101464860B1 (ko) * 2013-02-06 2014-11-24 인천대학교 산학협력단 알릴 알콜을 포함하는 금속 씨앗층 평탄제 및 이를 이용한 씨앗층의 형성방법
US9613833B2 (en) 2013-02-20 2017-04-04 Novellus Systems, Inc. Methods and apparatus for wetting pretreatment for through resist metal plating
US8956975B2 (en) 2013-02-28 2015-02-17 International Business Machines Corporation Electroless plated material formed directly on metal
US20140252571A1 (en) * 2013-03-06 2014-09-11 Maxim Integrated Products, Inc. Wafer-level package mitigated undercut
US8816492B1 (en) * 2013-03-13 2014-08-26 Qualtre, Inc. Method and apparatus for isolating MEMS devices from external stimuli
US20140261661A1 (en) * 2013-03-13 2014-09-18 Gtat Corporation Free-standing metallic article with overplating
JP6198456B2 (ja) * 2013-05-20 2017-09-20 東京エレクトロン株式会社 基板の処理方法及びテンプレート
US9624595B2 (en) 2013-05-24 2017-04-18 Solarcity Corporation Electroplating apparatus with improved throughput
CN104233418A (zh) * 2013-06-21 2014-12-24 镇江江城金属制品有限公司 金属标牌的电镀方法
CN105706274B (zh) 2013-07-03 2019-03-08 锡安能量公司 用于在包括可再充电锂电池的电化学电池中保护电极的陶瓷/聚合物基体
US9126452B2 (en) * 2013-07-29 2015-09-08 Xerox Corporation Ultra-fine textured digital lithographic imaging plate and method of manufacture
CN103441079B (zh) * 2013-09-12 2015-10-28 江阴长电先进封装有限公司 一种晶圆级高密度布线制备方法
CA2929929A1 (en) 2013-09-18 2015-03-26 Quantum Biosystems Inc. Biomolecule sequencing devices, systems and methods
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
DE102013219342A1 (de) * 2013-09-26 2015-03-26 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Verfahren zur Strukturierung von Schichten oxidierbarer Materialien mittels Oxidation sowie Substrat mit strukturierter Beschichtung
JP2015077652A (ja) 2013-10-16 2015-04-23 クオンタムバイオシステムズ株式会社 ナノギャップ電極およびその製造方法
CN103515353B (zh) * 2013-10-18 2016-08-31 上海华力微电子有限公司 一种光刻胶填充式金属互连结构及其制造方法
JP5735093B1 (ja) * 2013-12-24 2015-06-17 株式会社マテリアル・コンセプト 太陽電池及びその製造方法
US9791470B2 (en) * 2013-12-27 2017-10-17 Intel Corporation Magnet placement for integrated sensor packages
KR101566851B1 (ko) 2013-12-31 2015-11-06 (재)한국나노기술원 전기도금 공정을 이용한 자기제어 이황화몰리브덴 단일층의 합성 방법 및 이에 의해 제조된 자기제어 이황화몰리브덴 단일층을 이용한 트랜지스터
US10315399B2 (en) * 2013-12-31 2019-06-11 Entrotech, Inc. Methods for application of polymeric film and related assemblies
CN103767704B (zh) * 2014-01-20 2015-08-26 上海交通大学 一种用于脑电测量的准干电极及其制备方法
US10490796B2 (en) 2014-02-19 2019-11-26 Sion Power Corporation Electrode protection using electrolyte-inhibiting ion conductor
JP6746062B2 (ja) 2014-02-19 2020-08-26 シオン・パワー・コーポレーション 電解質抑制イオン伝導体を使用する電極保護
EP2918707B1 (en) 2014-03-12 2019-05-22 Rolls-Royce North American Technologies, Inc. Anisotropic etching of metallic substrates
EP3128000B1 (en) * 2014-03-31 2018-05-16 Panasonic Intellectual Property Management Co., Ltd. Electrochemical measurement device
US10438811B1 (en) 2014-04-15 2019-10-08 Quantum Biosystems Inc. Methods for forming nano-gap electrodes for use in nanosensors
TWI543685B (zh) * 2014-04-28 2016-07-21 旭德科技股份有限公司 基板結構及其製作方法
WO2015170782A1 (en) 2014-05-08 2015-11-12 Osaka University Devices, systems and methods for linearization of polymers
FR3022070B1 (fr) * 2014-06-04 2016-06-24 Univ D'aix-Marseille Procede de texturation aleatoire d'un substrat semiconducteur
US10309012B2 (en) 2014-07-03 2019-06-04 Tesla, Inc. Wafer carrier for reducing contamination from carbon particles and outgassing
CN105319241A (zh) * 2014-07-04 2016-02-10 中国科学院苏州纳米技术与纳米仿生研究所 柔性气敏传感器及其制备方法
CN104152979B (zh) * 2014-09-04 2017-02-01 蒙家革 一种电解蚀刻头和数控电解蚀刻系统及蚀刻方法
CN104287698B (zh) * 2014-09-16 2016-04-06 苏州能斯达电子科技有限公司 用于颈部脉搏检测的柔性可贴附传感器及其制备方法
WO2016049191A1 (en) 2014-09-23 2016-03-31 General Cable Technologies Corporation Electrodeposition mediums for formation of protective coatings electrochemically deposited on metal substrates
TWI561462B (en) * 2014-10-07 2016-12-11 Iner Aec Executive Yuan A method for forming dendritic silver with periodic structure as light-trapping layer
CN104388994B (zh) * 2014-10-09 2017-10-24 中国电子科技集团公司第五十五研究所 减小电镀层图形失真的方法
US9899546B2 (en) 2014-12-05 2018-02-20 Tesla, Inc. Photovoltaic cells with electrodes adapted to house conductive paste
CN104538496B (zh) * 2014-12-26 2018-01-12 新奥光伏能源有限公司 一种高效硅异质结太阳能电池电镀电极制备方法
WO2016108077A1 (en) * 2014-12-31 2016-07-07 Essilor International (Compagnie Generale D'optique) Method of mirror coating an optical article and article thereby obtained
US9947822B2 (en) 2015-02-02 2018-04-17 Tesla, Inc. Bifacial photovoltaic module using heterojunction solar cells
US9617648B2 (en) * 2015-03-04 2017-04-11 Lam Research Corporation Pretreatment of nickel and cobalt liners for electrodeposition of copper into through silicon vias
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
LU92716B1 (en) 2015-05-13 2017-03-02 Luxembourg Inst Science & Tech List Method of forming local nono/micro size structures of anodized metal
JP6437387B2 (ja) * 2015-05-25 2018-12-12 東芝メモリ株式会社 基板平坦化方法
KR20180022847A (ko) * 2015-06-30 2018-03-06 쓰리엠 이노베이티브 프로퍼티즈 캄파니 비아를 포함하는 전자 디바이스 및 그러한 전자 디바이스를 형성하는 방법
US9633971B2 (en) * 2015-07-10 2017-04-25 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
US10886250B2 (en) 2015-07-10 2021-01-05 Invensas Corporation Structures and methods for low temperature bonding using nanoparticles
DE102015213259A1 (de) * 2015-07-15 2017-01-19 Schaeffler Technologies AG & Co. KG Kunststoffbauteil sowie Verfahren zur Herstellung eines Kunststoffbauteils
US9761744B2 (en) 2015-10-22 2017-09-12 Tesla, Inc. System and method for manufacturing photovoltaic structures with a metal seed layer
DE102015226196A1 (de) * 2015-12-21 2017-06-22 Robert Bosch Gmbh Mobile Funktionsvorrichtung
US9842956B2 (en) 2015-12-21 2017-12-12 Tesla, Inc. System and method for mass-production of high-efficiency photovoltaic structures
JP6524261B2 (ja) * 2015-12-28 2019-06-05 信越化学工業株式会社 積層体の製造方法
US9496429B1 (en) 2015-12-30 2016-11-15 Solarcity Corporation System and method for tin plating metal electrodes
CN105789218A (zh) * 2016-03-10 2016-07-20 京东方科技集团股份有限公司 一种基板、其制作方法及显示装置
US10115838B2 (en) 2016-04-19 2018-10-30 Tesla, Inc. Photovoltaic structures with interlocking busbars
CN105789479B (zh) 2016-04-22 2018-09-07 京东方科技集团股份有限公司 Oled及其制备方法、以及oled显示装置
KR102451440B1 (ko) 2016-06-15 2022-10-05 이스트만 케미칼 컴파니 물리적 증착된 바이오센서 컴포넌트
EP3266738B1 (fr) * 2016-07-06 2019-03-06 The Swatch Group Research and Development Ltd. Procédé de fabrication d'une pièce d'horlogerie dotée d'un élément d'habillage multi-niveaux
GB2553154B (en) * 2016-08-22 2019-11-20 Cirrus Logic Int Semiconductor Ltd MEMS device
KR102547063B1 (ko) 2016-09-16 2023-06-22 이스트만 케미칼 컴파니 물리적 증착에 의해 제조된 바이오센서 전극
JP7111698B2 (ja) 2016-09-16 2022-08-02 イーストマン ケミカル カンパニー 物理蒸着によって製造されるバイオセンサー電極
US10157792B2 (en) * 2016-10-27 2018-12-18 Nxp Usa, Inc. Through substrate via (TSV) and method therefor
TWI822659B (zh) 2016-10-27 2023-11-21 美商艾德亞半導體科技有限責任公司 用於低溫接合的結構和方法
US20180207725A1 (en) * 2017-01-23 2018-07-26 The Chinese University Of Hong Kong System and method for fabricating 3d metal structure
CN110178181B (zh) * 2017-01-23 2021-06-08 日东电工株式会社 布线电路基板及其制造方法
US10739299B2 (en) * 2017-03-14 2020-08-11 Roche Sequencing Solutions, Inc. Nanopore well structures and methods
US11313040B2 (en) * 2017-03-24 2022-04-26 Embraco Indústria De Compressores E Soluçôes Em Refrigeraçâo Ltda. Plasma-assisted process of ceramization of polymer precursor on surface, surface comprising ceramic polymer
CN107177866B (zh) * 2017-04-28 2019-03-05 大连理工大学 金属基底上制备微射频t形功分器的方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102646492B1 (ko) 2017-06-22 2024-03-12 이스트만 케미칼 컴파니 물리적으로 증착된 전기화학 센서용 전극
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10672919B2 (en) 2017-09-19 2020-06-02 Tesla, Inc. Moisture-resistant solar cells for solar roof tiles
KR102492733B1 (ko) 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN109066540A (zh) * 2018-06-06 2018-12-21 荣马电器有限公司 一种安全供电滑触母线
CN108668452A (zh) * 2018-06-12 2018-10-16 江苏博敏电子有限公司 一种pcb精细线路电解蚀刻与铜回收关联技术
TWI663396B (zh) * 2018-06-29 2019-06-21 昇陽國際半導體股份有限公司 電化學感測器之工作電極製作方法及其產品
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
LU100919B1 (en) 2018-08-27 2020-02-27 Luxembourg Inst Science & Tech List Metal-CNT composite, production method and materials therefor
CN109461844B (zh) * 2018-10-09 2020-02-18 深圳市华星光电技术有限公司 柔性基板的制造方法
US11028012B2 (en) 2018-10-31 2021-06-08 Cardinal Cg Company Low solar heat gain coatings, laminated glass assemblies, and methods of producing same
CN109604126B (zh) * 2018-10-31 2021-12-10 博罗县东明化工有限公司 铝合金喷涂前处理方法
WO2020101180A1 (ko) * 2018-11-13 2020-05-22 주식회사 애니캐스팅 돌출전극부가 배열된 다중배열전극 및 이의 제조 방법, 다중배열전극을 이용한 유기 증착 마스크 제조 방법
KR102075064B1 (ko) * 2018-11-13 2020-02-07 (주)애니캐스팅 돌출전극부가 배열된 다중배열전극 및 이를 제조하는 방법
US10734338B2 (en) * 2018-11-23 2020-08-04 Nanya Technology Corporation Bonding pad, semiconductor structure, and method of manufacturing semiconductor structure
CN113851410A (zh) * 2018-11-23 2021-12-28 苏州迈为科技股份有限公司 一种电池片印刷对位方法
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111326477B (zh) * 2018-12-14 2022-12-09 中芯集成电路(宁波)有限公司 电镀方法
CN109587945B (zh) * 2018-12-26 2024-03-01 珠海超群电子科技有限公司 一种fpc板及其制作工艺
TWI675201B (zh) * 2019-01-23 2019-10-21 昇陽國際半導體股份有限公司 電化學感測器之工作電極製作方法及其產品
CN110629262B (zh) * 2019-08-20 2020-11-27 北京大学 一种表面等离激元超材料的制备方法
EP3786722A1 (fr) 2019-08-27 2021-03-03 Comadur S.A. Procede de decoration d'une piece mecanique
CN110510889B (zh) * 2019-09-12 2021-11-16 上海理工大学 一种氮氧化钛薄膜及其基于激光剥离技术的制备方法
CN110933540A (zh) * 2019-12-09 2020-03-27 西安鼎蓝通信技术有限公司 一种适用于音响的降噪密封圈及其制备方法
CN110938841A (zh) * 2019-12-19 2020-03-31 新邵辰州锑业有限责任公司 新型板状石墨阳极
CN111063851B (zh) * 2019-12-30 2022-02-18 江苏厚生新能源科技有限公司 一种图案分布式涂胶隔膜的制备方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20210388521A1 (en) * 2020-06-15 2021-12-16 Arizona Board Of Regents On Behalf Of Arizona State University Localized electrochemical deposition
US20220235481A1 (en) * 2021-01-26 2022-07-28 Seagate Technology Llc Selective screen electroplating
DE102021109185A1 (de) 2021-04-13 2022-10-13 Gülnur Akbulut Brandes Vorrichtung mit einer leitfähigen Beschichtung zum Transport von elektronischen Bauteilen und Verfahren zu deren Herstellung
CN113473728B (zh) * 2021-06-11 2024-05-10 江西省新重力电子有限公司 一种pcb板的金粉的配方和制作工艺
CN113735055B (zh) * 2021-07-21 2024-06-21 绍兴中芯集成电路制造股份有限公司 一种mems器件制造方法及mems器件
IT202100022757A1 (it) 2021-09-02 2023-03-02 Metaly S R L Metodo di decorazione superfici trattate con rivestimento pvd e superfici decorate ottenute
WO2024127225A1 (en) * 2022-12-12 2024-06-20 Ecole Polytechnique Federale De Lausanne (Epfl) Duv photolithography electrode fabrication method and electrode produced using the method

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3745094A (en) * 1971-03-26 1973-07-10 Ibm Two resist method for printed circuit structure
JPS5456619U (ja) * 1977-09-28 1979-04-19
US4158612A (en) * 1977-12-27 1979-06-19 The International Nickel Company, Inc. Polymeric mandrel for electroforming and method of electroforming
JPS62196392A (ja) * 1986-02-25 1987-08-29 Nippon Steel Corp 鋼帯巾方向ストライプメツキロ−ル給電方法
JPH0685464A (ja) * 1992-09-02 1994-03-25 Hitachi Ltd 多層配線基板の製造方法
US5612153A (en) * 1995-04-13 1997-03-18 Valence Technology, Inc. Battery mask from radiation curable and thermoplastic materials
JP3444090B2 (ja) * 1996-04-22 2003-09-08 日清紡績株式会社 プラズマ処理装置用保護部材
JPH10256251A (ja) * 1997-03-13 1998-09-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の製造方法
CA2572786A1 (en) * 1997-04-04 1998-10-15 University Of Southern California Method for electrochemical fabrication including etching to remove flash
US6179983B1 (en) * 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
WO1999045179A1 (en) * 1998-03-05 1999-09-10 Obducat Ab Method of etching
JPH11284066A (ja) * 1998-03-26 1999-10-15 Ricoh Co Ltd 半導体装置およびその製造方法
DE19935558B4 (de) * 1999-07-30 2010-11-25 Nawotec Gmbh Verfahren zur Erzeugung von Strukturen in einem Substrat im Nanometerbereich
US6355147B1 (en) * 1999-12-10 2002-03-12 Sandia Corporation Porous electrode apparatus for electrodeposition of detailed metal structures or microelectronic interconnections
JP2004519557A (ja) * 2001-02-23 2004-07-02 株式会社荏原製作所 銅めっき液、めっき方法及びめっき装置
SE523309E (sv) * 2001-06-15 2010-03-02 Replisaurus Technologies Ab Metod, elektrod och apparat för att skapa mikro- och nanostrukturer i ledande material genom mönstring med masterelektrod och elektrolyt
US7455955B2 (en) * 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6593224B1 (en) * 2002-03-05 2003-07-15 Bridge Semiconductor Corporation Method of manufacturing a multilayer interconnect substrate
WO2003095715A1 (en) * 2002-05-07 2003-11-20 University Of Southern California Methods and apparatus for monitoring deposition quality during conformable contact mask plasting operations
KR20050016867A (ko) * 2002-05-07 2005-02-21 마이크로패브리카 인크. 전기화학적 제조 공정
US7384530B2 (en) * 2002-05-07 2008-06-10 Microfabrica Inc. Methods for electrochemically fabricating multi-layer structures including regions incorporating maskless, patterned, multiple layer thickness depositions of selected materials
US6884692B2 (en) * 2002-08-29 2005-04-26 Micron Technology, Inc. Method for forming conductive material in opening and structures regarding same
US7229544B2 (en) * 2002-10-01 2007-06-12 University Of Southern California Multi-cell masks and methods and apparatus for using such masks to form three-dimensional structures
US20040099534A1 (en) * 2002-11-27 2004-05-27 James Powers Method and apparatus for electroplating a semiconductor wafer
US6875322B1 (en) * 2003-01-15 2005-04-05 Lam Research Corporation Electrochemical assisted CMP
JP3787630B2 (ja) * 2003-02-14 2006-06-21 独立行政法人情報通信研究機構 ナノギャップ電極の製造方法
US7189146B2 (en) * 2003-03-27 2007-03-13 Asm Nutool, Inc. Method for reduction of defects in wet processed layers
US20060249391A1 (en) * 2003-04-09 2006-11-09 Sungho Jin High resolution electrolytic lithography, apparatus therefor and resulting products
US20050045484A1 (en) * 2003-05-07 2005-03-03 Microfabrica Inc. Electrochemical fabrication process using directly patterned masks
WO2004101855A2 (en) * 2003-05-07 2004-11-25 Microfabrica Inc. Electrochemical fabrication methods including use of surface treatments to reduce overplating and/or planarization during formation of multi-layer three-dimensional structures
TW200504928A (en) * 2003-06-20 2005-02-01 Matsushita Electric Ind Co Ltd Manufacturing method of semiconductor device
US20050215046A1 (en) * 2003-06-27 2005-09-29 Cohen Adam L Electrochemical fabrication methods incorporating dielectric materials and/or using dielectric substrates
US7573133B2 (en) * 2003-12-09 2009-08-11 Uri Cohen Interconnect structures and methods for their fabrication
WO2005065436A2 (en) * 2003-12-31 2005-07-21 Microfabrica Inc. Method and apparatus for maintaining parallelism of layers and/or achieving desired thicknesses of layers during the electrochemical fabrication of structures
US7838868B2 (en) * 2005-01-20 2010-11-23 Nanosolar, Inc. Optoelectronic architecture having compound conducting substrate
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
JP2007081113A (ja) * 2005-09-14 2007-03-29 Sony Corp 半導体装置の製造方法
EP1948852B1 (en) 2005-11-18 2018-08-29 Luxembourg Institute of Science and Technology (LIST) Master electrode and method of forming the master electrode

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012129450A (ja) * 2010-12-17 2012-07-05 Fuji Electric Co Ltd 半導体装置の製造方法
JP2015030887A (ja) * 2013-08-02 2015-02-16 オムロン株式会社 電鋳部品及びその製造方法
US9598784B2 (en) 2013-08-02 2017-03-21 Omron Corporation Electroformed component production method
KR20180100070A (ko) * 2016-01-28 2018-09-06 도쿄엘렉트론가부시키가이샤 금속 산화물의 스핀온 퇴적 방법
JP2019510366A (ja) * 2016-01-28 2019-04-11 東京エレクトロン株式会社 金属酸化物のスピンオン堆積の方法
KR102405203B1 (ko) * 2016-01-28 2022-06-02 도쿄엘렉트론가부시키가이샤 금속 산화물의 스핀온 퇴적 방법
KR20180080904A (ko) 2017-01-05 2018-07-13 주식회사 엘지화학 소수성 표면처리를 이용한 배터리 모듈 및 제작방법
KR20190102091A (ko) * 2017-01-24 2019-09-02 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 및 바이어스 전력을 이용한 pvd 탄소를 위한 막 품질을 개선하는 방법
JP2020506289A (ja) * 2017-01-24 2020-02-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法
KR102306018B1 (ko) * 2017-01-24 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 반응성 가스 및 바이어스 전력을 이용한 pvd 탄소를 위한 막 품질을 개선하는 방법

Also Published As

Publication number Publication date
CN101360850B (zh) 2011-08-31
KR20080100807A (ko) 2008-11-19
KR101334506B1 (ko) 2013-12-02
US9441309B2 (en) 2016-09-13
US20120267241A1 (en) 2012-10-25
EP1948850B1 (en) 2019-04-17
US20140110265A1 (en) 2014-04-24
EP2049710A4 (en) 2012-07-04
US20090229855A1 (en) 2009-09-17
CN101360849B (zh) 2013-05-15
KR101486587B1 (ko) 2015-01-26
DK1948850T3 (da) 2019-07-29
JP2009516388A (ja) 2009-04-16
EP1948852A4 (en) 2016-10-12
EP1948852A1 (en) 2008-07-30
US8617362B2 (en) 2013-12-31
US20120305390A1 (en) 2012-12-06
JP5249040B2 (ja) 2013-07-31
CN101360851A (zh) 2009-02-04
KR20080099233A (ko) 2008-11-12
WO2007058604A1 (en) 2007-05-24
KR101424824B1 (ko) 2014-08-01
US20090205967A1 (en) 2009-08-20
WO2007058603A1 (en) 2007-05-24
CN101360849A (zh) 2009-02-04
US20090229857A1 (en) 2009-09-17
US20120279866A1 (en) 2012-11-08
DK1948852T3 (da) 2019-01-02
CN101360850A (zh) 2009-02-04
EP1948850A1 (en) 2008-07-30
EP1948850A4 (en) 2012-07-04
US20090071837A1 (en) 2009-03-19
US20090183992A1 (en) 2009-07-23
US20090229856A1 (en) 2009-09-17
KR20080100808A (ko) 2008-11-19
JP2009516081A (ja) 2009-04-16
EP1948852B1 (en) 2018-08-29
US20090229854A1 (en) 2009-09-17
WO2007058605A1 (en) 2007-05-24
US20090218233A1 (en) 2009-09-03
CN101360851B (zh) 2011-09-21
EP2049710A1 (en) 2009-04-22

Similar Documents

Publication Publication Date Title
JP2009516080A (ja) 電極およびその形成方法
US6756307B1 (en) Apparatus for electrically planarizing semiconductor wafers
WO2010004981A1 (ja) 微細構造体およびその製造方法
JP4309873B2 (ja) 電子デバイスの製造方法
US7168936B2 (en) Light transparent substrate imprint tool with light blocking distal end
TW201118037A (en) Multi material secondary metallization scheme in MEMS fabrication
JP5435484B2 (ja) 金属充填微細構造体の製造方法
JP2008047797A (ja) インプリント方法
TW201347626A (zh) 印刷電路板及其製造方法
JP2023528977A (ja) バリア層除去方法
JP2010033753A (ja) 微細構造体およびその製造方法
JP2005171344A (ja) めっき積層体の製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091113

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20101228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120807

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121023

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121030

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130305