TW201724261A - 原子層蝕刻用之方法與設備 - Google Patents

原子層蝕刻用之方法與設備 Download PDF

Info

Publication number
TW201724261A
TW201724261A TW106112702A TW106112702A TW201724261A TW 201724261 A TW201724261 A TW 201724261A TW 106112702 A TW106112702 A TW 106112702A TW 106112702 A TW106112702 A TW 106112702A TW 201724261 A TW201724261 A TW 201724261A
Authority
TW
Taiwan
Prior art keywords
plasma
substrate processing
gas
substrate
layer
Prior art date
Application number
TW106112702A
Other languages
English (en)
Other versions
TWI630656B (zh
Inventor
席恩哈密特
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201724261A publication Critical patent/TW201724261A/zh
Application granted granted Critical
Publication of TWI630656B publication Critical patent/TWI630656B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

用於蝕刻的原子層的基板處理系統和方法被揭露。本方法和系統係安排為引入第一氣體到處理室中,此氣體為適於蝕刻該層的蝕刻劑氣體,以及允許第一氣體在足以導致至少一些第一氣體吸附進該層的一段時間內出現於處理室。在處理室中,第一氣體實質上被惰性氣體取代,且亞穩態粒子接著從惰性氣體產生,以用亞穩態粒子蝕刻該層,同時實質上防止電漿帶電物種蝕刻該層。

Description

原子層蝕刻用之方法與設備
一般而言,本發明關於晶圓狀物件(例如半導體晶圓)之表面處理用裝置,其中一或多處理流體可以從封閉的製程腔室被回收。
關於半導體裝置的製造,在進行非常精細的精度的關鍵蝕刻時,原子層蝕刻是在本領域中公知的技術。在原子層蝕刻中,蝕刻係當試圖避免不必要的次表面損壞或不需要的修改時,於薄層上進行。例如,原子層蝕刻也可於覆蓋另一個關鍵層的非常薄的層上進行蝕刻。原子層蝕刻也可以在,例如,當大量的蝕刻步驟結束,試圖清除一層同時確保剩餘薄層的蝕刻不會導致其它底下的層與/或底下的結構的損害時被採用。
詳言之,使用電漿來蝕刻,已知有會導致上述底下的結構和/或底下的層的損壞或被修改的可能性。閘介電層下方的矽在電漿蝕刻時的損失是一個次表面損失的例子,亦即,即使在薄閘極介電層(通常是氧化矽)出現時,蝕刻閘極時矽都會凹陷。在某些情況下,離子能量大於100 eV的電漿蝕刻已知會導致表面以下深度約20-40埃的損壞。因此,於典型的厚度約10埃的閘氧化層,在閘極蝕刻後常會觀察到約10-20埃的矽凹陷。
本發明關於在製造半導體裝置時,進行原子層蝕刻的改良設備與方法。
在一實施例中,本發明關於一種在半導體處理室中用於蝕刻基片上的一層的方法。此方法包括:引入第一氣體到處理室中,此氣體是適於蝕刻該層的蝕刻劑氣體。此方法還包括允許第一氣體在足以導致至少一些第一氣體吸附進該層的一段時間內出現於處理室。此方法進一步包括實質上在處理室中將第一氣體替換為一惰性氣體。此方法更包括從惰性氣體產生亞穩態粒子,以及以亞穩態粒子蝕刻該層。
上述概要僅關於本文中所公開的本發明的許多實施例中的一個,且不意欲限制本發明的範圍,其由本文中的申請專利範圍界定。本發明的這些和其他特徵將在下面的本發明實施例的詳細說明中結合以下附圖式更詳細的說明。
以下將參考幾個如附隨的圖式所繪示的實施例來詳細說明本發明。
在以下的說明中,提供了許多具體的細節,以便充份理解本發明。然而,本領域的技術人員在沒有部份或全部的這些具體細節的情況下,顯而易見地也可以實施本發明。在其他例子中,眾所周知的製程步驟和/或結構不會詳細描述,以便不必要地模糊了本發明。
下文中描述各種實施例,包括方法和技術。應當牢記的是,本發明可能還包括製品,包括儲存用於執行本發明技術的實施例的電腦可讀指令的電腦可讀取媒體。電腦可讀取媒體可包括,例如,半導體、磁、光磁、光、或其他形式的用於存儲電腦可讀代碼的電腦可讀取媒體。此外,本發明可能還包括用於實施本發明實施例的設備。這種設備可包括專用的和/或可程式化的電路,以執行與本發明的實施例有關的工作。這種設備的例子包括通用的電腦和/或專用的適當地程式化的計算裝置,且包括適於各種本發明施例相關任務的電腦/計算裝置與專用/可程式化電路的組合。
本發明的實施例涉及在基板上的層(例如半導體晶圓或平板)進行原子層蝕刻的裝置和方法。在一或多個實施例中,適於蝕刻該基板層的蝕刻劑氣體被引入到半導體處理室中。例如,為了蝕刻矽層,蝕刻劑氣體可為Cl2 、HCl、CHF3 、CH2 F2 、CH3 F、H2 、BCl3 、SiCl4 、Br2 、HBr、NF3 、CF4 、SF6 、O2 、SO2 、COS等等中的一種或其混合物。蝕刻劑氣體被允許在處理室中出現足夠的時間,以允許至少一些蝕刻劑氣體材料被吸附到欲蝕刻的層中。之後,蝕刻劑氣體被惰性氣體(例如氬、氦、氪、氖、氙氣等等的一種或其混合)取代。然後亞穩態粒子從惰性氣體形成以在基材層上進行原子層蝕刻。此循環可以重複一次以上,直到原子層蝕刻完成。
在一或多個實施例中,亞穩態粒子係透過在處理室中的一電漿形成區域中從惰性氣體點燃電漿而形成。然而,高能電漿離子被避免與晶圓表面接觸,以儘量減少無意的蝕刻和/或次表面的損壞和/或下層的修改。在原子層蝕刻時,基板被設置在處理室的晶圓處理區域,且設於卡盤上。在接觸基材層表面時,亞穩態粒子的激化被消除,且在此過程中,它們的電能被放棄以在吸收的基板表面造成表面反應,從而蝕刻基板的表面。由於亞穩態粒子的定向能量(例如,氬氣亞穩態粒子約0.025 eV)比電漿的能量離子的100-1000eV的能量要低得多,當使用惰氣亞穩態粒子與吸附層的組合來蝕刻時,損害係實質上減少。
在一或多個實施例中,上述分離板是板之間彼此電絕緣的多板結構。在本發明的一或多個實施例中,多板組件中的至少一個板被偏置以排斥來自電漿的離子。的多板組件的每個板具有通孔,以允許亞穩態粒子從電漿產生區通過到晶圓處理區。在一實施例中,板的通孔可對齊,或者如果需要的話,可稍微偏移。分離板的通孔的尺寸係實質上防止高能電漿物種通過分離板到達基板表面。
在一或多個實施例中,一準直器板係設置於分離板和基板之間以準直亞穩態粒子,從而僅允許實質上定向的亞穩態粒子到達該基板表面,使蝕刻更各向異性,即,在垂直於基片平面的垂直方向上。準直器板可包括通孔,並可依需要接地或偏置。
為了防止由於分離板和/或準直器板的孔圖案而造成的表面的非均勻蝕刻,分離板和/或準直器板可相對基板移動(或反之亦然)。分離板和/或準直器板和/或晶圓及晶圓卡盤組件的相對橫向運動,有防止分離板或準直器板的通孔圖案只在基板表面的某些區域被印上的效果。
本發明的一或多個實施例包括用於進行此處所描述的原子層蝕刻的方法。本發明的一或多個實施例涵蓋基板處理系統和/或具有此處所描述的硬體的基板處理室,並且還可包括透過以下方式實現的邏輯:專用邏輯電路,可程式化邏輯電路,和/或通過微處理器/微控制器/電腦控制的電腦可讀代碼,該代碼儲存在電腦可讀媒體和/或通過匯流排或數據網路(包括一個區域網路和/或網際網路)發送到執行該代碼的微處理器/微控制器/電腦。
本發明的實施例的特徵和優點,可參考下面的圖式和討論而理解。
本發明的實施例採用一種或多種惰性氣體的亞穩態粒子,以激活已吸附在基板層(例如矽層)與反應物(例如鹵素)的表面反應。雖然此處以矽層作為例子,但應當理解,任何層都可以使用本發明實施例的原子蝕刻技術來蝕刻。在一或多個實施例中,晶圓表面係暴露於蝕刻劑氣體(例如Cl2 、HCl、CHF3 、CH2 F2 、CH3 F、H2 、BCl3 、SiCl4 、Br2 、HBr、NF3 、CF4 、SF6 、O2 、SO2 、COS等的一種或其混合)。在一或多個實施例中,基板處理室可於很短的時間(約0.05秒至約180秒)充滿一種蝕刻劑氣體,或蝕刻劑氣體的混合,以允許蝕刻劑氣體分子吸附在基板表面上。在一或多個實施例中,基板處理室可以蝕刻劑氣體或蝕刻劑氣體的混合物填充約0.25秒至約5秒,以允許蝕刻劑氣體分子吸附在基板表面上。
如圖1顯示,依本發明的一實施例,一例示性的基板處理室包括基板104(例如晶圓)以及設於其上的卡盤102。無論是氣體入口106或108a/108b,或兩個進氣口106和108a/108b,都可用於注入氣體到處理室中。在一或多個實施例中,例如氬、氦、氖、氙、氪等惰性氣體的一種或其混合從氣體入口106被注入到電漿產生區110,同時蝕刻劑氣體經由氣體入口108a/108b被引入到基板處理區112,以確保蝕刻劑氣體不進入電漿產生區110。在這種情況下,較佳地,電漿產生區域110中的壓力至少等於或超過基板處理區112中的壓力。電漿產生區110至少以分離板結構130(及選擇性地以一準直器板,這將在本文中稍後討論)從基板處理區112被分離。
下一步,在蝕刻劑氣體於基板表面上有足夠的時間進行吸附之後,蝕刻劑氣體被處理室排氣泵120a/120b抽空。這可以跟將惰性氣體通過氣體入口106引入到到電漿產生區110配合完成。如果蝕刻劑氣體抽空沒有同步的惰性氣體流,下一步可能涉及到將惰性氣體通過氣體入口106流動至電漿產生區110中。
在實質上用惰性氣體從處理室中更換蝕刻劑氣體時,惰性氣體的電漿在電漿室,更具體地在電漿產生區110中產生。在一實施例中,如果至少有80%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。在一實施例中,如果至少有90%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。在一實施例中,如果至少有95%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。在一實施例中,如果至少有99%的蝕刻劑氣體被惰性氣體取代,就可以說蝕刻劑氣體實質上被惰性氣體取代。
電漿中產生的亞穩態粒子物種通過分離板結構130進到基板處理區112。基板處理區112中的壓力可以使用,例如,渦輪分子泵、壓力控制閥、分離板和惰性氣體流的設計來控制。
在一或多個實施例中,理想的是將基板處理區中的壓力保持到小於10毫托,使進入晶圓處理區的亞穩態粒子的絕大部份能夠在沒有於晶圓處理室中因氣態碰撞而驟冷的情況下撞擊基板。在一實施例中,分離板和基板之間的距離可保持在例如約1公分和25公分之間。
用於產生亞穩態粒子的電漿源可以選自各種技術,包括電感耦合電漿(inductively coupled plasma,ICP)、電容耦合電漿、空心陰極放電陣列、微波電漿或電子迴旋共振(electron cyclotron resonance,ECR)電漿,或任何其它合適的電漿源技術。
圖1還顯示了感應耦合電漿源150,其由用於感應耦合射頻能量與電漿產生區110中所產生的電漿的天線表示。在一較佳的實施例中,由於具有在低壓下產生高密度電漿的能力,也可以採用電感耦合電漿(ICP)或電子迴旋共振(ECR)電漿源。在一或多個實施例中,電漿產生區110中的壓力在約0.5毫托與約100毫托之間。
分離板結構130被設計成作為接地的表面,以藉由實質上防止電漿帶電物種到達基板,來限制分離板中的孔所洩漏的電漿量。在一實施例中,如果至少有60%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電漿帶電物種到達基板。在一實施例中,如果至少有80%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電帶電物種漿到達基板。在一實施例中,如果至少有95%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電漿帶電物種到達基板。在一實施例中,如果至少有99%本來可以到達基板表面的電漿帶電物種因分離板結構而被防止到達基板,就可以說分離板結構實質上防止了電漿帶電物種到達基板。
分離板結構130的板可由金屬(例如,鋁或不銹鋼或類似的合適材料)或由塗覆膜的金屬(例如,陽極化鋁,塗覆了Y2 O3 、YF3 、CeO2 、Si、TiN的鋁)製成。在一或多個實施例中,分離板的厚度可在1毫米和25毫米之間。分離板中的孔的直徑可以選擇,以盡量減少或實質上防止電漿滲漏通過孔,並在一或多個實施例中,可在20微米和5毫米之間。
在一或多個實施例中,分離板結構202是一個多層結構(如在本發明的一實施例的圖2所示),藉此兩導電板204和206以絕緣層208分離,以使彼此電絕緣。頂板204與電漿產生區域200中的電漿接觸,並電接地。底板206可以用一個小直流電壓偏置以排斥來自電漿的離子。底板206上所施加的偏置電壓,可以大於電漿的電位,以防止大部分的離子到達基板228上方的基板處理區210。在一或多個實施例中,底板206上所施加的電壓可能在約10V到約50V之間。
在一或多個實施例中,一具有例如網格形式的準直器板226可設於分離板結構202和基板228之間,以排斥任何電漿帶電物種通過分離板結構202到達基板228。準直器板226可被偏置到排斥電漿帶電物種,或者,如果需要的話,可以被接地。在另一實施例中,基板228可以被偏置相對於電漿電位帶正電,以防止電漿帶電物種到達基板228。
分離板結構202也準直對著基板228發出的中性束。藉由在基板228上保持低於10毫托的壓力,較佳地為小於1毫乇,在一或多個實施例中,因為中性平均自由路徑在1毫托是約25厘米,從分離板結構202發出的氣體與基板處理區210中的氣體之間的碰撞在基板228上方被最小化。這確保亞穩態粒子到達基板228的表面之前,從分離板結構202運動到基板228的過程中沒有任何顯著碰撞。
本發明的實施例採用一種惰性亞穩態原子流來抵達已吸附和/或飽和反應物種(例如,鹵素)的晶圓表面上。亞穩態原子攜帶了大量的電能到晶圓以引起化學反應。氬穩態原子(氬*,其中“*”表示的亞穩態的形式)攜帶,例如,約11.7 eV的能量。當氬*原子與表面相互作用時,此能量被帶到晶圓表面。
由於氬*原子以接近熱氣體速度(0.025 eV)運動,相較於常用在電漿蝕刻的高能離子(100-1000 eV),亞穩態原子給予小很多的動量與轟擊到表面。在此尊敬地提出,在許多情況下,氬*原子實質上無法在撞擊晶圓時造成表面損傷。
為了實現高度定向的蝕刻,氬和氬*的原子束可使用另一種如圖2所示的多孔板來進一步準直。在本實施例中,具有與分離板204和206大致相同的孔圖案的準直器板226被置於離分離板結構202一小段距離。準直器板226被對齊,使得氬*從電漿產生區的電漿沿著具有到晶圓的視線的分離板的軸線(即,垂直於分離板平面)朝向基板228運動。
更一般地,僅在一個相對於該垂直軸線(與分離板的平面垂直的軸線)成小角度(較佳地小於正負3度,更佳地小於正負0.5度)的圓錐內運動的氬*可以通過準直器板226而不與準直器板226有任何碰撞。這些氬*原子由箭頭230和232所示。所有其他的以相對於垂直軸線較大的角度移動的氬*原子(240和242)遭受與準直器板的碰撞而消除激化,從而在碰撞時轉變成氬基態。此方案允許為垂直方向的氬*流入射到在晶圓表面上(與氬原子一起),創造一個更垂直的,更各向異性的刻蝕。
定向的氬*原子通量照射在前面所提到的給予劑量的或吸附了蝕刻劑的特徵上。氬*原子賦予電能到表面,引起化學反應造成,例如,表面在特徵底部的的蝕刻,但不是在側壁上。氬*引起的蝕刻(或氬*引起的解吸附)在蝕刻劑在表面上耗盡後,該蝕刻反應停止。由於從氬和氬*束撞急到表面的動量傳遞是非常小的,實質上表面不會發生損壞。圖3顯示基於以下事實的垂直蝕刻的態樣:只有垂直定向氬*原子撞擊到特徵304的底部302,而未撞擊到特徵304的側壁306和308。
為了防止由於分離板結構202和/或準直器板226的孔圖案而造成的表面層的非均勻蝕刻,分離板結構202和/或準直器板226可以相對基片228移動(或反之亦然)。分離板結構202和/或準直器板226和/或晶圓及晶圓卡盤組件相對的橫向運動,有防止分離板結構202或準直器板226的通孔圖案只被印在基板表面給定的區域的效果。
一般來說,分離板結構202和/或準直器板226和/或晶圓及晶圓卡盤組件相對的橫向運動,應該要足夠大到能確保在晶圓上的所有相關位置的平均時間的均勻氬*流。在一般情況下,橫向運動幅度可能至少跟分離板中的孔間距一樣大,較佳地至少兩倍於在一或多個實施例中的分隔板的孔間距。
圖4顯示依本發明的一實施例中用於進行原子層蝕刻的步驟。在步驟402中,處理室,更具體的說,處理室在晶圓上方的區域,被充入蝕刻劑源氣體,以促進蝕刻劑氣體分子吸附在基板表面。在步驟404中,該蝕刻劑氣體從處理室被抽空,並用一種惰性氣體(步驟406)代替。然後惰性氣體被激化並點燃,以在電漿產生區中形成電漿,以產生亞穩態粒子(步驟408)。
正如所提到的,惰性氣體的亞穩態粒子係藉由誘導在基板吸附表面的表面反應來蝕刻基材層。分離板結構可以被採用來防止電漿的能量物種與基板表面接觸,從而最小化傷害或不必要的基板蝕刻。如果需要的話,也可能可以採用一個準直器板,以進一步促進蝕刻的方向性,造成一高度的各向異性蝕刻。
為了防止基板表面由於分離板的結構和/或準直器板的孔圖案而造成的表面層的非均勻蝕刻,在步驟410中,分離板和/或準直器板可相對於基底橫向移動(或反之亦然)。該移動可由,例如,一個合適的致動器裝置(其可包括一個馬達和選擇性的相關配備)來進行。
從上述中可以理解,本發明的實施例中採用亞穩態的惰性氣體,以激活已吸附了蝕刻劑分子的基板層的表面反應。透過採用亞穩態粒子的電能來進行基板的吸附表面層上的蝕刻,以及透過防止電漿物種在原子層蝕刻過程中轟擊基板表面,當蝕刻非常精細的層和/或當執行關鍵原子層蝕刻時,本發明的實施例有利地避免了由電漿的高能物種所造成的轟擊損傷。
雖然本發明已經以幾個較佳的實施例來描述,仍有改變、置換和均等物落入本發明範圍內。如果採用本文中的術語 “集合”,這樣的術語意欲具有在數學上被理解的的意義,包括零個、一個或一個以上的成員。本發明應被理解為也包括這些變化、置換和均等物。還應當注意的是,有許多替代的方式可實施本發明的方法和設備。雖然本文提供了本發明的各種例子的,這些實施例是說明性的,而不是對於本發明的限制。
102‧‧‧卡盤
104‧‧‧基板
106‧‧‧氣體入口
108a‧‧‧氣體入口
108b‧‧‧氣體入口
110‧‧‧電漿產生區
112‧‧‧基板處理區
120a‧‧‧處理室排氣泵
120b‧‧‧處理室排氣泵
130‧‧‧分離板結構
150‧‧‧感應耦合電漿源
200‧‧‧電漿產生區
202‧‧‧分離板結構
204‧‧‧頂板
206‧‧‧底板
208‧‧‧絕緣層
210‧‧‧基板處理區
226‧‧‧準直器板
228‧‧‧基板
230‧‧‧氬*原子
232‧‧‧氬*原子
240‧‧‧氬*原子
242‧‧‧氬*原子
302‧‧‧底部
304‧‧‧特徵
306‧‧‧側壁
308‧‧‧側壁
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟
本發明通過例示的方式,而非限制的方式,繪示於隨附的圖中,並且其中相似的元件係以類似的參照符號表示,其中:
圖1顯示一個例示性的基板處理室,其適於依本發明的一或多個實施例進行原子層蝕刻。
圖2顯示一個依本發明的一或多個實施例的例示性的分離板結構與選擇性的準直器板。
圖3顯示依本發明的一或多個實施例的亞穩態粒子的定向蝕刻的一個例子。
圖4顯示依本發明的一實施例的進行原子層蝕刻的步驟。
402‧‧‧步驟
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
410‧‧‧步驟

Claims (20)

  1. 一種基板處理系統,具有一基板處理室以蝕刻在一基板上的一層,該基板處理系統包含: 一卡盤,該基板在該蝕刻期間係設置於該卡盤上; 一分離板結構,將該處理室分為一電漿產生區和一基板處理區; 一電漿源,用於在該電漿產生區中產生一電漿;及 邏輯單元,其用於: 引入一第一氣體至該處理室中,該第一氣體係適於蝕刻該層的一蝕刻劑氣體, 允許該第一氣體在足以導致至少一些該第一氣體吸附至該層中的一段時間內出現於該處理室, 將該處理室中的該第一氣體實質替換為一惰性氣體, 從該惰性氣體產生複數亞穩態粒子,及 利用該等亞穩態粒子蝕刻該層。
  2. 如申請專利範圍第1項之基板處理系統,其中,該等亞穩態粒子係藉由於該基板處理區中從該惰性氣體使用該電漿源形成一電漿而生成。
  3. 如申請專利範圍第2項之基板處理系統,其中,當該等亞穩態粒子從該電漿移動至該層時,通過該分離板結構。
  4. 如申請專利範圍第3項之基板處理系統,其中,該分離板結構具有複數孔,該等孔係配置成實質上防止電漿帶電物種移動至該層。
  5. 如申請專利範圍第3項之基板處理系統,其中,該分離板結構包括至少兩個彼此電絕緣的板,該兩個板具有不同的電位。
  6. 如申請專利範圍第3項之基板處理系統,進一步包含一準直器板,其中當該等亞穩態粒子從該電漿移動至該層時,還通過該準直器板,其中該準直器板設置於該基板和該分離板結構之間。
  7. 如申請專利範圍第6項之基板處理系統,進一步包含致動裝置,在利用該等亞穩態粒子蝕刻時,該致動裝置用於將該分離板結構和該準直器板和該基板之至少一者相對於彼此移動。
  8. 如申請專利範圍第3項之基板處理系統,進一步包含在利用該等亞穩態粒子蝕刻時,將該分離板結構和該基板之至少一者相對於彼此移動。
  9. 如申請專利範圍第1項之基板處理系統,其中,該蝕刻劑氣體至少含有鹵素,且該層含有矽。
  10. 如申請專利範圍第1項之基板處理系統,其中,該段時間是在約0.05秒至約180秒之間。
  11. 如申請專利範圍第1項之基板處理系統,其中,該產生該等亞穩態粒子的步驟係在將該處理室中之至少約90%的該第一氣體替換為該惰性氣體之後加以進行。
  12. 一種基板處理系統,具有一基板處理室以蝕刻在一基板上的一層,該基板在該蝕刻期間係設置於該處理室中的一卡盤上,該基板處理系統包含: 一分離板結構,將該處理室分為一電漿產生區和一基板處理區; 一電漿源,用於在該電漿產生區中產生一電漿;及 邏輯單元,其用於: 引入一第一氣體至該處理室中,該第一氣體係適於蝕刻該層的一蝕刻劑氣體, 允許該第一氣體在足以導致至少一些該第一氣體吸附至該層中的一段時間內出現於該處理室, 將該電漿產生區中的該第一氣體實質替換為一惰性氣體,在該電漿產生區中從該惰性氣體產生一電漿,及 利用來自該電漿的複數亞穩態粒子蝕刻該層,其中當該蝕刻進行時,實質上避免電漿帶電物種從該電漿移動至該層。
  13. 如申請專利範圍第12項之基板處理系統,其中,該分離板結構具有複數孔,該等孔係配置成實質上防止電漿帶電物種移動至該層。
  14. 如申請專利範圍第12項之基板處理系統,其中,該分離板結構包括至少兩個彼此電絕緣的板,該兩個板具有不同的電位。
  15. 如申請專利範圍第12項之基板處理系統,進一步包含一準直器板,其中當該等亞穩態粒子從該電漿移動至該層時,亦通過該準直器板,其中該準直器板係設置於該基板和該分離板結構之間。
  16. 一種基板處理系統,具有一基板處理室以蝕刻在一基板上的一層,該基板處理室具有一電漿產生區和一基板處理區,該電漿產生區係藉由一分離板結構而與該基板處理區分隔,該基板處理系統包含: 一分離板結構,將該處理室分為該電漿產生區和該基板處理區; 一電漿源,用於在該電漿產生區中產生一電漿;及 邏輯單元,其用於: 引入一第一氣體至該處理室的該基板處理區中,該第一氣體係適於蝕刻該層的一蝕刻劑氣體, 引入一惰性氣體至該電漿產生區中, 允許該第一氣體在足以導致至少一些該第一氣體吸附至該層中的一段時間內出現於該處理室的該基板處理區,且同時維持在該電漿產生區之該惰性氣體的壓力大於在該基板處理區中之該蝕刻劑氣體的壓力, 在該段時間結束之後,將該處理室之該基板處理區中至少80%的該第一氣體替換為該惰性氣體, 在該處理室之該電漿產生區中產生電漿,以從該惰性氣體產生複數亞穩態粒子,及 當利用該等亞穩態粒子蝕刻該層時,維持該基板處理區中的壓力低於10毫托。
  17. 如申請專利範圍第16項之基板處理系統,其中,當該等亞穩態粒子從該電漿移動至該層時,通過該分離板結構。
  18. 如申請專利範圍第17項之基板處理系統,其中,當該等亞穩態粒子從該電漿移動至該層時,亦通過一準直器板,其中該準直器板係設置於該基板和該分離板結構之間。
  19. 如申請專利範圍第16項之基板處理系統,其中,該分離板結構具有複數孔,該等孔係配置成防止至少60%之在該電漿產生區中產生的電漿帶電物種移動到達該層。
  20. 如申請專利範圍第16項之基板處理系統,其中,該分離板結構包括至少兩個彼此電絕緣的板,該兩個板在該蝕刻期間具有不同的電位。
TW106112702A 2011-07-20 2012-07-17 原子層蝕刻用之方法與設備 TWI630656B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/187,437 2011-07-20
US13/187,437 US8617411B2 (en) 2011-07-20 2011-07-20 Methods and apparatus for atomic layer etching

Publications (2)

Publication Number Publication Date
TW201724261A true TW201724261A (zh) 2017-07-01
TWI630656B TWI630656B (zh) 2018-07-21

Family

ID=47556072

Family Applications (2)

Application Number Title Priority Date Filing Date
TW101125634A TWI591716B (zh) 2011-07-20 2012-07-17 原子層蝕刻用之方法與設備
TW106112702A TWI630656B (zh) 2011-07-20 2012-07-17 原子層蝕刻用之方法與設備

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW101125634A TWI591716B (zh) 2011-07-20 2012-07-17 原子層蝕刻用之方法與設備

Country Status (7)

Country Link
US (2) US8617411B2 (zh)
JP (2) JP6203716B2 (zh)
KR (1) KR101920527B1 (zh)
CN (2) CN105679632B (zh)
SG (1) SG10201605726PA (zh)
TW (2) TWI591716B (zh)
WO (1) WO2013012620A1 (zh)

Families Citing this family (478)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9475709B2 (en) 2010-08-25 2016-10-25 Lockheed Martin Corporation Perforated graphene deionization or desalination
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US10653824B2 (en) 2012-05-25 2020-05-19 Lockheed Martin Corporation Two-dimensional materials and uses thereof
US9610546B2 (en) 2014-03-12 2017-04-04 Lockheed Martin Corporation Separation membranes formed from perforated graphene and methods for use thereof
US10376845B2 (en) 2016-04-14 2019-08-13 Lockheed Martin Corporation Membranes with tunable selectivity
US9834809B2 (en) 2014-02-28 2017-12-05 Lockheed Martin Corporation Syringe for obtaining nano-sized materials for selective assays and related methods of use
US9744617B2 (en) 2014-01-31 2017-08-29 Lockheed Martin Corporation Methods for perforating multi-layer graphene through ion bombardment
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
WO2014164621A1 (en) 2013-03-12 2014-10-09 Lockheed Martin Corporation Method for forming filter with uniform aperture size
CN105102105A (zh) * 2013-03-13 2015-11-25 洛克希德马丁公司 纳米多孔薄膜及其制造方法
US9572918B2 (en) 2013-06-21 2017-02-21 Lockheed Martin Corporation Graphene-based filter for isolating a substance from blood
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
SG11201606289RA (en) 2014-01-31 2016-08-30 Lockheed Corp Perforating two-dimensional materials using broad ion field
JP2017507044A (ja) 2014-01-31 2017-03-16 ロッキード マーティン コーポレイションLockheed Martin Corporation 多孔性非犠牲支持層を用いた二次元材料とのコンポジット構造を形成するための方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
AU2015229331A1 (en) 2014-03-12 2016-10-27 Lockheed Martin Corporation Separation membranes formed from perforated graphene
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9837254B2 (en) 2014-08-12 2017-12-05 Lam Research Corporation Differentially pumped reactive gas injector
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US10825652B2 (en) 2014-08-29 2020-11-03 Lam Research Corporation Ion beam etch without need for wafer tilt or rotation
US9406535B2 (en) 2014-08-29 2016-08-02 Lam Research Corporation Ion injector and lens system for ion beam milling
EP3188823A4 (en) 2014-09-02 2018-04-25 Lockheed Martin Corporation Hemodialysis and hemofiltration membranes based upon a two-dimensional membrane material and methods employing same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9536748B2 (en) 2014-10-21 2017-01-03 Lam Research Corporation Use of ion beam etching to generate gate-all-around structure
CN105586566B (zh) * 2014-11-03 2018-05-25 北京北方华创微电子装备有限公司 一种反应腔室及半导体加工设备
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9396961B2 (en) * 2014-12-22 2016-07-19 Lam Research Corporation Integrated etch/clean for dielectric etch applications
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
KR102549283B1 (ko) 2015-01-26 2023-06-28 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭 방법 및 시스템
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
JP6426489B2 (ja) * 2015-02-03 2018-11-21 東京エレクトロン株式会社 エッチング方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
WO2017023376A1 (en) 2015-08-05 2017-02-09 Lockheed Martin Corporation Perforatable sheets of graphene-based material
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
JP2018530499A (ja) 2015-08-06 2018-10-18 ロッキード・マーチン・コーポレーション グラフェンのナノ粒子変性及び穿孔
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) * 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9972504B2 (en) * 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
GB201515622D0 (en) 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9691618B2 (en) * 2015-11-13 2017-06-27 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices including performing an atomic layer etching process
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
KR102469407B1 (ko) * 2016-02-12 2022-11-21 도쿄엘렉트론가부시키가이샤 일괄 처리 시스템에서의 다중막 퇴적 및 에칭을 위한 방법 및 장치
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US20170243755A1 (en) * 2016-02-23 2017-08-24 Tokyo Electron Limited Method and system for atomic layer etching
US9779955B2 (en) 2016-02-25 2017-10-03 Lam Research Corporation Ion beam etching utilizing cryogenic wafer temperatures
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
WO2017159512A1 (ja) 2016-03-17 2017-09-21 日本ゼオン株式会社 プラズマエッチング方法
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR20190018411A (ko) 2016-04-14 2019-02-22 록히드 마틴 코포레이션 그래핀 결함의 선택적 계면 완화
WO2017180133A1 (en) 2016-04-14 2017-10-19 Lockheed Martin Corporation Methods for in situ monitoring and control of defect formation or healing
CA3020686A1 (en) 2016-04-14 2017-10-19 Lockheed Martin Corporation Method for treating graphene sheets for large-scale transfer using free-float method
JP2019517909A (ja) 2016-04-14 2019-06-27 ロッキード・マーチン・コーポレーション 流路を有する二次元膜構造体
WO2017180134A1 (en) 2016-04-14 2017-10-19 Lockheed Martin Corporation Methods for in vivo and in vitro use of graphene and other two-dimensional materials
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10177002B2 (en) 2016-04-29 2019-01-08 Applied Materials, Inc. Methods for chemical etching of silicon
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10141161B2 (en) * 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10580661B2 (en) 2016-12-14 2020-03-03 Mattson Technology, Inc. Atomic layer etch process using plasma in conjunction with a rapid thermal activation process
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11694911B2 (en) 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6820775B2 (ja) * 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置
DE102017106018A1 (de) * 2017-03-21 2018-09-27 Osram Oled Gmbh Verfahren zum herstellen eines optoelektronischen bauelements und ein optoelektronisches bauelement
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US9991129B1 (en) * 2017-05-23 2018-06-05 Applied Materials, Inc. Selective etching of amorphous silicon over epitaxial silicon
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
JP6929148B2 (ja) * 2017-06-30 2021-09-01 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10847374B2 (en) 2017-10-31 2020-11-24 Lam Research Corporation Method for etching features in a stack
KR102016927B1 (ko) 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10361092B1 (en) 2018-02-23 2019-07-23 Lam Research Corporation Etching features using metal passivation
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019190781A1 (en) 2018-03-30 2019-10-03 Lam Research Corporation Atomic layer etching and smoothing of refractory metals and other high surface binding energy materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7133975B2 (ja) * 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11205524B2 (en) 2018-05-17 2021-12-21 Georgia Tech Research Corporation Integrated atomic beam collimator and methods thereof
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7447093B2 (ja) * 2018-09-10 2024-03-11 ラム リサーチ コーポレーション 準安定活性ラジカル種を使用する原子層処置プロセス
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
KR20200098386A (ko) * 2019-02-08 2020-08-20 주식회사 히타치하이테크 드라이 에칭 방법 및 드라이 에칭 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US12029133B2 (en) 2019-02-28 2024-07-02 Lam Research Corporation Ion beam etching with sidewall cleaning
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US10535524B1 (en) 2019-03-11 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Tuning threshold voltage through meta stable plasma treatment
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
RU2722690C1 (ru) * 2019-11-29 2020-06-03 Федеральное государственное бюджетное образовательное учреждение высшего образования "ДАГЕСТАНСКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ" Устройство для получения широкоапертурного низкоэнергетичного потока ионов
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN111243944A (zh) * 2020-01-21 2020-06-05 长江存储科技有限责任公司 晶圆的处理方法和晶圆的处理系统
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
JP7394665B2 (ja) 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
JP7078793B2 (ja) 2020-04-21 2022-05-31 株式会社日立ハイテク プラズマ処理装置
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US20220108874A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Low current high ion energy plasma control system
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR102585950B1 (ko) * 2021-05-24 2023-10-05 성균관대학교산학협력단 그리드 및 기판의 전위 제어를 이용한 건식 식각 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20240052714A (ko) 2022-10-11 2024-04-23 주식회사 히타치하이테크 플라스마 처리 방법

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61171133A (ja) * 1985-01-25 1986-08-01 Hitachi Ltd ドライエツチング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
JPH02249231A (ja) * 1989-03-23 1990-10-05 Matsushita Electric Ind Co Ltd ドライエッチング方法
DE4018954A1 (de) * 1989-06-15 1991-01-03 Mitsubishi Electric Corp Trockenaetzgeraet
JPH03133128A (ja) * 1989-10-19 1991-06-06 Res Dev Corp Of Japan ディジタル・エッチング方法
JP2924013B2 (ja) * 1989-11-13 1999-07-26 日本電気株式会社 ドライエッチング方法
US5002632A (en) 1989-11-22 1991-03-26 Texas Instruments Incorporated Method and apparatus for etching semiconductor materials
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JP3078821B2 (ja) 1990-05-30 2000-08-21 豊田合成株式会社 半導体のドライエッチング方法
JPH04280428A (ja) * 1991-03-08 1992-10-06 Hitachi Ltd ドライエッチング方法およびその装置
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
JP3084497B2 (ja) * 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
JP3217844B2 (ja) * 1992-03-27 2001-10-15 理化学研究所 エッチングダメージ防止方法
GB2269785A (en) 1992-08-14 1994-02-23 Sharp Kk Etching a surface of a semiconductor
JPH06252108A (ja) * 1993-02-25 1994-09-09 Hitachi Ltd 表面処理方法および装置
KR0168699B1 (ko) 1993-09-27 1999-02-01 사토 후미오 여기산소 또는 여기가스의 생성방법 및 공급방법
JP3408311B2 (ja) * 1994-03-25 2003-05-19 靖浩 堀池 デジタルエッチング方法及び装置
US5900103A (en) * 1994-04-20 1999-05-04 Tokyo Electron Limited Plasma treatment method and apparatus
JPH07335612A (ja) 1994-06-13 1995-12-22 Hitachi Ltd 半導体集積回路装置の製造方法
JPH0831804A (ja) * 1994-07-19 1996-02-02 Hitachi Ltd ケミカルコリメータ
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JP3237743B2 (ja) * 1996-02-15 2001-12-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3319285B2 (ja) 1996-06-05 2002-08-26 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
JP3317209B2 (ja) * 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US6464891B1 (en) * 1999-03-17 2002-10-15 Veeco Instruments, Inc. Method for repetitive ion beam processing with a carbon containing ion beam
JP4057198B2 (ja) * 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
KR100338768B1 (ko) * 1999-10-25 2002-05-30 윤종용 산화막 제거방법 및 산화막 제거를 위한 반도체 제조 장치
KR100367662B1 (ko) * 2000-05-02 2003-01-10 주식회사 셈테크놀러지 하이퍼서멀 중성입자 발생 장치 및 이를 채용하는 중성입자 처리 장치
KR100382720B1 (ko) * 2000-08-30 2003-05-09 삼성전자주식회사 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
JP2002289585A (ja) * 2001-03-26 2002-10-04 Ebara Corp 中性粒子ビーム処理装置
JP2002289584A (ja) 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
US6448192B1 (en) * 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
US20040173316A1 (en) * 2003-03-07 2004-09-09 Carr Jeffrey W. Apparatus and method using a microwave source for reactive atom plasma processing
KR100555849B1 (ko) * 2003-11-27 2006-03-03 주식회사 셈테크놀러지 중성입자빔 처리장치
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
JP4633425B2 (ja) * 2004-09-17 2011-02-16 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US8173036B2 (en) * 2005-03-02 2012-05-08 Tokyo Electron Limited Plasma processing method and apparatus
JP4852261B2 (ja) * 2005-05-17 2012-01-11 キヤノンアネルバ株式会社 シリコン化合物の形成方法
CN101189708A (zh) * 2005-05-31 2008-05-28 东京毅力科创株式会社 等离子体处理装置和等离子体处理方法
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7335602B2 (en) * 2006-01-18 2008-02-26 Freescale Semiconductor, Inc. Charge-free layer by layer etching of dielectrics
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
US20110027999A1 (en) 2006-08-16 2011-02-03 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit
US7871678B1 (en) * 2006-09-12 2011-01-18 Novellus Systems, Inc. Method of increasing the reactivity of a precursor in a cyclic deposition process
US8053372B1 (en) * 2006-09-12 2011-11-08 Novellus Systems, Inc. Method of reducing plasma stabilization time in a cyclic deposition process
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP4971930B2 (ja) 2007-09-28 2012-07-11 東京エレクトロン株式会社 プラズマ処理装置
KR101285265B1 (ko) * 2009-02-06 2013-07-12 캐논 아네르바 가부시키가이샤 플라즈마 처리장치, 플라즈마 처리방법 및 피처리 기판을 포함한 소자 제조방법
US8017198B2 (en) * 2009-04-24 2011-09-13 Ovshinsky Innovation Llc Thin film deposition via charged particle-depleted plasma achieved by magnetic confinement
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP5006415B2 (ja) * 2010-01-12 2012-08-22 キヤノンアネルバ株式会社 酸化膜除去のための基板洗浄処理方法
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
JP4982582B2 (ja) * 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US8828883B2 (en) * 2010-08-24 2014-09-09 Micron Technology, Inc. Methods and apparatuses for energetic neutral flux generation for processing a substrate
US9177756B2 (en) * 2011-04-11 2015-11-03 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US9111728B2 (en) * 2011-04-11 2015-08-18 Lam Research Corporation E-beam enhanced decoupled source for semiconductor processing
US20120258607A1 (en) * 2011-04-11 2012-10-11 Lam Research Corporation E-Beam Enhanced Decoupled Source for Semiconductor Processing
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US9034773B2 (en) * 2012-07-02 2015-05-19 Novellus Systems, Inc. Removal of native oxide with high selectivity
US8728951B2 (en) * 2012-07-31 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Method and system for ion-assisted processing
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US20150200042A1 (en) * 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9768033B2 (en) * 2014-07-10 2017-09-19 Tokyo Electron Limited Methods for high precision etching of substrates
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)

Also Published As

Publication number Publication date
TWI630656B (zh) 2018-07-21
JP2014522104A (ja) 2014-08-28
CN103748658B (zh) 2016-06-08
KR20140051962A (ko) 2014-05-02
SG10201605726PA (en) 2016-09-29
CN105679632B (zh) 2018-06-01
KR101920527B1 (ko) 2018-11-20
US20130023125A1 (en) 2013-01-24
TW201320184A (zh) 2013-05-16
CN103748658A (zh) 2014-04-23
TWI591716B (zh) 2017-07-11
JP6338754B2 (ja) 2018-06-06
US20150206774A1 (en) 2015-07-23
JP6203716B2 (ja) 2017-09-27
WO2013012620A1 (en) 2013-01-24
US10014192B2 (en) 2018-07-03
CN105679632A (zh) 2016-06-15
JP2017228791A (ja) 2017-12-28
US8617411B2 (en) 2013-12-31

Similar Documents

Publication Publication Date Title
TWI630656B (zh) 原子層蝕刻用之方法與設備
US8475673B2 (en) Method and apparatus for high aspect ratio dielectric etch
KR102358732B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US6926799B2 (en) Etching apparatus using neutral beam
TWI578408B (zh) 在增大的電漿處理系統中之電漿加強蝕刻
JP4073204B2 (ja) エッチング方法
US20020060201A1 (en) Method of etching semiconductor device using neutral beam and apparatus for etching the same
KR970005035B1 (ko) 플라즈마발생방법 및 그 장치
US7405162B2 (en) Etching method and computer-readable storage medium
US20210287907A1 (en) Methods and apparatus for processing a substrate
KR20230056722A (ko) 가스 클러스터 지원 플라즈마 처리
JP4387801B2 (ja) 半導体ウェーハの乾式蝕刻方法
JP2006253190A (ja) 中性粒子ビーム処理装置および帯電電荷の中和方法
Darnon Plasma etching in microelectronics
KR20230147596A (ko) 플라스마 처리 방법
Zhao et al. Fragmentation and electronegativity of C4F8 plasmas in both inductive and ca-pacitive discharges
Anderson Plasma and discharge physics for materials processing
JPH10317173A (ja) 反応性イオンエッチング装置
Wang Modeling of complex surface interactions in low and high pressure plasmas
JP2006286715A (ja) 表面処理装置
JPH01276633A (ja) マイクロ波プラズマ処理方法