TW200834660A - Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions - Google Patents

Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions Download PDF

Info

Publication number
TW200834660A
TW200834660A TW096145471A TW96145471A TW200834660A TW 200834660 A TW200834660 A TW 200834660A TW 096145471 A TW096145471 A TW 096145471A TW 96145471 A TW96145471 A TW 96145471A TW 200834660 A TW200834660 A TW 200834660A
Authority
TW
Taiwan
Prior art keywords
layer
spacers
spacer
photoresist
forming
Prior art date
Application number
TW096145471A
Other languages
English (en)
Other versions
TWI356446B (en
Inventor
bao-suo Zhou
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200834660A publication Critical patent/TW200834660A/zh
Application granted granted Critical
Publication of TWI356446B publication Critical patent/TWI356446B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Description

200834660 九、發明說明: 【發明所屬之技術領域】 本發明之具體實施例一般係關 版係關於一種半導體裝 …更明確言之,本發明係關於用以減少半導體二 臨界尺寸(CD)的方法與具有縮減臨界尺寸 :: 導體裝置。 1切疋成的半 【先前技術】 積體電路("ic”)設計者需要藉由減少個別特徵之大, 及藉由減少半導體基板上相鄰特徵間的分離距離,以= -内積體化之程度或特徵的密度。特徵大小之持續心 用以形成特徵之技術(如微影蝕刻)方面造成甚至更大的兩 要。此等特徵典型係藉由在一材料(如絕緣體或導體)中之 開口定義’且藉由該材料彼此隔開。相鄰特徵中之相同點 間的距離在此產業中係稱為,·間距"。例如,該間距並型係 測量為該等特徵間之中心至中心距離。因此,間距係約等 於一特徵之寬度以及分離該特徵與一相鄰特徵之空間的寬 度之和。該特徵之寬度亦稱線之CD或最小特徵大小 ("F")。CD典型地係最小幾何特徵,例如一互連線、接點 或溝渠之寬度,其在製造1(:期間使用一例如微影蝕刻之給 定技術形成。因為與特徵相鄰之空間的寬度典型係等於該 特徵之寬度,故該特徵之間距典型地係該特徵大小之兩倍 (2F)。 σ 習知248 nm微影蝕刻致能形成從1〇〇 ^茁至2〇〇 nm之最小 線寬度。然而’由於減少特徵大小以及間距之壓力,已發 127055.doc 200834660 展出間距加倍技術。美國專利第5,32M1〇號揭示一種使用 間隔件或陰極金屬心之間距加倍的方法,以在半導體基板 中形成均勻隔開之溝渠。該等溝渠具有相等深度。一消耗 層係形成在半導體基板上且經圖案化,形成具有寬度F之 條。該等條經蝕刻以產生具有F/2之縮減寬度的陰極金屬 ^條。一部份消耗之縱材層係保形地沈積在陰極金屬心條 上以及經蝕刻以在該陰極金屬心條之側壁上形成具有 厚度之縱材條。該等陰極金屬心條被蝕刻,而縱材條 呆迢在半‘體基板上。該等縱材條功能為在半導體基板中 蝕刻具有F/2寬度之溝渠的遮罩。儘管以上所述專利中之 間距係實際上減半,間距中之此—縮減在產業中係稱作 "間距加倍,,或,,間距倍增,,。換句話說,間距之”倍增"某一 d歩及減少該間距該倍數。在此保留此習知術語。 美國專利第6,239,GG8號揭示-種間距加倍方法。一光阻 係在半導體材料層上圖案化…光阻結構以及—相鄰空間 2尺寸係定義為X。該等光阻結構被修整成% χ。結構間之 空間增加至3/4 X。半導體材料層之曝露部分被㈣以在半 導體材料層中形成結構。光阻結構被移除。—毯覆層係沈 積在半導體材料層結構上1毯覆層被㈣以在半4體材 =n之側壁上形成間隔件…第二毯覆層係沈積在半 導:材料層結構、間隔件以及空間上’在空間中形成第二 、-且、、'。構。第二毯覆層係—與製成半導體材料層結構之材料 類似或相同的材料。半導體材料層結構、間隔件以及第二 組結構被平坦化。間隔件被移除。半導體材料層結構以及 127055.doc 200834660 第二組結構以及其間之空間具有κ χ的尺寸。 美國專利第6,638,441號揭示一種三倍間距的方法。_光 阻層係在一基板上圖案化。一層係在該圖案上形成。第一 層被蝕刻以曝露基板。一第二層係形成在圖案上。該第二 層被蝕刻以曝露基板。該圖案化光阻被移除。一第三層係 形成在第一以及第二層以及基板上。該第三層被钱刻以曝 露該基板。一第四層形成在第一、第二以及第三層以及基 板上。該第四層之材料係與第一層材料相同。該第四層被 餘刻以曝露第一、第二以及第三層。第二以及第三層被移 除。弟一以及弟四層形成一具有已成三倍之間距的圖案。 193 nm微影蝕刻係能形成比248 nm微影蝕刻更小之特 欲。然而,與248 nm光阻材料相比,193 nm光阻材料已增 加線邊緣粗度(LER)。此外,248 nm光阻材料係比193 nm 光阻材料更強。 因此,此項技術存在一需要,其係能利用248 nm光阻減 ^'特被之CD的間距縮減程序。 【發明内容】 本發明之具體實施例一般係關於完成半導體裝置。尤其 係本發明之具體實施例更關於減少一特徵之CD的方法與 具有此等縮減之部份完成的積體電路裝置。 在一具體實施例中,一種減少半導體裝置特徵之CD的 方法包括形成一目標層,該目標層具有之特徵具有縮減的 CD 中間層係形成在該目標層上。一圖案係形成在一 覆盍中間層之光阻層中,具有CD之圖案係可藉由習知微 127055.doc 200834660
影技術印刷。圖牵之CD可1 JIL 茶之 了精由最終欲在目標層中形成的 特徵之大小決定。筐一„π从 丁❿攻的 弟間隔件材料垂直區(第一間隔件)係 形成與光阻層之剩餘部分相鄰。在第-間隔件以及中間層 垂直區間曝路的目標層係藉由中間層之剩餘部分形成。第 二間隔件材料垂直區(第二間隔件)被形成緊接中間層垂直 區。中間層垂直區被移除。形成在目標層上之特徵的CD 係該第-間隔件以及第二間隔件之厚度的函數。 在此描述之方法以及部份完成的積體電路裝置未形成一 用於製造積體電路的完全程序流。程序流之剩餘部分對於 此貝技#人士而§係已知。因此’在此僅描述理解本發明 之具體實施例所需的方法以及部份完成的積體電路裝置。 本文“述之材料層可藉由任何適合沈積技術形成,包括 (仁不限於)紅塗、毯覆式塗布、化學汽相沈積("CVD")、 原1子層沈積("ALD”)、電襞増強ALD或物理汽相沈積
C (PVD )。取決於欲使用之材料,該沈積技術可由熟習此 項技術的人士選擇。 【實施方式】 現將參考圖式,其中全部圖式中之類似數字指類似部 分。該等圖式並未按比例繪製。 在本發明之一具體實施例中,具有縮減CD以及(結果縮 減間距)的特徵可在目標層上形成。該等特徵之CD可為一 比在一覆蓋層(如光阻層)上形成之初始圖案的CD少三倍之 倍數。一三倍間距縮減方法之具體實施例係在圖1至10中 說明。 127055.doc 200834660 如圖1中6兒明,一部份完成的積體電路裝置1〇〇可包括一 目標層11G。具有縮減CD之特徵可最終在目標層ιι〇中形 成。該等特徵之CD可相對於一形成在一覆蓋光阻層14〇之 圖案的CD來減少。因為減少該等特徵之CD,亦減少該等 特徵的間距。目標層110可自一係與半導體裝置製造相容 的材料形成。例如,目標層11〇可為一半導體基板,例如 白头矽基板或具有半導體材料之一層的其他主體基板。 如本文使用,術語"主體基板"不僅包括矽晶圓,且亦包括 絕緣物上矽("S0I”)基板、矽藍寶石("s〇s")基板、基底半 導體基礎上矽之磊晶層以及其他半導體、光電子元件或生 物技術材肖’例如㈣、鍺、碎化鎵、氮化鎵或磷化姻。 一中間層m可在目標層110上形成。當在目標層ιι〇上形 成該等特料,中間層12G可作為一犧牲遮罩。中間層 之厚度可取決於該犧牲遮罩之所需高度。中間層12〇可自 一可相對於在部份完成的積體電路裝置100上形成之間隔 件選擇性姓刻之材料形成。如本文使用,當一材:展= 曝露於相同蝕刻化學成分中之另一材料大至少約2倍之蝕 刻速率時,該材料係,,可選擇性地蝕刻"。理想中,此一材 MUM露於相_刻化學成分中之另 率大至少約一刻速率。僅為了舉例,中間層12= 從透明碳(TC)、非晶性碳(AC)或一旋塗式材料形成。中間 層120可藉由習知技術,例如藉由CVD或藉由旋塗形成。 一抗反射層130可在中間層12〇上形成。抗反射材料係此 員技衍中為人已知且可包括(但不限於)例如係一介電抗反 127055.doc 200834660 射塗層(DARC)之二氧化矽或氮氧化矽的無機材料,或有 機材料’例如含矽、旋塗式硬遮罩。抗反射層13〇可藉由 習知技術形成。 光阻層140可在抗反射層13〇頂部上形成。光阻層14〇可 自一 248 nm光阻材料形成,例如深紫外線(DUV)248 光 阻248 nm光阻材料係此項技術中為人熟知,且因此不在 此洋述。其他光阻材料(例如193 光阻)可用來形成光阻 層140。額外㈣可用以於沈積第—間隔件層i5Q前使光阻 層140之側壁平滑。光阻材料可藉由習知技術(例如藉由旋 塗)沈積’且藉由習知微影餘刻技術圖案化。光阻以及微 影姓刻技術係此項技術中為人熟知,而因此選擇、沈積以 及圖案化光阻材料不方卜μ #垂 ' 河竹不在此评盡討論。如圖2中說明,一圖 案可藉由顯影以及蝕刻該光阻材料而在光阻層⑽中形 成:光阻層140,之剩餘部分可藉由開口 145分離。光阻層 4〇可…有可使用選擇性圖案化或微影韻刻技^ Ο 刷r。或者係,光阻層-可進行額外二 乂、至所而CD。光阻層14〇中之圖案可結合第一 間隔件用來形成目標層110中的特徵。此 :- 不限於電氣裝置之線、溝渠或其他組件。、但 =:及:刻該光阻材料後剩餘之光阻 係在圖2中顯不。綠層14()1的側壁可具有—^ 廓。因為248 nm光阻㈣具有良好咖^上垂直輪 壁亦可相對較平滑。因此,當在側壁上形成第:,之側 (如以下描述)時,可维拄杳# ^ 風弟一間隔件 了維持實質上垂直輪廓。光阻層14〇,可 127055.doc 200834660 具有一約X之寬度或CD,其中x係一可藉由習知微影技術 印刷的尺寸。因此,X係用以圖案化光阻層14〇之微影蝕刻 技術的函數。光阻層140’相鄰部分間之空間(其在圖2中指 不為B)可具有一約X的寬度。如以下描述,B可比寬度X更 寬或更窄。 如圖3中說明,一第一間隔件層15〇可形成在抗反射層 130頂部上以及在光阻層14〇,側壁以及頂部表面上。第一間 隔件層150可保形地沈積,如藉由ALD。然而,可使用其 他沈積技術,只要第一間隔件層i5〇係依所需厚度實質上 保形地沈積。第一間隔件層i 5 〇可自一係與其後製造動作 相容以及係可相對於抗反射層13〇、中間層12〇,以及目標 層110選擇性蝕刻之材料形成。僅為了舉例,第一間隔件 層150可由氧化物(如氧化矽)或氮化物(如氮化矽)形成。因 為使用之光阻材料係一 248 nm光阻,光阻層140,之侧壁可 展現可將第一間隔件層150直接施加至光阻層14〇,之足夠低 LER。然而,可用額外蝕刻來在沈積第一間隔件層15〇前 使光阻層140,之側壁平滑。第一間隔件層15〇之厚度可約等 於最終欲形成在目標層丨丨〇上的特徵之CD。例如,若目標 層110上之特徵係欲具有一約〜3的CD,則第一間隔件層 150可用等於約x/3的厚度來沈積。 如圖4中說明,可移除光阻層14〇,以及抗反射層13〇之實 質上水平表面上的第一間隔件層150之部分,而第一間隔 件層15G可保留在光阻層⑽,之實f上垂直表面上。因此, 可曝露光阻層14G’之頂部表面以及抗反射層13()的部分。此 127055.doc -12- 200834660 可精由各向異性巍女丨楚 蝕刻弟一間隔件層150、形成毗連光阻層 140丨側壁之第一間隔 1 ^ 來達成。蝕刻劑可取決於在第 一間隔件層150中使用的材料來選擇。例如,若第一間隔 件層15G係由氧切形成,可㈣氟碳化學成分以實㈣ 刻。僅為了舉例,篦一 „ μ p 一〆 弟間隔件層15〇可使用四氟甲烷
C (CF4)、三氟甲烷(咖3)或其他習知蝕刻劑來蝕刻,用於選 擇性㈣氧化石夕。第一間隔件15〇,可實質上具有與光阻層 140·之側壁相同的垂直輪廓。第一間隔件15〇,可具有一約 等於χ/3之寬度。第—間隔件15GI可作為—用於後續抗反射 層130以及中間層120之餘刻的遮罩。 如圖5中說明,光阻層14〇,可相對於第一間隔件15〇,選擇 性移除。換句話說,在蝕刻|,第一間隔件15〇,可保留在 抗反射層130的表面上。光阻層14〇,可從第一間隔件15〇,間 移除,曝露抗反射層130之下方部分。由移除光阻層14〇,產 生之空隙可具有一約等於X的寬度。光阻層14〇,可使用一具 有以氧為主電漿之乾式蝕刻程序來選擇性蝕刻。光阻層 140’之移除可為高度各向異性以維持第一間隔件15〇,的垂 直輪廓。 其次’如圖ό中說明’可移除抗反射層13 〇之曝露部分以 及中間層120的部分。可蝕刻抗反射層ι3〇之曝露部分,如 先前在光阻層140’下之該等部分。接著抗反射層13〇中之圖 案可轉移至中間層120。第一間隔件150,可作為一遮罩,其 保護抗反射層130,以及中間層120,之下方部分。抗反射層 13 0以及中間層120可使用一單一蝕刻化學成分餘刻或可分 127055.doc -13- 200834660 離地蝕刻。蝕刻抗反射層13〇以及中間層12〇可藉由習知技 術達成。例如,當抗反射層130係從氮氧化矽形成時,尤 其可使用電漿蝕刻,包括氟碳化學成分,例如CF4或二氟 甲烷(CHJ2);或酸,諸如氫溴酸(HBr)。當中間層12〇係由 透明碳形成時,可使用利用氮(N2)、氧(〇2)以及氫溴酸之 電漿蝕刻。其他可能之電漿化學成分包括〇2以及二氧化硫 (s〇2)。抗反射層130之蝕刻可減少第一間隔件15〇,的高 度。然而,中間層120蝕刻對於第一間隔件15〇,之高度可能 實質上沒有影響。 如圖7中說明,可移除第一間隔件15〇,以及抗反射層 130’,留下中間層12〇,在目標層11〇上。第一間隔件15〇, 以及抗反射層130,可藉由習知蝕刻劑移除。例如,第一間 隔件150’以及抗反射層13〇,可藉由一具有緩衝氫氟酸的溼 式蝕刻來蝕刻。或者係,第一間隔件15〇,以及抗反射層 13 0f可藉由用來蝕刻抗反射層13〇,之蝕刻化學成分來移 除。 如圖8中δ兒明,第二間隔件層16〇可在中間層上形 成。間隔件層160可從與用在第一間隔件層15〇之相同材料 形成。因此,第二間隔件層16〇可使用用於形成間隔件層 150之相同程序設備來形成。依此方法,可減少設備以及 伴隨維修的數量。此外’藉由使用相同材料,可節省顯影 以及沈積不同材料之成本。第二間隔件層丨6〇可在中間層 120上以於約χ/3之厚度保形地沈積。藉由保形地沈積 第二間隔件層160的材料’中間層12〇,鄰近部分間之間隙可 127055.doc -14· 200834660 從約X減少至約Χ/3。 如圖9中說明,可將第二間隔件層16〇的部分移除以形成 第二間隔件160’。第二間隔件層⑽之實質上水平部分可藉 由各向異性蝕刻移除,@第二間隔件層16〇的實質上垂直 部分可保留,形成第二間隔件16〇’。各向異性钱刻可曝露 中間層12〇,之一頂部表面。第二間隔件16〇,可具有-等於 約Χ/3的寬度。第二間隔件層16〇之實質上水平部分可藉由 習知技術移除,如以上相關於交 相關於移除第一間隔件層150之部 分的討論。 如圖10中說明,中間層120,可藉由相對於第二間隔件 ⑽’以及目標層m選擇性姓刻此層來移除。中間層12〇,可 精由習知技術蝕刻,如藉由利 同㈣化學成分。第二間隔件16。―刻中間層120之相 币一間隔件160,之側壁可呈 垂直輪廓帛一間隔件16。,可具有_約的的寬度,且可彼 此分離一約χ/3之距離。圖1〇亦說明 , X以及在光阻層14〇,鄰^ 胃14”㈣寬度 約光阻層U0,的三分之一寬度的第二 -有 層110上形成。約相等間…牛160,可在目標 到。藉由使用第可在相鄰第二間隔件160,間達 J精由使用弟—間隔件⑽,作為犧 圖案化’其形成的特徵具有 軍目仏層110可 CD。目標層11〇可藉由 曰140’之<:〇的1/3之 為了進-步減:在目:術圖案化,其未在此詳述。 乂 /¾夕在目標層 額外間隔件㈣程序。例如7之特徵的心可使用 -^ ^ ^ ^ ^ ^ ^120"4 ^ ^ )間隔件。額外間隔件 127055.doc •15- 200834660 可/、有、、、勺等於欲在目標層11〇上形成之特徵的⑶之厚 度。該等額外間隔件可以-對應於X之分數的厚度沈積。 例如動態隨機存取記憶 目標層110可用於半導體裝置 體(DRAM)、靜‘%隨機存取記憶體⑽鳩)、鐵電記憶體 ()NAND以及NOR快閃記憶體、微處理器(如具有場效 電曰曰體(FET))以及平板顯示器。例如,目標層ιι〇可為一
欲敍刻用於形成隔離_ NAND快閃裝置單元之淺溝渠隔離 (STI)結構的晶圓基板。目標層⑽亦可為一導電作用層, 如欲圖案化成為電晶體之閘極的多晶矽層。目標層ιι〇亦 可為例如、||、鈦或銅之金屬層,其係欲圖案化成連 接不同作用區的導電線。除了(或取代)姓刻目標層ιι〇,材 料可填充第二間隔件16〇|間的空隙而沈積在目標層11〇上。 任何以上所述動作可取決於用在不同層中之材料以及用 於形成以及移除該等材料之程序,而與其他動作結合。此 外’任何以上動作可利用多個程序以達成單一動作。在任 何動作後,亦可發生以上未描述的進—步處理。額外程序 的範例包括離子植入、擴散摻雜、額外層的沈積、渔式或 乾式蝕刻以及化學機械拋光。僅為了舉例,在任何動作 刻可用以窄化、平滑或改進—曝露層的輪廊。 处^由利用以上所述方法…與兩或多個間隔件餘刻程序 結合之248 ^^光阻材料,可用來在目標層11〇形成小特 徵二該等特徵可具有一係習知可用248 nm光阻達到之CD 的-分數之CD。248 nm微影蝕刻可用以依一在此技術極 限内之解析度來圖案化光阻層14〇。在此階段,《阻層⑽· 127055.doc -16- 200834660 之剩餘部分可具有比欲# # + H a, 人幵y成在目軚層1 1 〇中之特徵的CD更 大之CD藉由將第一以及第二間隔件用作犧牲遮罩,可 實施間隔件钱刻程序以形成具有該光阻層14〇,之部分的CD 之三分之-或四分之一的CD之特徵。因此,該等特徵之 CD可J於可使用更先進微影姓刻技術(例如丄μ⑽微影蝕 刻)達到之該等CD。 • 以上所述具體實施例可用以相對於光阻層140,的蝕刻部 刀之CD ’在目糕層丨丨〇上產生該等特徵的縮減或間距。 Γ 例如,當光阻層140,(如圖2中說明)係使用一 248 nm光阻形 成時,對於一約240 nm之總間距,x可為係約12〇 nm&B可 為約120 nm。在圖案化光阻層14〇以及實施間隔件蝕刻程 序後,對於一約80 nm的總間距,第二間隔件16〇,的寬度 (如圖10中說明)可為約40 nm且相鄰第二間隔件16〇,間的距 離亦可等於約40 nm。然而,光阻層14〇,之寬度可為在一 從約30 nm至約150 nm之範圍内的任何寬度。因此,具有 從約10 nm至約50 nm之寬度的特徵可在目標層11〇中 ϋ成。 藉由调整光阻層140’的寬度、光阻層14〇,相鄰部分間之 ‘ 間隔以及已沈積間隔件層的厚度,可達到CD或間距中之 額外細減。本發明之具體實施例亦包含一種以4之倍數減 少特徵的CD或最小間距的方法。一根據本發明之四倍間 距縮減方法之具體實施例係在圖11至19中說明。實質上類 似材料以及處理動作係相對於先前具體實施例用於此具體 實施例。在圖11至19中說明之具體實施例不同於在圖1至 127055.doc -17- 200834660 1 〇中說明的且辦杳Α 同, 居、1 ’尤其在於光阻層140,的寬度係不 先阻層14〇’之相鄰部分 件層之厚度亦不同。 仏。此外’沈積間隔 壯=實質上說明在圖2中說明之相同部份完成的半導體 表置100,哈了止π 口駐… “ 、 ^ 0之剩餘部分具有一約3χ/4的寬 又,且光阻層14〇·相鄰部分間之間隔係約5χ/4。光阻層140 :如此項技術令已知沈積以及圖案化,以產生此間隔。僅
二了舉例今’光阻層140可圖案化以致光阻層140,之部分具有 勺的見度,且光阻層14〇,相鄰部分間之間隔係約X。光 阻層140’的部分可逸_ jk . 刀J進步蝕刻或修整以具有一約3x/4的寬 度其將光阻層140,之相鄰部分間的間隔增加至約^/4。 圖12說明第一間隔件層150可形成在光阻層140,以及抗 反射層130’上。第—間隔件層⑼可以約χ/4之厚度保形地 ’尤積。如先可描述’第一間隔件層15〇之厚度可對應於欲 形成在目標層110上的特徵之CD。第一間隔件層15〇可藉 由ALD沈積。 圖13說明從光阻層14〇,以及抗反射層13〇的實質上水平 表面移除第一間隔件層15〇,如藉由各向異性蝕刻。第一 間隔件材料150可保留在光阻層14〇,的實質上垂直表面,形 成第一間隔件150’。第一間隔件150,可具有等於約χ/4的厚 度’且光阻層140,之相鄰部分間的間隔可減少至約3χ/4。 圖14說明可移除光阻層14〇,,在抗反射層13〇頂部上留下第 一間隔件150’。相鄰第一間隔件15〇,間的間隔可為約 3x/4。圖15說明抗反射層130以及中間層120的部分可使用 127055.doc -18- 200834660 第一間隔件150’作為遮罩來移除。因此,第一間隔件ι5〇 下面的抗反射層130,以及中間層12〇,之部分可在此蝕刻期 間受到保護。圖16說明可移除第一間隔件15〇,以及抗反射 層130’,在目標層11〇的表面上留下中間層12〇,。中間層 120’可具有一約χ/4之寬度,且中間層12〇,之相鄰部分間的 距離可為約3χ/4。 圖17說明第二間隔件層16〇可在中間層12〇,的部分上形 成。第二間隔件層160可以約χ/4之厚度保形地沈積(如藉由 ALD) 〇 圖18說明可移除第二間隔件層16〇之實質上水平部分, 曝露中間層120’之頂部表面以及目標層11〇的部分。第二間 隔件層160之剩餘部分可形成第二間隔件16〇|。可移除中間 層120’,如圖19中說明。第二間隔件16〇,可具有一約χ/4之 寬度且可與相鄰第二間隔件16〇,分離約χ/4之一距離。鄰近 第二間隔件160,間之間隔可致使特徵具有欲在目標層ιι〇上 形成之光阻層140’的CD的四分之一。使用第三間隔件16〇, 作為遮罩,可圖案化目標層m,形成具有所需間距中四 倍縮減之特徵。 使用四倍縮減之此具體實施例,當如圖11中說明之光阻 s 140 /、有約90 nm(3x/4=90 nm)的寬度以及約15〇 nm(5x/4=15〇 nm)的寬度B(如以⑽㈣光阻形成)時第二 間隔件16G的產生寬度可為約3() ,且相鄰第二間隔件 16〇|間的距離亦可為等於約30 nm。因此,使用四倍縮減 之此具體實施例’可形成具有光阻層140,之CD的約四分之 127055.doc -19- 200834660 一的CD的特徵。 f ί 因此’可利用本發明之具體實施例以在目標層11 〇中產 生特徵’其具有光阻層14〇,寬度之CD的約三分之一或四分 之一之CD。因此,可在目標層n〇中形成具有少於約5〇 nm 的CD之特徵。對於使用本發明之具體實施例形成的特徵 之最後CD,以及最後間距並無下限。例如,因為第一以 及第二間隔件層150、160之厚度可藉由ALD精確控制,在 目標層11 0中形成之特徵的CD可精確地控制。 雖然此發明已相關於特定具體實施例描述,但本發明不 限於此等已描述之具體實施例。而是,本發明僅受限於隨 附申明專利範圍,在其範圍内包括所有根據如所述本發明 之原理操作的所有等效方法、程序、裝置以及系統。 【圖式簡單說明】 儘官本說明書以特別指出之申請專利範圍來推斷且清楚 地聲稱其係視為本發明,但此發明之具體實施例可在併同 附圖閱讀時,自本發明的以上說明中更易於確定,其中·· 圖1說明在-部份完成的積體電路裝置之目標層上的一 中間層,-抗反射層以及一光阻層之具體實施例; 圖2說明《 —以》—所靈园安VL i η 圖案化之圖1的光阻層之具體實 施例; 、 圖3說明一形成在圖2之牿料 特敛上的一弟一間隔件層之具體 實施例; 圖4說明一從圖3之第一間 1隔件層形成的第一間隔件之具 體實施例; 127055.doc -20- 200834660 圖5說明圖4之部份完成的積體電路裝置在圖2之 移除後的一具體實施例; 、$已 圖6說明圖5之部份完成的積體電路裝置在已移除中間層 以及抗反射層之部分後的具體實施例; 圖7說明圖6之部份完成的積體電路裝置在已移除 一 隔件以及抗反射層後的一具體實施例; ’ 目8說明-第二間隔件層形成在圖7之部份完成的積體電 路裝置上的具體實施例; ( 圖9說明圖8之部份完成的積體電路裝置在移除第二間隔 件層之部分以形成第二間隔件的一具體實施例; 圖10說明圖9之部份完成的積體電路裝置在中間層的剩 餘部分已移除後之一具體實施例; 圖11說明圖2之特徵在該等特徵已被修整後的一具體實 施例; ' 圖12說明一形成在圖11之該等特徵上之第一間隔件層的 一具體實施例; C 圖13說明一從圖12之第一間隔件層形成之第一間隔件的 具體實施例; ♦ 圖14說明圖13之部份完成的積體電路裝置在圖11的特徵 已被移除後之一具體實施例; 圖15說明圖14之部份完成的積體電路裝置在中間層以及 抗反射層之部分已被移除後的一具體實施例· 圖16說明圖15之部份完成的積體電路裝置在已移除第一 間隔件以及抗反射層後的一具體實施例; 127055.doc -21 · 200834660 圖17說明一形成在圖16之部份完成 一第二間隔件層之具體實施例; 的積體電路裝置上的
圖18說明圖17之部份完成的積體電路裝置在移除第二間 隔件層的部分以形成第二間隔件的一具體實施例;以及 圖19說明圖1 8之部份完成的積體電路裝置在已移除中間 層的剩餘部分後之一具體實施例。 【主要元件符號說明】 部份完成的積體電路裝置 目標層 中間層 中間層 100 110 120120, 130 130, 140 抗反射層 抗反射層 光阻層 140, 光阻層 145 開口 150 150, 160 160, 第一間隔件層 第一間隔件 第二間隔件層 第二間隔件 127055.doc -22-

Claims (1)

  1. 200834660 十、申請專利範圍: 1· 一種在一目標層上形成特徵之方法,其包含: 在一目標層上形成一中間層; 在位於4中間層上之光阻層中形成開口; 在=光阻層之部分的側壁上形成一第一組間隔件; 曝路為目標層除了藉由該第一組間隔件遮蔽之部分以 外的部分; 曝露該中間層的部分;
    在a中間層之該等部分上形成一第二組間隔件; 移除该中間層的該等部分;以及 在該目標層之曝露部分中形彳It。 2. 如請求項1夕士、、+ ^ . ^ 、 法,其中在該目標層之曝露部分中形成 特二包t形成具有—小於該光阻層中之該等開口的臨界 尺寸之臨界尺寸的該等特徵。 3 · 如請求項1之方、、土 法,其中在一光阻層中形成開 及產生具有X之一臨 成具有X之一臨界尺寸的該等 界尺寸的該光阻層之部分。 4 · 如睛求項3之太、、土 . 特徵包含妒成且右、該目標層之曝露部分中形成 二,成具有_等於約x/3之臨界尺寸的特徵。 5·如峋求項1之方法,豆中在一氺卩日M + 成且右$ M 〃在先阻層中形成開口包含形 ,、有5χ/4之一臨界尺寸的 -臨尺%亥專開口且產生具有3x/4之 界尺寸的垓光阻層之部分。 6_如請求項1之方法,其中在該目標層之… 特徵包含形成且古 +路邛分中形成 有—#於約x/4之臨界尺寸的特徵。 127055.doc 200834660 如明求項1之方法,其中形成一 私 r y ^弟—組間隔件包含以幼 、奴在該目標層上形成之特徵的—臨 、、、、 積一間隔件材料。 ,寸之厚度沈 8·如請求項〗之方法,其中形成一 一 ^ - Λ 組間隔件或形成一 弟一 Μ隔件^從氧切錢 件或該第二組間隔件。 彡…弟-組間隔 9. Γ求項1之方法,其中形成-第-组間隔件包含在該 光阻層之剩餘部分上伴形地沈藉ρ ^ 1Λ f , 刀丄侏办地沈積一間隔件材料。 .如靖求項1之方法,1中暖 /、中曝路该目標層除了由該第一組 曰 件遮蔽之區以外的部分 間之兮丄 卜的^刀包“虫刻在該第-組間隔件 二光阻層的剩餘部分,以及姓刻該中間層之下方部 人銘=員1之方去’其中曝露該至少-中間層之部分包 3移除該第一組間隔件。 匕 12.如請求項〗之方法,复 .B /、進步包含在该光阻層以及該至 C 少―中間層間形成一抗反射層。 至 U·如請求項丨之方法, 朵阳Μ 八中形成一弟一組間隔件包含在該 先阻層之剩餘部分的該等側 件。 土丄貝貝上形成垂直間隔 14 ·如請求項1 方 等部八」 進一步包含在曝露該目標層之該 #°卩分前移除該目標層之剩餘部分。 15·如請求項丨之方法,1 八^ ν包3在曝露該目標層之% 刀則移除該第一組間隔件。 丁 θ之^ 16·如請求項工之方法,复 一中形成一弟二組間隔件包含從與 127055.doc 200834660 °亥第組間隔件相同的材料形成 如請求項1之方法,其中在弟-組間隔件。 特徵包含形成具有一約等::;…曝露部分中形成 臨界尺寸的該等特徵。—組間隔件之厚度的- 1 8.如請求項1之方 ψ n M ',、形成—第二組間隔件包含在, 中間層之剩餘部分的側壁上形 广… 19. 一種部份完成的積體電路裝置,其包含件。 一目標層; 3 S標層」層::質上垂直區段,其係位於以及形成在該 最Si之=形成在該目標層上,該等間隔件具有- 與相鄰間隔心離= :寬度’該等間隔件 該等間隔件之― 隔件之該寬度的距離, 以及該等實•^分與相鄰間隔件分離該中間層之空隙 件分離該中2垂直區段’該等剩餘間隔件與相鄰間隔 曰运之该等實質上垂直區段。 127055.doc
TW096145471A 2006-11-29 2007-11-29 Methods to reduce the critical dimension of semico TWI356446B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/606,613 US7807575B2 (en) 2006-11-29 2006-11-29 Methods to reduce the critical dimension of semiconductor devices

Publications (2)

Publication Number Publication Date
TW200834660A true TW200834660A (en) 2008-08-16
TWI356446B TWI356446B (en) 2012-01-11

Family

ID=39273348

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096145471A TWI356446B (en) 2006-11-29 2007-11-29 Methods to reduce the critical dimension of semico

Country Status (7)

Country Link
US (3) US7807575B2 (zh)
EP (1) EP2095402B1 (zh)
JP (1) JP5532303B2 (zh)
KR (1) KR101091298B1 (zh)
CN (1) CN101542685B (zh)
TW (1) TWI356446B (zh)
WO (1) WO2008067228A1 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI412487B (zh) * 2009-12-31 2013-10-21 Huang Chung Cheng 奈米線結構的製造方法
US8703570B2 (en) 2008-12-04 2014-04-22 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8846517B2 (en) 2012-07-06 2014-09-30 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8901700B2 (en) 2008-05-05 2014-12-02 Micron Technology, Inc. Semiconductor structures
TWI481969B (zh) * 2011-12-31 2015-04-21 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
TWI484534B (zh) * 2011-03-09 2015-05-11 Winbond Electronics Corp 縮小間距之方法
US9153458B2 (en) 2011-05-05 2015-10-06 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
TWI628715B (zh) * 2010-12-23 2018-07-01 英特爾公司 特徵尺寸縮減技術(二)
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
TWI829068B (zh) * 2021-02-26 2024-01-11 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Families Citing this family (424)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US9460924B2 (en) * 2007-03-26 2016-10-04 GlobalFoundries, Inc. Semiconductor device having structure with fractional dimension of the minimum dimension of a lithography system
US7939451B2 (en) * 2007-06-07 2011-05-10 Macronix International Co., Ltd. Method for fabricating a pattern
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
CN101345190B (zh) * 2007-07-10 2012-05-23 旺宏电子股份有限公司 图案的形成方法
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR100965011B1 (ko) * 2007-09-03 2010-06-21 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
TWI493598B (zh) * 2007-10-26 2015-07-21 Applied Materials Inc 利用光阻模板遮罩的倍頻方法
JP5224919B2 (ja) * 2008-06-10 2013-07-03 株式会社東芝 半導体装置の製造方法
US8329385B2 (en) * 2008-06-10 2012-12-11 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US20100183957A1 (en) * 2009-01-21 2010-07-22 Seagate Technology Llc Method of Patterned Media Template Formation and Templates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5425514B2 (ja) * 2009-04-16 2014-02-26 AzエレクトロニックマテリアルズIp株式会社 微細パターン形成方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
JP5574679B2 (ja) * 2009-11-17 2014-08-20 株式会社東芝 半導体装置の製造方法
US8354331B2 (en) * 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
JP2011233878A (ja) * 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR101756226B1 (ko) * 2010-09-01 2017-07-11 삼성전자 주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US20120085733A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
CN102064096B (zh) * 2010-12-03 2012-07-25 北京大学 一种细线条的制备方法
KR101225601B1 (ko) * 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR20120077505A (ko) 2010-12-30 2012-07-10 삼성전자주식회사 비휘발성 반도체 메모리 장치 및 그 제조 방법
US20120175745A1 (en) * 2011-01-06 2012-07-12 Nanya Technology Corporation Methods for fabricating semiconductor devices and semiconductor devices using the same
JP5473962B2 (ja) * 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
JP5330440B2 (ja) * 2011-03-23 2013-10-30 株式会社東芝 半導体装置の製造方法
JP5395837B2 (ja) 2011-03-24 2014-01-22 株式会社東芝 半導体装置の製造方法
US8389383B1 (en) * 2011-04-05 2013-03-05 Micron Technology, Inc. Patterned semiconductor bases, and patterning methods
US20120280354A1 (en) * 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8809169B2 (en) * 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013101107A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Double patterning lithography techniques
TWI510854B (zh) * 2011-12-31 2015-12-01 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
CN103367108B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 自对准双构图方法及其形成的图案
CN103367156B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8524605B1 (en) * 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
FR2990794B1 (fr) * 2012-05-16 2016-11-18 Commissariat Energie Atomique Procede de realisation d'un substrat muni de zones actives variees et de transistors planaires et tridimensionnels
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8883646B2 (en) * 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9449839B2 (en) 2012-08-06 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103632928A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP5829994B2 (ja) * 2012-10-01 2015-12-09 信越化学工業株式会社 パターン形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR20140064458A (ko) 2012-11-20 2014-05-28 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US9378979B2 (en) 2012-11-20 2016-06-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices and devices fabricated thereby
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
CN103904018B (zh) * 2012-12-24 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9105295B2 (en) * 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9573806B2 (en) 2013-03-11 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. MEMS device structure with a capping structure
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
CN104103574B (zh) * 2013-04-10 2017-12-29 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
KR20140137734A (ko) * 2013-05-23 2014-12-03 삼성디스플레이 주식회사 반사형 편광판 제조방법 및 인셀 반사형 편광판 제조방법
CN103325709B (zh) * 2013-05-28 2016-08-10 上海华力微电子有限公司 一种无氮介质抗反射层的离线检测方法
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9165770B2 (en) * 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9123772B2 (en) * 2013-10-02 2015-09-01 GlobalFoundries, Inc. FinFET fabrication method
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8975129B1 (en) * 2013-11-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US9070630B2 (en) * 2013-11-26 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
KR102114965B1 (ko) * 2014-02-07 2020-05-26 삼성디스플레이 주식회사 반사형 편광판의 제조방법 및 반사형 편광판을 구비한 표시장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102223035B1 (ko) 2014-03-05 2021-03-04 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103903972A (zh) * 2014-04-22 2014-07-02 上海华力微电子有限公司 一种小尺寸图形的制作方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
JP5869057B2 (ja) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102339781B1 (ko) 2014-12-19 2021-12-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9754785B2 (en) 2015-01-14 2017-09-05 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
KR102327667B1 (ko) * 2015-01-14 2021-11-17 삼성전자주식회사 반도체 소자의 제조 방법
KR102343859B1 (ko) 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9576817B1 (en) * 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9620380B1 (en) * 2015-12-17 2017-04-11 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
KR102398664B1 (ko) * 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9852917B2 (en) * 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN107968046B (zh) * 2016-10-20 2020-09-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102301850B1 (ko) 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10510540B2 (en) * 2017-07-15 2019-12-17 Micromaterials Llc Mask scheme for cut pattern flow with enlarged EPE window
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019090762A1 (zh) * 2017-11-13 2019-05-16 吴展兴 半导体结构及其形成方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102460716B1 (ko) 2017-12-26 2022-10-31 삼성전자주식회사 집적회로 소자의 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10818505B2 (en) * 2018-08-15 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning process and semiconductor structure formed using thereof
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10727058B2 (en) 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11355342B2 (en) * 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112309838B (zh) * 2019-07-31 2023-07-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11024511B1 (en) 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
JPS63142665A (ja) * 1986-12-05 1988-06-15 Oki Electric Ind Co Ltd 半導体装置の製造方法
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) * 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
JPH0855920A (ja) * 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) * 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6605541B1 (en) * 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6110837A (en) * 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6239008B1 (en) * 1999-09-29 2001-05-29 Advanced Micro Devices, Inc. Method of making a density multiplier for semiconductor device manufacturing
US6362057B1 (en) * 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
JP3811323B2 (ja) * 1999-11-30 2006-08-16 シャープ株式会社 量子細線の製造方法
US6667237B1 (en) * 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002280388A (ja) * 2001-03-15 2002-09-27 Toshiba Corp 半導体装置の製造方法
US6638441B2 (en) * 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) * 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6734107B2 (en) * 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
KR20040025289A (ko) * 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
WO2006070474A1 (ja) * 2004-12-28 2006-07-06 Spansion Llc 半導体装置の製造方法
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10096483B2 (en) 2006-07-10 2018-10-09 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US9305782B2 (en) 2006-07-10 2016-04-05 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US11935756B2 (en) 2006-07-10 2024-03-19 Lodestar Licensing Group Llc Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US11335563B2 (en) 2006-07-10 2022-05-17 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US10607844B2 (en) 2006-07-10 2020-03-31 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US9761457B2 (en) 2006-07-10 2017-09-12 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US8901700B2 (en) 2008-05-05 2014-12-02 Micron Technology, Inc. Semiconductor structures
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8703570B2 (en) 2008-12-04 2014-04-22 Micron Technology, Inc. Methods of fabricating substrates
US9653315B2 (en) 2008-12-04 2017-05-16 Micron Technology, Inc. Methods of fabricating substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
TWI412487B (zh) * 2009-12-31 2013-10-21 Huang Chung Cheng 奈米線結構的製造方法
TWI628715B (zh) * 2010-12-23 2018-07-01 英特爾公司 特徵尺寸縮減技術(二)
TWI484534B (zh) * 2011-03-09 2015-05-11 Winbond Electronics Corp 縮小間距之方法
US9153458B2 (en) 2011-05-05 2015-10-06 Micron Technology, Inc. Methods of forming a pattern on a substrate
TWI481969B (zh) * 2011-12-31 2015-04-21 羅門哈斯電子材料有限公司 光阻劑圖案修整方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8846517B2 (en) 2012-07-06 2014-09-30 Micron Technology, Inc. Methods of forming a pattern on a substrate
TWI829068B (zh) * 2021-02-26 2024-01-11 台灣積體電路製造股份有限公司 半導體裝置的形成方法

Also Published As

Publication number Publication date
EP2095402A1 (en) 2009-09-02
EP2095402B1 (en) 2016-04-06
KR101091298B1 (ko) 2011-12-07
US8836083B2 (en) 2014-09-16
US20130009283A1 (en) 2013-01-10
JP2010511306A (ja) 2010-04-08
CN101542685B (zh) 2011-09-28
WO2008067228A1 (en) 2008-06-05
US7807575B2 (en) 2010-10-05
TWI356446B (en) 2012-01-11
JP5532303B2 (ja) 2014-06-25
WO2008067228B1 (en) 2008-07-24
US20110006402A1 (en) 2011-01-13
US20080122125A1 (en) 2008-05-29
US8338304B2 (en) 2012-12-25
KR20090090327A (ko) 2009-08-25
CN101542685A (zh) 2009-09-23

Similar Documents

Publication Publication Date Title
TW200834660A (en) Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions
US9653571B2 (en) Freestanding spacer having sub-lithographic lateral dimension and method of forming same
TWI387001B (zh) 在間距重覆程序期間隔離陣列圖案之方法與具有隔離陣列圖案之半導體裝置結構
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
TWI391988B (zh) 利用間距減縮製造裝置之方法及相關結構
TWI628715B (zh) 特徵尺寸縮減技術(二)
TWI302635B (en) Partially formed integrated circuit and method of integrated circuit fabrication and forming an integrated circuit
US8685859B2 (en) Self-aligned semiconductor trench structures
US9153458B2 (en) Methods of forming a pattern on a substrate
US10242881B2 (en) Self-aligned single dummy fin cut with tight pitch
TWI409881B (zh) Semiconductor device manufacturing method
TW200830358A (en) Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US9034762B2 (en) Triple patterning method
US11309182B2 (en) Semiconductor structure and method for forming the same
KR101348280B1 (ko) 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법
JPH0955421A (ja) 半導体装置の製造方法
CN108807267B (zh) 半导体装置及其制造方法
KR100545701B1 (ko) 반도체 소자의 소자분리막 형성방법
KR20050019616A (ko) 집적 회로 소자 리세스 트랜지스터의 제조방법 및 이에의해 제조된 집적 회로 소자의 리세스 트랜지스터
TW201624694A (zh) 高深寬比結構

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees