JP5532303B2 - 半導体デバイスのクリティカルディメンジョンを縮小する方法 - Google Patents

半導体デバイスのクリティカルディメンジョンを縮小する方法 Download PDF

Info

Publication number
JP5532303B2
JP5532303B2 JP2009539423A JP2009539423A JP5532303B2 JP 5532303 B2 JP5532303 B2 JP 5532303B2 JP 2009539423 A JP2009539423 A JP 2009539423A JP 2009539423 A JP2009539423 A JP 2009539423A JP 5532303 B2 JP5532303 B2 JP 5532303B2
Authority
JP
Japan
Prior art keywords
layer
forming
spacers
portions
spacer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009539423A
Other languages
English (en)
Other versions
JP2010511306A (ja
Inventor
ザオ,バオスゥオ
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2010511306A publication Critical patent/JP2010511306A/ja
Application granted granted Critical
Publication of JP5532303B2 publication Critical patent/JP5532303B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask

Description

[優先権の主張]
本出願は、2006年11月29日に出願されたUnited States Patent Application Serial No.11/606613, "METHODS TO REDUCE THE CRITICAL DIMENSION OF SEMICONDUCTOR DEVICES AND PARTIALLY FABRICATED SEMICONDUCTOR DEVICES HAVING REDUCED CRITICAL DIMENSIONS" 「半導体デバイスのクリティカルディメンジョンを縮小する方法、及び、部分的に作製される縮小クリティカルディメンジョンを有する半導体デバイス」の出願日の利益を主張する。
[技術分野]
本発明の実施形態は、一般的には、半導体デバイスの作製に関し、より詳細には、半導体デバイスのクリティカルディメンジョン(CD)を縮小する方法、及び部分的に作製される縮小クリティカルディメンジョンを有する半導体デバイスに関する。
集積回路(“IC”)の設計者は、個々の加工寸法を縮小することによって、及び、半導体基板上の隣接する加工間を分離する距離を縮小することによって、IC内加工の集積レベル或いは密度を増加させることを望んでいる。加工寸法の留まるところのない縮小は、フォトリソグラフィーのような加工を形成するために使用される技術において、今までになく大きな需要を生んでいる。これらの加工は、典型的には、絶縁体或いは導体のような材料によってお互いから分離される、その材料内の間隔によって定義される。隣接する加工内の同一点間の距離は、本産業においては“ピッチ”と呼ばれる。例えば、ピッチは、典型的には、加工間の中心点から中心点への距離として測定される。結果として、ピッチは、加工の幅と、隣接する加工から加工を分離する間隔の幅との総和とほぼ等しい。加工の幅は、CD或いは配線の最小加工寸法(“F”)とも呼ばれる。CDは、典型的には、フォトリソグラフィーのような既知の技術を使用して製造するIC間に形成される、インターコネクト配線、コンタクト、或いはトレンチ溝の幅のような幾何学的に最小の加工である。加工に隣接する間隔の幅は、加工の幅と典型的には等しいため、加工のピッチは典型的には加工寸法の2倍(2F)である。
従来の248nmフォトリソグラフィーは、100nmから200nmの幅の最小配線が形成されることを可能にする。しかしながら、加工寸法及びピッチを縮小する必要性とともに、ピッチを倍化する技術が開発されてきた。United States Patent No. 5328810は、半導体基板内に均等に間隔をおくトレンチ溝を形成するスペーサ或いはマンドレルを使用するピッチ倍化の方法を開示している。そのトレンチ溝は同一の深さを有する。拡大可能な層が半導体基板上に形成され、パターン化され、Fの幅を有するストリップを形成する。そのストリップはエッチングされ、F/2の縮小された幅を有するマンドレルストリップを生成する。部分的に拡大可能なストリンガー層はマンドレルストリップ上にコンフォーマルに(下地をなぞるように)堆積され、マンドレルストリップのサイドウォール上にF/2の厚さを有するストリンガーストリップを形成するようエッチングされる。マンドレルストリップはエッチングされるが、一方、半導体基板上にストリンガーストリップは残っている。ストリンガーストリップは、半導体基板内にF/2の幅を有するトレンチ溝をエッチングするマスクとして機能する。上述された特許におけるピッチは実際には半減されるのではあるが、このようなピッチの縮小は、本産業においては“ピッチ倍化”或いは“ピッチ増倍”と呼ばれる。言い換えると、ある要因によるピッチの“増倍”は、その要因によるピッチの縮小を含んでいる。この従来技術は、本明細書に包含されている。
United States Patent No. 6239008は、ピッチ倍化の方法を開示している。フォトレジストが半導体材料層上にパターン化される。あるフォトレジスト構造の寸法と隣接する間隔はxと定義される。フォトレジスト構造は、(1/2)xにトリミングされる。この構造間の間隔は、(3/4)xに増加する。半導体材料層の露出部分はエッチングされ、半導体材料層内に構造を形成する。フォトレジスト構造は除去される。ブランケット層は、半導体材料層構造上に堆積される。ブランケット層は、半導体材料層構造のサイドウォール上にスペーサを形成するようエッチングされる。第二のブランケット層は、半導体材料層構造、スペーサ、及び間隔上に堆積され、その間隔内に二組目の構造を形成する。第二のブランケット層は、半導体材料層構造が作製される材料に類似する材料、或いはその材料と同一の材料である。半導体材料層構造、スペーサ及び二組目の構造が平坦化される。スペーサは除去される。半導体材料層構造、二組目の構造及び間隔は、ここでは(1/4)xの寸法を有する。
United States Patent No. 6638441は、ピッチ三倍化の方法を開示している。フォトレジスト層は基板上にパターン化される。層はパターン上に形成される。第一の層は基板を露出するためにエッチングされる。第二の層は、パターン上に形成される。第二の層は基板を露出するためにエッチングされる。パターン化されたレジストが除去される。第三の層は、第一の層及び第二の層と基板との上に形成される。第三の層が基板を露出するためにエッチングされる。第四の層は、第一の層、第二の層及び第三の層と基板との上に形成される。第四の層の材料は、第一の層の材料と同一である。第四の層は、第一の層、第二の層及び第三の層を露出するためにエッチングされる。第二の層及び第三の層が除去される。第一の層及び第四の層は三倍化されたピッチをともなうパターンを形成する。
193nmフォトリソグラフィーは、248nmフォトリソグラフィーよりも小さい加工を形成可能である。しかしながら、193nmフォトレジスト材料は、248nmフォトレジスト材料と比較して、大きなラインエッジラフネス(LER)を有する。更に、248nmフォトレジスト材料は193nmフォトレジスト材料よりも強度が大きい。
したがって、本技術分野においては、248nmフォトレジストを利用して、加工のCDを縮小することが可能なピッチ縮小プロセスの必要性が存在する。
本明細書は、本発明としてみなされることを詳細に指摘し、かつ明確に主張する請求項によって締めくくられているが、本発明の実施形態は、付随の図面と組み合わせて読まれる場合、本発明の以下の記述から容易に確認されうる。
図1は、部分的に作製される集積回路デバイス上のターゲット層上に形成される中間層、無反射層、及びレジスト層の実施形態を示す。 図2は、所望のCDでパターン化された図1のレジスト層の実施形態を示す。 図3は、図2の加工上に形成される第一のスペーサ層の実施形態を示す。 図4は、図3の第一のスペーサ層から形成される第一のスペーサの実施形態を示す。 図5は、図2の加工が除去された後の、図4の部分的に作製される集積回路デバイスの実施形態を示す。 図6は、中間層及び無反射層の一部が除去された後の、図5の部分的に作製される集積回路デバイスの実施形態を示す。 図7は、第一のスペーサ及び無反射層が除去された後の、図6の部分的に作製される集積回路デバイスの実施形態を示す。 図8は、図7の部分的に作製される集積回路デバイス上に形成される第二のスペーサ層の実施形態を示す。 図9は、第二のスペーサを形成するために、第二のスペーサ層の一部が除去された後の、図8の部分的に作製される集積回路デバイスの実施形態を示す。 図10は、残存する中間層の一部が除去された後の、図9の部分的に作製される集積回路デバイスの実施形態を示す。 図11は、加工がトリミングされた後の、図2の加工の実施形態を示す。 図12は、図11の加工上に形成される第一のスペーサ層の実施形態を示す。 図13は、図12の第一のスペーサ層から形成される第一のスペーサの実施形態を示す。 図14は、図11の加工が除去された後の、図13の部分的に作製される集積回路デバイスの実施形態を示す。 図15は、中間層及び無反射層の一部が除去された後の、図14の部分的に作製される集積回路デバイスの実施形態を示す。 図16は、第一のスペーサ及び無反射層が除去された後の、図15の部分的に作製される集積回路デバイスの実施形態を示す。 図17は、図16の部分的に作製される集積回路デバイス上に形成される第二のスペーサ層の実施形態を示す。 図18は、第二のスペーサを形成するために、第二のスペーサ層の一部が除去された後の、図17の部分的に作製される集積回路デバイスの実施形態を示す。 図19は、残存する中間層の一部が除去された後の、図18の部分的に作製される集積回路デバイスの実施形態を示す。
本発明の実施形態は、一般的には半導体デバイスの作製に関する。より詳細には、本発明の実施形態は、加工のCDを縮小する方法、及び、そのような縮小を伴って部分的に作製される集積回路デバイスに関する。
一実施形態においては、半導体デバイス加工のCDを縮小する方法は、ターゲット層を形成することを含み、そのターゲット層は縮小されたCDを伴う加工を有する。中間層は、ターゲット層上に形成される。パターンは、中間層に重なるレジスト層において形成され、そのパターンは従来のリソグラフィー技術によってプリント可能なCDを有する。パターンのCDは、ターゲット層において最終的に形成される加工の寸法によって決定されうる。第一のスペーサ材料垂直領域(第一のスペーサ)は、レジスト層の残存する部分に隣接して形成される。ターゲット層は、第一のスペーサ間で露出され、中間層垂直領域が残存する中間層部分によって形成される。第二のスペーサ材料垂直領域(第二のスペーサ)は、中間層垂直領域に隣接して形成される。中間層垂直領域は除去される。ターゲット層上に形成される加工のCDは、第一のスペーサ及び第二のスペーサの厚さの関数である。
本明細書において記述される方法、及び部分的に作製される集積回路デバイスは、集積回路を製造するための全てのプロセスフローを構成してはいない。残りのプロセスフローは、当業者にとって既知である。したがって、本明細書においては、本発明の実施形態を理解する上で必要不可欠である、方法及び部分的に作製される集積回路デバイスについてのみ、記述される。
本明細書において記述される材料層は、スピンコーティング、ブランケットコーティング、化学気相成長法(“CVD”)、原子層成長法(“ALD”)、プラズマ援用ALD、或いは、物理気相成長法(“PVD”)を含む適切な堆積技術によって形成されうるが、そのうちいずれにも限定はされない。使用される材料に応じて、堆積技術は当業者によって選択されうる。
これから、図面に対する記述がなされるが、ここでは、一連の図面を通して、類似する番号は類似する部分を指すものである。図面は、必ずしも一定の縮尺で描かれるものではない。
一実施形態においては、縮小したCD、またその結果として縮小したピッチを伴う加工が、ターゲット層上に形成されうる。加工のCDは、レジスト層のような上在層上に形成される当初のパターンのCDの三分の一にできる。ピッチ3倍化縮小方法の実施形態は、図1−図10に示される。
図1に示されるように、部分的に作製される集積回路デバイス100は、ターゲット層110を含む。縮小したCDを有する加工は、最終的にターゲット層110内に形成されうる。加工のCDは、重なるレジスト層140内に形成されるパターンのCDに関して、縮小されうる。加工のCDは縮小されるため、加工のピッチもまた縮小される。ターゲット層110は、半導体デバイス作製と互換性のある材料から形成されうる。例えば、ターゲット層110は、従来のシリコン基板或いは、半導体材料層を有する他のバルク基板のような、半導体基板でありうる。本明細書においては、“バルク基板”という用語は、シリコンウェハのみでならず、シリコンオンインシュレータ(“SOI”)基板、シリコンオンサファイア(“SOS”)基板、ベース半導体基板上のシリコンエピタキシャル層、及び、シリコンゲルマニウム、ゲルマニウム、ヒ化ガリウム、窒化ガリウム、或いはリン化インジウムのような、他の半導体、光電子工学、或いはバイオテクノロジー材料をも含む。
中間層120は、ターゲット層110上に形成されうる。中間層120は、ターゲット層110上の加工を形成する際に、防食用マスクとして機能しうる。中間層120の厚さは、防食用マスクの所望の高さに依存しうる。中間層120は、部分的に作製される集積回路デバイス100上に形成されるスペーサに関して選択的にエッチング可能である材料から形成されうる。ここで使用されている、材料が“選択的にエッチング可能”であるとは、その材料が、同一のエッチング化合物に暴露された場合に他の材料よりも少なくとも2倍大きいエッチング速度を示すということである。理想的には、このような材料は、同一のエッチング化合物に暴露された場合に、他の材料よりも少なくとも約10倍大きいエッチング速度を有する。例示のためにのみ、中間層120は、透明カーボン(“TC”)、アモルファスカーボン(“AC”)、或いは、スピンーオン材料から形成されうる。中間層120は、CVD,或いはスピンコーティングのような従来技術によって形成されうる。
無反射層130は、中間層120上に形成されうる。無反射材料は、本技術分野においては既知であり、誘電体反射防止コーティング(DARK)である酸化シリコン、酸窒化シリコンのような無機材料、或いは、シリコンを含む、スピンオンハードマスクのような有機材料を含むが、そのうちいずれにも限定はされない。無反射層130は、従来技術によって形成されうる。
レジスト層140は、無反射層130の上に形成されうる。レジスト層140は、深紫外(DUV)248nmフォトレジストのような、248nmフォトレジスト材料から形成されうる。248nmフォトレジスト材料は、本技術分野においては既知であり、したがって、本明細書では詳細には記述されない。193nmフォトレジストのような他のフォトレジスト材料は、レジスト層140を形成するために使用されうる。第一のスペーサ層150を堆積する前に、レジスト層140’のサイドウォールを平滑化するために更なるエッチングが利用されうる。フォトレジスト材料は、スピンコーティングのような従来技術によって堆積され、従来のフォトリソグラフィー技術によってパターン化される。フォトレジスト及びフォトリソグラフィー技術は、本技術分野においては既知であり、したがって、フォトレジスト材料の選択、堆積、及びパターン化は、本明細書においては詳細には記述されない。図2に示されるように、パターンは、フォトレジスト材料を現像してエッチングすることによってレジスト層140内に形成されうる。レジスト層140’の残りの部分は開口145によって分割されうる。レジスト層140’は、選択されたパターン化、或いはフォトリソグラフィー技術を使用して得られる最小のプリント可能なCDを有しうる。または、レジスト層140’は、所望のCDを得るために更なるエッチング或いはトリミングにかけてもよい。レジスト層140内のパターンは、ターゲット層110内の加工を形成するための第一および第二のスペーサとともに使用されうる。これらの加工は、配線、トレンチ溝、或いは他の電気デバイス素子を含みうるが、そのうちのいずれにも限定はされない。
レジスト層140’のフォトレジスト材料の現像及びエッチング後に残る部分は、図2に示される。レジスト層140’のサイドウォールは実質的に垂直の外観を有しうる。248nmのフォトレジスト材料はよいLERを有するため、レジスト層140’のサイドウォールは相対的に平滑でもありうる。このように、第一のスペーサが、サイドウォール上に形成される場合、以下に記述されるように、実質的に垂直な外観は維持されうる。レジスト層140’は、約xの幅、或いはCDを有しうるが、ここでxは、従来のリソグラフィー技術によってプリント可能な寸法である。このように、xは、レジスト層140をパターン化するために使用されるフォトリソグラフィー技術に依存する。レジスト層140’の隣接部分の間の空間は、図2ではBとして示されるが、約xの幅を有しうる。以下に記述されるように、Bは、xよりも広いくてもよいし、或いは狭くともよい。
図3に示されるように、第一のスペーサ層150は、無反射層130の上、ならびにレジスト層140’のサイドウォール及び上表面上に形成されうる。第一のスペーサ層150は、例えばALDによって、コンフォーマルに堆積されうる。しかしながら、第一のスペーサ層150が、所望の厚さにおいて実質的にコンフォーマルに堆積される限り、他の堆積技術が使用されてもよい。第一のスペーサ層150は、次の加工動作と適合性があり、無反射層130、中間層120及びターゲット層110に関して選択的にエッチング可能である材料から形成されうる。あくまで一例として、第一のスペーサ層150は、酸化シリコンのような酸化物、或いは窒化シリコンのような窒化物から形成されうる。使用されるフォトレジスト材料は、248nmフォトレジストであるため、レジスト層140’のサイドウォールは、第一のスペーサ層150がレジスト層140’に直接接触されうるのに十分低いLERを示しうる。しかしながら、更なるエッチングが、第一のスペーサ層150を堆積する前に、レジスト層140’のサイドウォールを平滑化するために使用されうる。第一のスペーサ層150の厚さは、最終的にターゲット層110上に形成されうる加工のCDとほぼ等しくなりうる。例えば、ターゲット層110上の加工が約x/3のCDを有する場合、第一のスペーサ層150は、約x/3に等しい厚さにおいて堆積されうる。
図4に示されるように、第一のスペーサ層150の一部は、レジスト層140’及び無反射層130の実質的に水平な表面上において除去され、一方、第一のスペーサ層150は、レジスト層140’の実質的に垂直な表面上において残りうる。このように、レジスト層140’の上表面及び無反射層130の一部は、露出されうる。このことは、第一のスペーサ層150を異方性エッチングすることによって実現され、レジスト層140’のサイドウォールに隣接する第一のスペーサ150’を形成する。エッチャントは、第一のスペーサ層150において使用される材料に依存して選択されうる。例えば、第一のスペーサ層150が酸化シリコンから形成されている場合、フッ化炭素の化学作用がエッチングを処理するために使用されうる。例示のためにのみ、第一のスペーサ層150は、テトラフルオロメタン(CF)、トリフルオロメタン(CHF)、或いは、酸化シリコンを選択的にエッチングするための他の従来のエッチャントを使用してエッチングされうる。第一のスペーサ150’は、レジスト層140’のサイドウォールと実施的に同一の垂直の外観を有しうる。第一のスペーサ150’は、x/3にほぼ等しい幅を有しうる。第一のスペーサ150’は、続いての無反射層130及び中間層120のエッチングのためのマスクとして機能しうる。
図5に示されるように、レジスト層140’は、第一のスペーサ150’に関して選択的に除去されうる。言い方を代えると、エッチング後に、第一のスペーサ150’は、無反射層130表面上に残りうる。レジスト層140’は、第一のスペーサ150’の間から除去され、無反射層130の下にある部分が露出される。レジスト層140’を除去することによって形成される空隙は、xにほぼ等しい幅を有しうる。レジスト層140’は、酸素ベースプラズマとともにドライエッチングプロセスを使用して、選択的にエッチングされうる。レジスト層140’の除去は、第一のスペーサ150’の垂直な外観を維持するため、高い異方性でありうる。
次に、図6に示されるように、無反射層130の露出部分及び中間層120の部分が除去されうる。レジスト層140’の下に在った箇所などの無反射層130の露出部分は、エッチングされうる。無反射層130のパターンは、その後に中間層120に転写される。第一のスペーサ150’はマスクとして機能し、下にある無反射層130’及び中間層120’の部分を保護する。無反射層130及び中間層120は、単一のエッチング化合物を利用してエッチングされるか、或いは、個別にエッチングされうる。無反射層130及び、中間層120のエッチングは従来技術によってなされうる。例えば、無反射層130が酸窒化シリコンから形成される場合、CF或いはジフルオロメタン(CH)のようなフッ化炭素、或いは臭化水素酸(HBr)のような酸の化学作用を含むプラズマエッチングが特に使用されうる。中間層120が透明カーボンから形成されている場合、窒素(N)、酸素(O)及び臭化水素酸を利用するプラズマエッチングが使用されうる。他の可能なプラズマ化学は、O及び二酸化硫黄(SO)を含む。無反射層130のエッチングは、第一のスペーサ150’の高さを減少させうる。しかしながら、中間層120のエッチングは、第一のスペーサ150’の高さに実質的に何の影響も与えない。
図7に示されるように、第一のスペーサ150’及び無反射層130’は除去され、ターゲット層110上に中間層120’を残す。第一のスペーサ150’及び無反射層130’は従来のエッチャントによって除去されうる。例えば、第一のスペーサ150’及び無反射層130’は、フッ化水素酸緩衝溶液とともにウェットエッチングによってエッチングされうる。または、第一のスペーサ150’及び無反射層130’は、無反射層130’をエッチングするために使用されたエッチング化合物によって除去されうる。
図8に示されるように、第二のスペーサ層160は、中間層120’上に形成されうる。スペーサ層160は、第一のスペーサ層150において使用された同一の材料から形成されうる。このように、第二のスペーサ層160は、スペーサ層150を形成するために使用された同一のプロセス設備を使用して形成されうる。このようにして、設備の数量及び付随する保守が軽減されうる。更に、同一の材料を使用することによって、異なる材料を現像し堆積するコストが削減される。第二のスペーサ層160は、約x/3に等しい厚さで、中間層120’上にコンフォーマルに堆積されうる。第二のスペーサ層160の材料をコンフォーマルに堆積することによって、中間層120’の隣接部分間の間隙が、約xから約x/3へと縮小されうる。
図9に示されるように、第二のスペーサ層160の一部は、第二のスペーサ160’を形成するために除去されうる。第二のスペーサ層160の実質的に水平な部分は、異方性エッチングによって除去されるが、一方、第二のスペーサ層160の実質的に垂直な部分が残り、第二のスペーサ160’を形成する。異方性エッチングは、中間層120’の上表面を露出しうる。第二のスペーサ160’は、約x/3に等しい幅を有しうる。第二のスペーサ層160の実質的に水平な部分は、第一のスペーサ層150の部分を除去することに関して上述されたような、従来の技術によって除去されうる。
図10に示されるように、中間層120’は、第二のスペーサ160’及びターゲット層110に関してこの層を選択的にエッチングすることによって除去されうる。中間層120’は、中間層120をエッチングするために使用されたのと同一のエッチング化合物を使用するように、従来の技術によってエッチングされうる。第二のスペーサ160’のサイドウォールは、実質的に垂直な外観を有しうる。第二のスペーサ160’は、約x/3の幅を有し、お互いに約x/3の距離によって隔てられうる。図10は、レジスト層140’の最初の幅x、及び、レジスト層140’の隣接部分間の最初の空間Bをも示している。このように、レジスト層140’の約三分の一の幅を有する、第二のスペーサ160’が、ターゲット層110上に形成されうる。ほぼ等しい空間が、隣接する第二のスペーサ160’間に実現されうる。第二のスペーサ160’を防食用マスクとして使用することによって、ターゲット層110はパターン化され、レジスト層140’のCDの約1/3のCDを有する加工を形成する。ターゲット層110は、従来技術によってパターン化されうるので、本明細書では詳細に関しては記述されない。
ターゲット層上に形成される加工のCDを更に縮小するため、更なるスペーサエッチングプロセスが使用されうる。例えば、第三(或いは更なる)スペーサが、中間層120’を除去した後に第二のスペーサ160’上に形成されうる。更なるスペーサは、ターゲット層110上に形成される加工のCDとほぼ等しい厚さを有しうる。更なるスペーサは、xのある割合に対応する厚さで堆積されうる。
ターゲット層110は、ダイナミックランダムアクセスメモリ(DRAMs)、スタティックランダムアクセスメモリ(SRAMs)、強誘電性メモリ(FE)、NAND及びNORフラッシュメモリ、電界効果トランジスタ(FETs)を伴うようなマイクロプロセッサ、フラットパネルディスプレイのような半導体デバイス内で使用されうる。例えば、ターゲット層110は、NANDフラッシュデバイスのセルを絶縁するシャロートレンチ分離(STI)を形成するためにエッチングされるウェハ基板でもよい。ターゲット層110は、トランジスタのゲートにパターン化されるポリシリコン層のような、導電性のアクティブ層であってもよい。ターゲット層110は、異なるアクティブ領域を接続する導電性配線としてパターン化される、アルミニウム、タングステン、チタン、或いは、銅のような金属層であってもよい。ターゲット層110をエッチングするのに加えて、或いは、ターゲット層110をエッチングする代わりに、材料は、第二のスペーサ160’間の空隙内を満たすターゲット層110上に堆積されてもよい。
上述のいかなる動作も、異なる層において使用される材料、及び、材料を形成及び除去するのに使用されるプロセスに依存して、他の動作と組み合わされうる。更に、上記のいかなる動作でも単一の動作を実現するために複数のプロセスを使用してもよい。どの動作の後にも、上述されていない更なる処理を行ってもよい。更なる処理の例は、イオン注入、拡散ドーピング、更なる層の堆積、ウェット或いはドライエッチング、及び化学的―機械的研磨である。あくまで一例として、いかなる動作後でも、エッチングは、露出された層の外観を狭く、平滑に、或いは改良するために使用されうる。
上述された方法を使用することによって、248nmフォトレジスト材料は、2種以上のスペーサエッチングプロセスと組み合わせて、ターゲット層110上に小さい加工を形成するために使用されうる。この加工は、248nmフォトレジストで従来可能だったCDのある割合のCDを有しうる。248nmフォトリソグラフィーは、この技術の限界内での解像度においてレジスト層140をパターン化するために使用されうる。この段階として、レジスト層140’の残りの部分は、ターゲット層110内に形成される加工のCDよりも大きなCDを有しうる。第一及び第二のスペーサを防食用マスクとして使用することによって、スペーサエッチングプロセスは、レジスト層140’の部分のCDの三分の一或いは四分の一のCDを有する加工を形成するために処理されうる。このように、この加工のCDは、193nmフォトリソグラフィーのような、より進んだフォトリソグラフィー技術を使用して実現されるCDよりも小さくなりうる。
上述された実施形態は、レジスト層140’のエッチングされる部分のCDと比較して、ターゲット層110上の加工のCD或いはピッチを縮小して形成するために使用されうる。例えば、図2に示されるように、レジスト層140’が248nmフォトレジストを使用して形成される場合、xが約120nmで、Bが約120nmで、合計で約240nmのピッチとなりうる。レジスト層140のパターン化及びスペーサエッチングプロセスの後、第二のスペーサ160’の幅は、図10に示されるように、約40nmであり、隣接する第二のスペーサ160’間の距離もまた約40nmに等しく、合計で約80nmのピッチとなりうる。しかしながら、レジスト層140’の幅は、約30nmから約150nmの範囲内でいかなる幅であってもよい。このように、約10nmから約50nmの幅を有する加工が、ターゲット層110内に形成されうる。
レジスト層140’の幅、レジスト層140’の隣接部分間の間隔及び堆積されたスペーサ層の厚さを調整することによって、CD或いはピッチのさらなる縮小がなされうる。本発明の実施形態は加工のCD、或いは最小のピッチを四分の一に縮小する方法をも含む。本発明に係る4倍のピッチ縮小方法の実施形態は、図11から図19に示される。本実施形態においては、前述の実施形態と、実質的に類似した材料及びプロセス動作が使用される。図11から図19に示された実施形態は、図1から10に示された実施形態とは、とりわけ次の点で異なっている。すなわち、レジスト層140’の幅が異なり、同様にレジスト層140’の隣接部分間の間隔が異なっている。更には、堆積されるスペーサ層の厚さが異なる。
図11は、レジスト層140’の残る部分が約3x/4の幅を有し、レジスト層140’の隣接部分間の間隔が約5x/4であるという点を除いては、図2に示された部分的に作製される集積回路デバイス100と実質的に同一なものを示す。レジスト層140は、本技術分野においては既知のように堆積及びパターン化されて、間隔を形成する。例示のためにのみ、レジスト層140は、レジスト層140’が約xの幅を有し、レジスト層140’の隣接部分間の間隔が約xであるように、パターン化されうる。レジスト層140’の部分は更にエッチング、及びトリミングされて、約3x/4の幅を有し、これによって、レジスト層140’の隣接部分間の間隔を約5x/4へと増加させる。
図12は、第一のスペーサ層150がレジスト層140’及び無反射層13上に形成されうることを示す。第一のスペーサ層150は、約x/4の厚さでコンフォーマルに堆積されうる。前述されたように、第一のスペーサ層150の厚さは、ターゲット層110上に形成される加工のCDに対応しうる。第一のスペーサ層150はALDによって堆積されうる。
図13は、例えば異方性エッチングによっての、レジスト層140’及び無反射層130の実質的な水平面からの第一のスペーサ層150の除去を示す。第一のスペーサ材料150は、レジスト層140’の実質的に垂直な表面上に残り、第一のスペーサ150’を形成する。第一のスペーサ150’は、約x/4に等しい厚さを有し、レジスト層140’の隣接部分間の間隔は、約3x/4へと縮小されうる。図14は、レジスト層140’が除去され、無反射層130の上に第一のスペーサ150’を残すことを示す。隣接する第一のスペーサ150’間の間隔は、約3x/4でありうる。図15は、無反射層130及び中間層120の部分が、第一のスペーサ150’をマスクとして使用することで除去されうることを示す。このように、第一のスペーサ150’の下にある無反射層130’及び中間層120’の一部は、このエッチングの間、保護されうる。図16は、第一のスペーサ150’及び無反射層130’が除去され、中間層120’をターゲット層110表面上に残すことを示す。中間層120’は、約x/4の幅を有し、中間層120’の隣接部分間の距離は、約3x/4でありうる。
図17は、第二のスペーサ層160が中間層120’部分の上に形成されうることを示す。第二のスペーサ層160は、ALDによって、約x/4の厚さでコンフォーマルに堆積されうる。
図18は、第二のスペーサ層160の実質的に水平部分が除去され、中間層120’の上表面及びターゲット層110の部分が露出されることを示す。第二のスペーサ層160の残る部分は、第二のスペーサ160’を形成しうる。中間層120’は、図19に示されるように除去されうる。第二のスペーサ160’は、約x/4の幅を有し、隣接する第二のスペーサ160’から約x/4の距離だけ分離されうる。隣接する第二のスペーサ160’間の間隔は、ターゲット層110上に形成されるレジスト層140’の四分の一のCDを有する加工を可能にする。第二のスペーサ160’をマスクとして使用して、ターゲット層110はパターン化され、ピッチにおいて所望の4倍の縮小された加工を形成する。
この4倍の縮小の本実施形態を使用して、248nmフォトレジストによって形成され、図11に示されるようなレジスト層140’が約90nm(3x/4=90nm)の幅を有し、約150nm(5x/4=150nm)の幅Bを有する場合、結果として生じる第二のスペーサ160’の幅は約30nmで、隣接する第二のスペーサ160’間の距離もまた約30nmに等しくなりうる。このように、4倍の縮小の本実施形態を使用して、レジスト層140’のCDの約四分の一のCDを有する加工が形成されうる。
このように、本発明の実施形態は、ターゲット層110内に、レジスト層140’の幅のCDの約三分の一、或いは四分の一のCDを有する加工を形成するために使用されうる。このように、約50nmよりも小さいCDを有する加工が、ターゲット層110内に形成されうる。本発明の実施形態を使用して形成される加工の最終的なCD,及び最終的なピッチには下限はない。例えば、第一及び第二のスペーサ層150、160の厚さはALDによって精密に制御されうるため、ターゲット層110内に形成される加工のCDは精密に制御されうる。
本発明は、特定の実施形態に関して記述されてきたが、本発明は上述のこれらの実施形態に限定されるものではない。もちろん、本発明は付随の請求項によってのみ限定され、それらは、上述されたような本発明の概念にしたがって動作する、本発明の範囲内の全ての均等な方法、プロセス、デバイス及びシステムを含む。

Claims (16)

  1. ターゲット層上に複数の加工を形成する方法であって、
    前記ターゲット層上に中間層を形成するステップと、
    前記中間層上に無反射層を形成するステップと、
    前記無反射層上にレジスト層を形成するステップと、
    記レジスト層に複数の開口を形成するステップと、
    前記レジスト層の複数部分の複数のサイドウォールに第一組のスペーサを形成するステップと、
    前記第一組のスペーサによってマスクされる複数部分を除いて前記ターゲット層の複数部分を露出するステップと、
    前記第一組のスペーサの下にある前記中間層の複数部分を露出するステップと、
    前記中間層の前記露出された複数部分のサイドウォール上に第二組のスペーサを形成するステップと、
    前記中間層の前記露出された複数部分を除去するステップと、
    前記ターゲット層の露出された複数部分に複数の加工を形成するステップと、
    を含む方法。
  2. 前記ターゲット層の露出された複数部分に複数の加工を形成するステップは、前記レジスト層内の前記複数の開口のクリティカルディメンジョンよりも小さなクリティカルディメンジョンを有する前記複数の加工を形成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  3. 前記レジスト層に複数の開口を形成するステップは、クリティカルディメンジョンとして隣接部分間の間隔xを有する前記複数の開口を形成するステップ、及びクリティカルディメンジョンとして幅xを有する前記レジスト層の複数部分を生成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  4. 前記ターゲット層の露出された複数部分に複数の加工を形成するステップは、幅x/3に等しいクリティカルディメンジョンを有する複数の加工を形成するステップを含む、
    ことを特徴とする、請求項3に記載の方法。
  5. 前記レジスト層に複数の開口を形成するステップは、隣接部分間の間隔5x/4のクリティカルディメンジョンを有する前記複数の開口を形成するステップと、幅3x/4のクリティカルディメンジョンを有する前記レジスト層の複数部分を生成するステップとを含む、
    ことを特徴とする、請求項1に記載の方法。
  6. 前記ターゲット層の露出された複数部分に複数の加工を形成するステップは、幅x/4に等しいクリティカルディメンジョンを有する複数の加工を形成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  7. 前記第一組のスペーサを形成するステップは、前記ターゲット層上に形成される複数の加工のクリティカルディメンジョンとほぼ等しい厚さにおいてスペーサ材料を堆積するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  8. 前記第一組のスペーサを形成するステップ、或いは第二組のスペーサを形成するステップは、前記第一組のスペーサ或いは前記第二組のスペーサを酸化シリコン或いは窒化シリコンから形成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  9. 前記第一組のスペーサを形成するステップは、前記レジスト層の残る複数部分上にスペーサ材料をコンフォーマルに堆積するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  10. 前記第一組のスペーサによってマスクされた複数部分を除いて前記ターゲット層の複数部分を露出するステップは、前記第一組のスペーサ間の前記レジスト層の残っている複数部分をエッチングするステップと、前記レジスト層の残っている複数部分の下にある前記無反射層及び前記中間層の複数部分をエッチングするステップとを含む、
    ことを特徴とする、請求項1に記載の方法。
  11. 前記第一組のスペーサの下にある前記中間層の複数部分を露出するステップは、前記第一組のスペーサを除去するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  12. 前記第一組のスペーサを形成するステップは、前記レジスト層の残る複数部分の前記複数のサイドウォール上に複数の垂直なスペーサを形成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  13. 前記ターゲット層の前記複数部分を露出する前に、前記レジスト層の残っている複数部分を除去するステップを更に含む、
    ことを特徴とする、請求項1に記載の方法。
  14. 前記中間層の複数部分を露出する前に、前記第一組のスペーサを除去するステップを更に含む、
    ことを特徴とする、請求項1に記載の方法。
  15. 前記第二組のスペーサを形成するステップは、前記第一組のスペーサと同一の材料から前記第二組のスペーサを形成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
  16. 前記ターゲット層の露出される複数部分内に複数の加工を形成するステップは、前記第一組のスペーサの厚さとほぼ等しいクリティカルディメンジョンを有する前記複数の加工を形成するステップを含む、
    ことを特徴とする、請求項1に記載の方法。
JP2009539423A 2006-11-29 2007-11-21 半導体デバイスのクリティカルディメンジョンを縮小する方法 Active JP5532303B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/606,613 2006-11-29
US11/606,613 US7807575B2 (en) 2006-11-29 2006-11-29 Methods to reduce the critical dimension of semiconductor devices
PCT/US2007/085371 WO2008067228A1 (en) 2006-11-29 2007-11-21 Methods to reduce the critical dimension of semiconductor devices and partially fabricated semiconductor devices having reduced critical dimensions

Publications (2)

Publication Number Publication Date
JP2010511306A JP2010511306A (ja) 2010-04-08
JP5532303B2 true JP5532303B2 (ja) 2014-06-25

Family

ID=39273348

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009539423A Active JP5532303B2 (ja) 2006-11-29 2007-11-21 半導体デバイスのクリティカルディメンジョンを縮小する方法

Country Status (7)

Country Link
US (3) US7807575B2 (ja)
EP (1) EP2095402B1 (ja)
JP (1) JP5532303B2 (ja)
KR (1) KR101091298B1 (ja)
CN (1) CN101542685B (ja)
TW (1) TWI356446B (ja)
WO (1) WO2008067228A1 (ja)

Families Citing this family (438)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US9460924B2 (en) * 2007-03-26 2016-10-04 GlobalFoundries, Inc. Semiconductor device having structure with fractional dimension of the minimum dimension of a lithography system
US7939451B2 (en) * 2007-06-07 2011-05-10 Macronix International Co., Ltd. Method for fabricating a pattern
US8143156B2 (en) * 2007-06-20 2012-03-27 Sandisk Technologies Inc. Methods of forming high density semiconductor devices using recursive spacer technique
CN101345190B (zh) * 2007-07-10 2012-05-23 旺宏电子股份有限公司 图案的形成方法
US8980756B2 (en) * 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
KR100965011B1 (ko) * 2007-09-03 2010-06-21 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
US8357618B2 (en) * 2007-10-26 2013-01-22 Applied Materials, Inc. Frequency doubling using a photo-resist template mask
US7989307B2 (en) * 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US8329385B2 (en) * 2008-06-10 2012-12-11 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device
JP5224919B2 (ja) * 2008-06-10 2013-07-03 株式会社東芝 半導体装置の製造方法
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
JP5336283B2 (ja) * 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US20100183957A1 (en) * 2009-01-21 2010-07-22 Seagate Technology Llc Method of Patterned Media Template Formation and Templates
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5425514B2 (ja) * 2009-04-16 2014-02-26 AzエレクトロニックマテリアルズIp株式会社 微細パターン形成方法
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8455364B2 (en) * 2009-11-06 2013-06-04 International Business Machines Corporation Sidewall image transfer using the lithographic stack as the mandrel
JP5574679B2 (ja) * 2009-11-17 2014-08-20 株式会社東芝 半導体装置の製造方法
US8354331B2 (en) * 2009-12-01 2013-01-15 International Business Machines Corporation Multiplying pattern density by single sidewall imaging transfer
US20110129991A1 (en) * 2009-12-02 2011-06-02 Kyle Armstrong Methods Of Patterning Materials, And Methods Of Forming Memory Cells
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
TWI412487B (zh) * 2009-12-31 2013-10-21 Huang Chung Cheng 奈米線結構的製造方法
JP2011233878A (ja) * 2010-04-09 2011-11-17 Elpida Memory Inc 半導体装置の製造方法
US20110294075A1 (en) * 2010-05-25 2011-12-01 United Microelectronics Corp. Patterning method
KR20110135136A (ko) * 2010-06-10 2011-12-16 주식회사 하이닉스반도체 반도체 장치의 극미세 패턴 형성을 위한 방법
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
KR101756226B1 (ko) * 2010-09-01 2017-07-11 삼성전자 주식회사 반도체 소자 및 그 반도체 소자의 패턴 형성방법
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US20120085733A1 (en) * 2010-10-07 2012-04-12 Applied Materials, Inc. Self aligned triple patterning
CN102064096B (zh) * 2010-12-03 2012-07-25 北京大学 一种细线条的制备方法
KR101225601B1 (ko) * 2010-12-16 2013-01-24 한국과학기술원 대면적 나노스케일 패턴형성방법
US8314034B2 (en) * 2010-12-23 2012-11-20 Intel Corporation Feature size reduction
US8901016B2 (en) * 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
KR20120077505A (ko) 2010-12-30 2012-07-10 삼성전자주식회사 비휘발성 반도체 메모리 장치 및 그 제조 방법
US20120175745A1 (en) * 2011-01-06 2012-07-12 Nanya Technology Corporation Methods for fabricating semiconductor devices and semiconductor devices using the same
JP5473962B2 (ja) * 2011-02-22 2014-04-16 東京エレクトロン株式会社 パターン形成方法及び半導体装置の製造方法
TWI484534B (zh) * 2011-03-09 2015-05-11 Winbond Electronics Corp 縮小間距之方法
JP5330440B2 (ja) * 2011-03-23 2013-10-30 株式会社東芝 半導体装置の製造方法
JP5395837B2 (ja) 2011-03-24 2014-01-22 株式会社東芝 半導体装置の製造方法
US8389383B1 (en) 2011-04-05 2013-03-05 Micron Technology, Inc. Patterned semiconductor bases, and patterning methods
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US20120280354A1 (en) * 2011-05-05 2012-11-08 Synopsys, Inc. Methods for fabricating high-density integrated circuit devices
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8809169B2 (en) * 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013101107A1 (en) * 2011-12-29 2013-07-04 Intel Corporation Double patterning lithography techniques
JP6108832B2 (ja) * 2011-12-31 2017-04-05 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
JP6155025B2 (ja) 2011-12-31 2017-06-28 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
CN103367156B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103367108B (zh) * 2012-03-31 2015-10-14 中芯国际集成电路制造(上海)有限公司 自对准双构图方法及其形成的图案
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8524605B1 (en) * 2012-04-16 2013-09-03 Vigma Nanoelectronics Fabrication and mask design methods using spatial frequency sextupling technique
US9005877B2 (en) * 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
FR2990794B1 (fr) * 2012-05-16 2016-11-18 Commissariat Energie Atomique Procede de realisation d'un substrat muni de zones actives variees et de transistors planaires et tridimensionnels
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9349595B2 (en) * 2012-07-11 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US8883646B2 (en) * 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9449839B2 (en) 2012-08-06 2016-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
CN103632928A (zh) * 2012-08-29 2014-03-12 中芯国际集成电路制造(上海)有限公司 自对准双重图形的形成方法
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
JP5829994B2 (ja) * 2012-10-01 2015-12-09 信越化学工業株式会社 パターン形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9378979B2 (en) 2012-11-20 2016-06-28 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices and devices fabricated thereby
KR20140064458A (ko) 2012-11-20 2014-05-28 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
US8889561B2 (en) * 2012-12-10 2014-11-18 Globalfoundries Inc. Double sidewall image transfer process
CN103904018B (zh) * 2012-12-24 2017-08-01 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9105295B2 (en) 2013-02-25 2015-08-11 HGST Netherlands B.V. Pattern tone reversal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8975187B2 (en) * 2013-03-15 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Stress-controlled formation of tin hard mask
US9721784B2 (en) * 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9153478B2 (en) 2013-03-15 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer etching process for integrated circuit design
CN104103574B (zh) * 2013-04-10 2017-12-29 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
KR20140137734A (ko) * 2013-05-23 2014-12-03 삼성디스플레이 주식회사 반사형 편광판 제조방법 및 인셀 반사형 편광판 제조방법
CN103325709B (zh) * 2013-05-28 2016-08-10 上海华力微电子有限公司 一种无氮介质抗反射层的离线检测方法
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9165770B2 (en) * 2013-09-26 2015-10-20 GlobalFoundries, Inc. Methods for fabricating integrated circuits using improved masks
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9123772B2 (en) * 2013-10-02 2015-09-01 GlobalFoundries, Inc. FinFET fabrication method
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8975129B1 (en) * 2013-11-13 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9070630B2 (en) * 2013-11-26 2015-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming patterns
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
KR102114965B1 (ko) * 2014-02-07 2020-05-26 삼성디스플레이 주식회사 반사형 편광판의 제조방법 및 반사형 편광판을 구비한 표시장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR102223035B1 (ko) 2014-03-05 2021-03-04 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103903972A (zh) * 2014-04-22 2014-07-02 上海华力微电子有限公司 一种小尺寸图形的制作方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9548201B2 (en) * 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
JP5869057B2 (ja) * 2014-06-30 2016-02-24 ウィンボンド エレクトロニクス コーポレーション 半導体記憶装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6366454B2 (ja) * 2014-10-07 2018-08-01 東京エレクトロン株式会社 被処理体を処理する方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9685332B2 (en) * 2014-10-17 2017-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Iterative self-aligned patterning
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102339781B1 (ko) 2014-12-19 2021-12-15 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9754785B2 (en) 2015-01-14 2017-09-05 Samsung Electronics Co., Ltd. Methods of manufacturing semiconductor devices
KR102327667B1 (ko) * 2015-01-14 2021-11-17 삼성전자주식회사 반도체 소자의 제조 방법
KR102343859B1 (ko) 2015-01-29 2021-12-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
JP6559430B2 (ja) * 2015-01-30 2019-08-14 東京エレクトロン株式会社 被処理体を処理する方法
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9576817B1 (en) 2015-12-03 2017-02-21 International Business Machines Corporation Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US9620380B1 (en) * 2015-12-17 2017-04-11 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
KR102449195B1 (ko) 2015-12-18 2022-09-29 삼성전자주식회사 반도체 소자 및 그 반도체 소자의 제조 방법
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10157742B2 (en) * 2015-12-31 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for mandrel and spacer patterning
KR102398664B1 (ko) * 2016-01-26 2022-05-16 삼성전자주식회사 반도체 소자의 제조 방법
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9852917B2 (en) * 2016-03-22 2017-12-26 International Business Machines Corporation Methods of fabricating semiconductor fins by double sidewall image transfer patterning through localized oxidation enhancement of sacrificial mandrel sidewalls
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9882028B2 (en) * 2016-06-29 2018-01-30 International Business Machines Corporation Pitch split patterning for semiconductor devices
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
CN107968046B (zh) * 2016-10-20 2020-09-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102301850B1 (ko) 2016-11-24 2021-09-14 삼성전자주식회사 액티브 패턴 구조물 및 액티브 패턴 구조물을 포함하는 반도체 소자
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
TW201917775A (zh) * 2017-07-15 2019-05-01 美商微材料有限責任公司 用於利用放大的epe窗口切割圖案流程的遮罩方案
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
WO2019090762A1 (zh) * 2017-11-13 2019-05-16 吴展兴 半导体结构及其形成方法
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102460716B1 (ko) 2017-12-26 2022-10-31 삼성전자주식회사 집적회로 소자의 제조 방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10818505B2 (en) * 2018-08-15 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned double patterning process and semiconductor structure formed using thereof
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10727058B2 (en) 2018-08-20 2020-07-28 Applied Materials, Inc. Methods for forming and etching structures for patterning processes
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11355342B2 (en) * 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309838B (zh) * 2019-07-31 2023-07-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11024511B1 (en) 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11532628B2 (en) * 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748237A (en) * 1980-09-05 1982-03-19 Nec Corp Manufacture of 2n doubling pattern
JPS63142665A (ja) * 1986-12-05 1988-06-15 Oki Electric Ind Co Ltd 半導体装置の製造方法
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
JPH0677180A (ja) * 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
JPH0855920A (ja) * 1994-08-15 1996-02-27 Toshiba Corp 半導体装置の製造方法
JPH0855908A (ja) * 1994-08-17 1996-02-27 Toshiba Corp 半導体装置
US5795830A (en) * 1995-06-06 1998-08-18 International Business Machines Corporation Reducing pitch with continuously adjustable line and space dimensions
US6063688A (en) * 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6110837A (en) 1999-04-28 2000-08-29 Worldwide Semiconductor Manufacturing Corp. Method for forming a hard mask of half critical dimension
US6239008B1 (en) 1999-09-29 2001-05-29 Advanced Micro Devices, Inc. Method of making a density multiplier for semiconductor device manufacturing
US6362057B1 (en) 1999-10-26 2002-03-26 Motorola, Inc. Method for forming a semiconductor device
JP3811323B2 (ja) * 1999-11-30 2006-08-16 シャープ株式会社 量子細線の製造方法
US6667237B1 (en) 2000-10-12 2003-12-23 Vram Technologies, Llc Method and apparatus for patterning fine dimensions
JP2002280388A (ja) * 2001-03-15 2002-09-27 Toshiba Corp 半導体装置の製造方法
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
DE10207131B4 (de) 2002-02-20 2007-12-20 Infineon Technologies Ag Verfahren zur Bildung einer Hartmaske in einer Schicht auf einer flachen Scheibe
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
KR20040025289A (ko) * 2002-09-19 2004-03-24 삼성전자주식회사 고밀도 스토리지 패턴 형성방법
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
WO2006070474A1 (ja) * 2004-12-28 2006-07-06 Spansion Llc 半導体装置の製造方法
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7291560B2 (en) * 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7611980B2 (en) * 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices

Also Published As

Publication number Publication date
CN101542685B (zh) 2011-09-28
US20130009283A1 (en) 2013-01-10
KR101091298B1 (ko) 2011-12-07
WO2008067228A1 (en) 2008-06-05
US20110006402A1 (en) 2011-01-13
WO2008067228B1 (en) 2008-07-24
US8338304B2 (en) 2012-12-25
EP2095402B1 (en) 2016-04-06
KR20090090327A (ko) 2009-08-25
EP2095402A1 (en) 2009-09-02
US20080122125A1 (en) 2008-05-29
JP2010511306A (ja) 2010-04-08
CN101542685A (zh) 2009-09-23
TWI356446B (en) 2012-01-11
US8836083B2 (en) 2014-09-16
US7807575B2 (en) 2010-10-05
TW200834660A (en) 2008-08-16

Similar Documents

Publication Publication Date Title
JP5532303B2 (ja) 半導体デバイスのクリティカルディメンジョンを縮小する方法
US20220254644A1 (en) Pitch Reduction Technology Using Alternating Spacer Depositions During the Formation of a Semiconductor Device and Systems Including Same
US7855148B2 (en) Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US10073342B2 (en) Method of forming patterns
US10043760B2 (en) Registration mark formation during sidewall image transfer process
JP5545524B2 (ja) 効率的なピッチマルチプリケーションプロセス
KR100921588B1 (ko) 포토리소그래피의 피쳐들에 관련된 감소된 피치를 갖는패턴들
US7115525B2 (en) Method for integrated circuit fabrication using pitch multiplication
US10825690B2 (en) Semiconductor structures
US8685859B2 (en) Self-aligned semiconductor trench structures
US20080113483A1 (en) Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
CN104733291A (zh) 用于集成电路图案化的方法
US8728945B2 (en) Method for patterning sublithographic features
KR20170042056A (ko) 반도체 소자의 패턴 형성 방법
KR100503852B1 (ko) eDRAM 보조 디바이스 노치 게이트의 설계 방법
US7666800B2 (en) Feature patterning methods
CN114334619A (zh) 半导体结构的形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120928

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121009

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121227

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130402

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130621

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20130621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140224

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140224

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140325

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140409

R150 Certificate of patent or registration of utility model

Ref document number: 5532303

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250