KR20110042225A - 가변 열 저항을 가진 웨이퍼 캐리어 - Google Patents

가변 열 저항을 가진 웨이퍼 캐리어 Download PDF

Info

Publication number
KR20110042225A
KR20110042225A KR1020117005783A KR20117005783A KR20110042225A KR 20110042225 A KR20110042225 A KR 20110042225A KR 1020117005783 A KR1020117005783 A KR 1020117005783A KR 20117005783 A KR20117005783 A KR 20117005783A KR 20110042225 A KR20110042225 A KR 20110042225A
Authority
KR
South Korea
Prior art keywords
wafer
wafer carrier
carrier
floor
pocket
Prior art date
Application number
KR1020117005783A
Other languages
English (en)
Other versions
KR101294129B1 (ko
Inventor
보리스 볼프
브레이드 소더만
에릭 에이. 아모르
Original Assignee
비코 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 인스트루먼츠 인코포레이티드 filed Critical 비코 인스트루먼츠 인코포레이티드
Publication of KR20110042225A publication Critical patent/KR20110042225A/ko
Application granted granted Critical
Publication of KR101294129B1 publication Critical patent/KR101294129B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

화학 증기 증착 장치에서, 웨이퍼 캐리어(32)는 웨이퍼를 유지하는 상부 표면(34) 및 가열 소자(28)로부터의 복사열 전달에 의해 가열되는 하부 표면(36)을 포함한다. 웨이퍼 캐리어의 하부 표면(36)은 오목부(54)와 같은 특징부가 있어서 비평면으로 되어 있고 이에 따라 웨이퍼 캐리어는 다양한 위치에서 두께가 상이하다. 웨이퍼 캐리어의 두꺼운 부분은 열 저항이 높다. 다양한 위치에서의 열 저항의 차이는 웨이퍼로의 바람직하지 않은 비균일적인 열 전달을 상쇄한다. 웨이퍼 캐리어는 포켓을 구비하는데 이 포켓에는 웨이퍼의 에지 상에서 공간을 두고 떨어진 위치를 맞물리게 하는 돌출부(553, 853)가 있다.

Description

가변 열 저항을 가진 웨이퍼 캐리어{WAFER CARRIER WITH VARYING THERMAL RESISTANCE}
본 발명은 웨이퍼 처리 장치, 이러한 웨이퍼 처리 장치에서 사용하기 위한 웨이퍼 캐리어, 및 웨이퍼 처리의 방법에 관한 것이다.
많은 반도체 장치는 기판 위에 반도체 재료의 에피택셜 성장에 의해 형성된다. 기판은 통상적으로 디스크 형태의 결정 재료이며, 흔히 "웨이퍼"라고 한다. 예를 들어, Ⅲ-Ⅴ 반도체와 같은 화합물 반도체로 형성된 장치는 금속 유기 화학 증기 증착 또는 "MOCVD"를 사용해서 화합물 반도체의 층을 연속으로 성장시켜 형성된다. 이 프로세스에서, 웨이퍼는 통상적으로 금속 유기 화합물 및 V족 원소의 소스를 포함하는 가스의 조합에 노출되는 데, 이 가스의 조합은 상승한 온도에서 웨이퍼가 유지되고 있는 동안 웨이퍼의 표면 위를 넘쳐 흐른다. Ⅲ-Ⅴ 반도체의 일례는 질화 갈륨인데, 이것은 적절한 결정 격자 공간을 가지는 기판, 예를 들어 사파이어 기판 위에 유기 갈륨 화합물 및 암모니아의 반응으로 형성될 수 있다. 통상적으로, 웨이퍼는 질화 갈륨 및 관련 화합물을 증착하는 동안 500-1100℃ 정도의 온도에서 유지된다.
결정 구조 및 반도체의 밴드갭을 변화시키기 위해 다른 Ⅲ족 및 Ⅴ족 원소를 추가하는 것과 같이 약간 상이한 반응 조건 하에서, 웨이퍼의 표면 위에 다양한 층을 연속해서 증착함으로써 콤포지트 디바이스(composite device)를 제조할 수 있다. 예를 들어, 질화 갈륨 기반의 반도체에서, 인듐, 갈륨 또는 이 두 재료 모두를 비율을 바꿀 때 사용하여 반도체의 밴드갭을 변화시킬 수 있다. 또한, p-형 또는 n-형 불순물(dopant)을 첨가하여 각각의 층의 도전율을 제어할 수 있다. 모든 반도체 층이 형성된 후, 그리고 절절한 전기적 접촉부가 적용된 후, 반도체를 개개의 디바이스로 컷팅한다. 발광 다이오드("LED")와 같은 디바이스, 레이저, 및 그외 전자 디바이스 및 광전자 디바이스를 이러한 방식으로 제조할 수 있다.
통상적인 화학 진공 증착 프로세스에서는, 흔히 웨이퍼 캐리어라 하는 디바이스 위에 많은 층을 유지하는데, 각각의 웨이퍼의 상부 표면이 웨이퍼 캐리어의 상부 표면에서 노출되도록 유지한다. 그런 다음 웨이퍼 캐리어는 반응실에 배치되고 가스 혼합물이 웨이퍼 캐리어의 표면 위를 넘쳐 흐르는 동안 원하는 온도에서 유지된다. 프로세스 동안에는, 웨이퍼 캐리어 위의 다양한 웨이퍼의 상부 표면의 모든 포인트에서 일정한 조건을 유지하는 것이 중요하다. 반응 가스의 조성(composition) 및 웨이퍼 표면의 온도에서의 미세한 변동조차도 제조된 디바이스의 속성에 원하지 않는 변동을 일으킨다. 예를 들어, 갈륨 및 인듐 질화물 층이 증착되는 경우, 웨이퍼 표면 온도가 변화하면 증착된 층의 조성 및 밴드갭에 변동이 생긴다. 인듐은 상대적으로 높은 진공 압력을 가지고 있기 때문에, 증착된 층에서는 인듐의 비율이 낮으며, 웨이퍼의 표면 온도가 높을수록 웨이퍼의 이러한 영역들에서는 밴드갭이 높다. 증착된 층이 액티브(active)이면, LED 구조의 발광층, 웨이퍼로 형성된 LED의 방출 파장도 가변하게 될 것이다. 그러므로 종래부터 일정한 조건을 유지하기 위해 상당한 노력을 기울여 왔다.
산업에서 폭넓게 사용되고 있는 한 종류의 CVD 장치는, 웨이퍼 유지 영역이 많이 있고 각각의 웨이퍼 유지 영역이 하나의 웨이퍼를 유지하도록 구성되어 있는, 큰 디스크 형태의 웨이퍼 캐리어를 사용한다. 웨이퍼의 노출된 표면이 가스 분배 소자 쪽으로 상향 대향하도록 웨이퍼 캐리어는 반응실 내의 스핀들(spindle)에서 지지받는다. 스핀들이 회전하고 있는 동안, 가스가 웨이퍼 캐리어의 상부 표면에 하향으로 향하여 웨이퍼 캐리어의 주변 쪽으로 상부 표면을 횡단하여 흐르게 된다. 사용된 가스는 웨이퍼 캐리어 아래에 배치된 포트를 통해 반응실을 빠져나간다. 웨이퍼 캐리어의 하부 표면 아래에 설치된 가열 소자, 통상적으로 전기 저항성 가열 소자에 의해 웨이퍼 캐리어는 원하는 상승 온도에서 유지된다. 이러한 가열 소자는 웨이퍼 캐리어의 원하는 온도보다 높은 온도에서 유지되는 반면, 가스 분배 소자는 원하는 통상적으로 반응 온도보다 훨씬 낮은 온도에서 유지되어 가스의 사전 반응(premature reaction)을 방지한다. 그러므로 열은 저항성 가열 소자로부터 웨이퍼 캐리어의 하부 표면으로 전달되고 이 웨이퍼 캐리어를 통해 하향으로 흘러 개개의 웨이퍼로 전달된다.
해당 기술분야에서 종래부터 이러한 시스템을 최적으로 설계하기 위해 상당한 노력을 기울여 왔으나, 여전히 추가의 개선 사항이 요망되고 있다. 특히, 각각의 웨이퍼의 표면 및 전체 웨이퍼 캐리어에 걸쳐 온도를 더욱 일정하게 유지하는 것이 바람직하다.
본 발명의 목적은 화학 진공 증착 장치를 제공하는 것이다.
본 발명의 이러한 관점에 따른 장치는, 반응실(reaction chamber), 상기 반응실과 연결되어 있는 가스 입구부(gas inlet structure), 및 상기 반응실 내에 장착된 가열 소자를 포함한다. 본 발명의 이러한 관점에 따른 장치는 웨이퍼 캐리어를 포함하며, 상기 웨이퍼 캐리어는 서로 반대편에서 대향하는 상부 표면 및 하부 표면을 가지는 본체를 포함한다. 상기 웨이퍼 캐리어는 상기 가열 소자에서 생성된 열이 원리적으로 복사(radiation)에 의해 상기 가열 소자로부터 상기 본체의 하부 표면으로 전달되도록 상기 반응실 내에 장착되어 있다. 예를 들어, 상기 웨이퍼 캐리어는 상기 가열 소자보다 위에 장착되며 상기 본체의 하부 표면은 상기 가열 소자에 직면한다. 상기 웨이퍼 캐리어의 본체는 복수의 웨이퍼 유지 영역 및 각각의 웨이퍼 유지 영역에서 웨이퍼 지지부(wafer supprot)를 포함한다. 각각의 상기 웨이퍼 지지부는 상기 웨이퍼의 상부 표면이 상기 본체의 상부 표면에 노출되어 있는 웨이퍼를 유지하도록 구성되어 있다. 더 구체적으로, 상기 본체의 하부 표면은 상기 본체의 두께가 가변하도록 비평면(non-planar)이다. 상세히 후술되는 바와 같이, 두께가 차이가 나면 웨이퍼를 통한 수직 방향으로의 열 전도에 대한 저항이 차이가 난다. 바람직하게는, 상기 웨이퍼 캐리어의 상부 표면상의 한 위치와 상기 가열 소자 간의 총 열 저항(aggregate thermal resistance)은 상기 한 위치에서 상기 본체의 두께에 따라 직접적으로 가변한다.
상세히 후술되는 바와 같이, 이러한 열 저항의 차이를 사용하여, 웨이퍼 캐리어와 웨이퍼 간의 열 전달의 비균일성을 일으키는 웨이퍼의 구부러짐과 같은 인자들을 보상할 수 있다. 비평면 하부 표면 및 그에 따른 열 저항의 차이도 사용하여, 웨이퍼 및 웨이퍼 캐리어의 상부 표면에서의 비균일 온도 분배와 같은 그외의 원인도 상쇄할 수 있다.
본 발명의 다른 관점은 웨이퍼 처리 방법을 제공한다. 본 발명의 이 관점에 따른 방법은, 웨이퍼 캐리어가 각각의 웨이퍼 유지 영역 내에서 가변 열 전도율을 가지는 상태에서, 각각의 웨이퍼가 웨이퍼 캐리어의 웨이퍼 유지 영역 내에 배치되고 웨이퍼 캐리어의 상부 표면에서 노출되도록 웨이퍼 캐리어 위에 하나 이상의 웨이퍼를 장착하는 단계를 포함한다. 상기 방법은 또한 (b) 상기 웨이퍼 캐리어를 통해 전달된 열이 상기 웨이퍼들에서 상승된 온도에서 유지되도록 상기 웨이퍼 캐리어의 하부 표면을 가열하는 단계를 포함한다. 상기 웨이퍼들이 상기 상승된 온도에서 유지되고 있는 동안, 상기 웨이퍼들의 노출된 표면에 영향을 미치도록 반응 가스를 적용할 수 있는데, 예를 들어 노출된 표면 위에 증착을 형성한다. 본 발명의 이 관점에 따른 방법에서, 상기 반응 가스를 적용하는 단계 동안 상기 웨이퍼들이 구부러진다. 상기 구부러짐은 각각의 웨이퍼 내에서 웨이퍼 캐리어로부터의 열 전달의 비균일성(uniformity)을 일으킨다. 구체적으로, 상기 웨이퍼 캐리어의 상기 가변 열 전도율은 상기 구부러짐에 의해 생기는 열 전달의 비균일성을 적어도 부분적으로 보상한다.
본 발명의 또 다른 관점은 웨이퍼 캐리어를 제공한다. 본 발명의 이러한 관점에 따른 웨이퍼 캐리어는, 서로 반대편에서 대향하는 상부 표면 및 하부 표면을 가지는 본체 및 상기 상부 표면과 상기 하부 표면 사이를 연장하는 중심 축을 포함한다. 상기 본체의 상부 표면은 주변 벽(peripheral wall)을 각각 가지는 복수의 포켓을 포함한다. 상기 복수의 포켓의 주변 벽은 상기 웨이퍼 캐리어의 중심 축으로부터 원격의 상기 주변 벽의 한 영역에서 공간을 두고 떨어져 있는 복수의 돌출부(projection)를 가지며, 상기 복수의 돌출부는 상기 포켓 내에 배치된 웨이퍼의 에지의 공간을 두고 떨어져 있는 부분들과 맞물리도록 되어 있다. 상세히 후술되는 바와 같이, 이러한 복수의 돌출부에 의해 웨이퍼의 에지와 주변 벽 간의 접촉이 최소화되어 웨이퍼를 포켓의 중앙에 집중되도록 한다. 이러한 효과는 또한 각각의 웨이퍼의 상부 표면에 걸쳐 온도가 더 일정하게 유지되도록 한다.
이러한 특징 및 다른 특징 및 이점은 첨부된 도면과 결합하여, 이하에 서술된 상세한 설명으로부터 더 분명하게 될 것이다.
도 1은 본 발명의 일실시예에 따른 화학 증기 증착 장치를 나타내는 간략화된 개략 단면도이다.
도 2는 도 1의 장치에서 사용되는 웨이퍼 캐리어의 개략 평면도이다.
도 3은 도 2의 라인 3-3을 따라 절취한, 웨이퍼와 결합하는 웨이퍼 캐리어를 나타내는 부분 개략도이다.
도 4 내지 도 7은 도 3과 유사하되 본 발명의 다른 실시예들에 따른 웨이퍼 캐리어를 나타내는 도면이다.
도 8은 본 발명의 또 다른 실시예에 따른 웨이퍼 캐리어의 일부를 나타내는 부분 개략 평면도이다.
도 9는 도 8의 라인 9-9를 따라 절취한 부분 단면도이다.
도 10, 도 11 및 도 12는 본 발명의 추가의 실시예에 따른 웨이퍼 캐리어를 나타내는 부분 개략 단면도이다.
도 13은 도 12에 도시된 웨이퍼 캐리어의 부분 개략 평면도이다.
도 14는 도 13에 나타난 영역을 확대하여 나타내는 부분 개략 평면도이다.
도 15는 본 발명의 또 다른 실시예에 따른 웨이퍼 캐리어를 나타내는 부분 개략 단면도이다.
본 발명의 일실시예에 따른 화학 증기 증착 장치는 반응실(10) 및 이 반응실의 한 단부에 배치된 가스 분배 소자(12)를 포함한다. 이 가스 분배 소자(12)가 있는 단부를 여기서는 반응실(10)의 "상부" 단부라 부른다. 반응실의 이 단부는 통상적으로 기준의 정규 중력 좌표(normal gravitational frame of frame)에서 반응실의 상부에 배치되지만, 반드시 그런 것은 아니다. 그러므로 여기서 사용되는 바와 같은 하향(downward direction)은 가스 분배 소자(12)로부터 멀어지는 방향을 말하며, 반면에 상향(upward direction)은 반응실 내에서 가스 분배 소자(12) 쪽의 방향을 말하며, 이러한 방향들은 중력 상향 및 하향 방향으로 정렬되는 지의 여부와는 관계없다. 마찬가지로, 소자의 "상부" 및 "하부" 표면은 반응실(10) 및 가스 분배 소자(12)의 기준의 정규 중력 좌표를 참조해서 설명된다. 가스 분배 소자(12)는 CVD 프로세스에서 사용되는, 캐리어 가스 및 불순물 가스(reactant gas)와 같은 가스의 소스(14)에 연결되어 있는데, 이러한 가스들의 예로는 그룹 Ⅲ 금속, 통상적으로 금속유기 화합물의 소스, 및 예를 들어 암모니아와 같은 그룹 Ⅴ 원소 또는 다른 그룹 V 수소화물의 소스를 들 수 있다. 가스 분배 소자는 다양한 가스들을 받아들여 이러한 가스들의 흐름을 대체로 하향으로 지향시키도록 배치되어 있다. 가스 분배 소자(12)는 또한 냉각 시스템(16)에도 연결되어 있는데, 이 냉각 시스템(16)은 가스 분배 소자를 통해 액체를 순환시켜 동작 동안 소자의 온도를 원하는 온도로 유지하는 역할을 한다. 반응실(10)은 또한 배기 시스템(exhaust system)(18)을 구비하는데, 이 배기 시스템은 반응실의 하부 또는 하부 근처에 있는 포트(도시되지 않음)를 통해 사용된 가스를 반응실의 내부로부터 제거하도록 배치되어, 가스 분배 소자로부터 하향으로 가스가 지속적으로 흐를 수 있도록 한다.
반응실 내에는 스핀들(20)이 배치되어 있는데, 이 스핀들의 중심축(22)은 상향 및 하향으로 연장하고 있다. 스핀들의 선단부에는, 즉 가스 분배 소자(12)에 가장 가까이에 있는 스핀들의 단부에는 조정부(fitting)(24)가 있다. 설명하고 있는 특정한 실시예에서, 조정부(24)는 대체로 원뿔형 소자이다. 스핀들(20)은 전기 모터 구동과 같은 회전 구동 메커니즘(26)에 연결되어 있는데, 이러한 회전 구동 메커니즘은 축(22)을 중심으로 스핀들을 회전시키도록 배치되어 있다. 반응실 내부에는 가열 소자(28)가 장착되어 있는데, 조정부(23) 아래에서 스핀들(20)을 에워싼다. 전술한 소자들은 종래의 구조로 이루어질 수 있다. 예를 들어, 적절한 반응실은 본 발명의 양수인인, 미국, 뉴욕, 플레이뷰에 소재하는 Veeco Instruments, Inc에 의해 등록상표 TURBODISC로 시판되고 있다.
도 1에 도시된 동작 조건에서, 웨이퍼 캐리어(32)는 스핀들의 조정부(24) 상에 장착되어 있다. 웨이퍼 캐리어는 본체가 대체로 원반 디스크 형태인 구조로 되어 있다. 본체는 비금속 내부식성 재료로 이루어진 일체식 슬랩(monolithic slab)으로서 형성되는데, 이러한 재료로는, 예를 들어, 탄화 실리콘, 질화 붕소, 탄화 붕소, 질화 알루미늄, 알루미나, 사파이어, 수정, 그래파이트, 및 이것들의 조합으로 이루어지는 그룹으로부터 선택된 재료를 들 수 있으며, 이러한 재료들은 예를 들어, 탄화물, 질화물, 산화물로서 내부식성 코팅이 되어 있기도 하고 되어 있지 않기도 하다. 웨이퍼 캐리어의 본체는 여기서 "상부" 표면(34)이라고 하는 제1 주 표면 및 여기서 "하부" 표면(36)이라고 하는 제2 주 표면으로 이루어져 있다. 웨이퍼 캐리어의 구성은 또한 조정부(38)를 가지는데, 이 조정부(38)는 스핀들의 조정부(24)와 맞물려서 스핀들 위에 웨이퍼 캐리어의 본체를 유지시키도록 배치되어 있는데, 상부 표면(34)이 가스 분배 소자(12)와 상향으로 대향하고 하부 표면(36)이 가열 소자(28) 쪽으로 가스 분배 소자로부터 멀어지게 하향 대향한다. 단순히 예시적으로는, 웨이퍼 캐리어 본체는 직경이 약 465 mm이고, 상부 표면(34)과 하부 표면(32) 간의 캐리어의 두께는 15.9 mm 정도이다. 도시된 특정한 실시예에서, 조정부(38)는 본체의 하부 표면(32)에 원뿔대형 오목부(frustoconical deprerssion)로서 형성되어 있다. 그렇지만, 공동 양도되어 계류 중이고, 본 발명에 원용되는 미국특허공개 No. 2009-0155028 A1에 개시되어 있는 바와 같이, 그 구조는 본체와는 별도로 형성되는 허브를 포함할 수 있고 조정부가 이러한 허브에 일체화될 수 있다. 또한, 조정부의 구성은 스핀들의 구성에 따라 다르게 된다.
웨이퍼 캐리어(32)는 많은 개별의 웨이퍼 유지 영역(wafer-holding region)(40)을 구비하는데 이러한 영역이 도 1 내지 도 3에 파선으로 표시되어 있다. 많은 개별의 웨이퍼 유지 영역을 설명의 편의상 도 1 내지 도 3에 파선으로 구획하여 도시하고 있지만, 통상적으로, 인접하는 웨이퍼 유지 영역들을 분별할 수 있는 물리적 경계는 존재하지 않는다. 웨이퍼 캐리어의 상부 표면(34)은 다양한 웨이퍼 유지 영역으로 연장하는 연속적인 주요부(35)를 포함한다. 주요부(35)는 대체로 편평할 수 있다. 각각의 웨이퍼 연장 영역은 개별의 웨이퍼(42)를 유지하도록 구성된 웨이퍼 지지부를 포함한다. 도 1 내지 도 3에 도시된 특정한 실시예에서, 각각의 웨이퍼 유지 영역 내의 웨이퍼 지지부는 상부 표면(34)의 주요부로부터 웨이퍼 캐리어의 본체로 연장하는 원형 포켓(44)을 포함하며, 이러한 각각의 원형 포켓은 주요부(35)에 의해 규정되는 평균적인 수준의 상부 표면(34)보다 오목한 플로어 표면(floor surface)(46)을 가진다. 본 실시예에서, 플로어 표면(46)은 명목상으로 편평한 표면이지만 이상적으로는 정확하게 편평해야 한다. 그렇지만, 실제의 제조 허용 공차(tolerance)는 통상적으로 완벽하게 편평한 평면으로부터의 그 최대 편평도(flatness)가 0.0005 인치(13㎛)로 제한되는데 이러한 편차는 플로어 표면을 오목하게 한다. 본 명세서에서 사용되는 바와 같이, "실질적으로 편평한"이라는 말은 약 30㎛ 이내로 편평한 평면을 말하는 것으로 이해해야 한다. 플로어 표면(46)은 중심 축(46)이 상부 표면(34)의 일반 평면(general plane)에 실질적으로 수직인 원반의 형태로 되어 있다. 플로어 표면(46)을 지지 선반부(support ldege)(50))가 에워싸는데, 이 지지 선반부(50)에는 플로어 표면(46)보다 약간 상승되어 있는 상향 대향 표면(upwardly facing surface)이 있다. 지지 선반부(50)는 플로어 표면을 둘러싸면서 중심 축(48)과 동심을 이루는 루프의 형태로 되어 있다. 도시된 실시예에서, 각각의 포켓은 직경이 약 2 인치(50.8 mm)인 웨이퍼를 수용하도록 배치되어 있다. 명목상의 2 인치(5cm) 웨이퍼 직경에 있어서, 지지 선반부(50)의 상향 대향 표면은 약 20 ㎛ 내지 약 100 ㎛ 정도로 거리 D46 를 두고 있고 바람직하게는 20-50㎛이며, 지지 선반부의 폭 W50은 약 0.5 - 0.7 mm이면 된다. 더 큰 웨이퍼를 지지하기 위해 포켓을 더 크게 하는 경우에는, 이러한 치수들이 통상적으로 더 크게 될 것이다. 지지 선반부(50)의 표면은 플로어 표면(46)의 평면에 평행한 평면에 바람직하게 배치된다. 지지 선반부(50)는 또한 웨이퍼 캐리어의 상부 표면(34)의 주요부(35)보다 아래에 오목하게 되어 있다. 상부 표면(34)으로부터 지지 선반부의 상향 대향 표면까지의 거리 D50은 처리될 웨이퍼의 두께보다 두꺼운 약 75-175 ㎛이다. 예를 들어, 명목상의 직경이 2 인치이고 명목상의 두께가 430 nm인 사파이어 웨이퍼를 처리하도록 배치된 웨이퍼 캐리어에서는, D50이 약 500-600 ㎛이면 된다.
벽(52)은 지지 선반부(50)의 전체 주변부의 주위에서 그에 따라 결국은 포켓(44)의 전체 주변부 주위에서, 지지 선반부(50)로부터 웨이퍼 캐리어의 상부 표면(34)으로 상향으로 연장한다. 벽(52)은 중심 축(48) 쪽으로 내측으로 각도 A로, 통상적으로 약 10정도 경사져 있다. 그러므로 벽(52)은 원뿔의 원뿔대(frustum)의 형태로 되어 있다.
웨이퍼 캐리어 본체(320의 하부 표면(36)은 각각의 웨이퍼 유지 영역(40) 내에 비편평성(nonplanarity)이 있다는 것을 제외하곤 대체적으로 편평한데, 본 실시예에서 상기 비편평성은 하부 표면으로부터 웨이퍼 캐리어 본체(32) 쪽으로 연장하는 대체적으로 원뿔형인 오목부(54)를 말한다. 본 실시예에서, 각각의 오목부는 약 120°의 각도 α를 포함하면서 깊이가 3-6 mm 정도인데, 통상적으로 약 4-5 mm이다. 각각의 웨이퍼 유지 영역(40)에서의 오목부(54)는 그 영역에서의 플로어 표면(46)의 중심 축(48)과 동축이므로, 이 오목부(54)는 플로어 표면의 중심에 정렬된다. 그러므로 웨이퍼 캐리어 본체(32)의 두께 t는 플로어 표면(46)과 정렬된 영역 내에서 가변한다. 이 두께는 플로어의 중심에서 최솟값 tmin이고, 오목부(54)의 외부에서, 즉 플로어의 주변 근처에서, 점진적으로 최댓값 tmax로 증가한다. 전술한 바와 같이, 웨이퍼 캐리어 본체(32)는 실질적으로 일정한 조성으로 이루어진 모노리식 소자인 것이 바람직하다. 그러므로 웨이퍼 캐리어 본체를 구성하는 재료는 실질적으로 일정한 열 도전율을 가진다. 수직 방향에서의 웨이퍼 캐리어 본체의 열 도전율은 어떤 위치에서도 웨이퍼 캐리어 본체의 두께에 반비례한다. 수직 방향으로 흐르는 열과 관련해서 웨이퍼 캐리어 본체의 열 도전율은 웨이퍼 캐리어 본체의 두께 t에 따라 직접적으로 가변한다. 그러므로 중심 축에서는, 열 저항이 상대적으로 낮고, 반면에 플로어 표면의 주변에서는, 웨이퍼 캐리어 본체의 열 저항이 상대적으로 높다.
동작 시, 웨이퍼 캐리어는 웨이퍼(42)를 로딩하고 도시된 동작 위치에서 스핀들(20) 위에 배치한다. 도 3에서 가장 잘 알 수 있는 바와 같이, 각각의 웨이퍼(42)의 주변은 지지 선반부(50)에 얹혀 있다. 웨이퍼와 지지 선반부가 중첩하는 부분은 최소로 되는 것이 바람직한데, 예를 들어 약 1 mm 이하이다. 각각의 웨이퍼의 상부 표면(43)은 각각의 포켓을 에워싸는 웨이퍼 캐리어의 상부 표면(34)의 주요부(35)와 거의 동일한 높이이다. 각각의 웨이퍼의 하부 표면(45)은 플로어 표면(46) 쪽으로 하향해서 대향하되 플로어 표면 위로 공간을 두고 있다. 가스 공급 장치(14) 및 가스 공급 소자(12)를 동작시켜 반응 가스를 공급하고, 스핀들(20)을 회전시켜 스핀들의 축(22)을 중심으로 웨이퍼 캐리어를 회전시킨다.
스핀들과 웨이퍼 캐리어가 회전하면, 가열 소자(20)는 원리적으로 복사열 전달을 의해, 그리고 가열 소자와 웨이퍼 캐리어의 하부 표면 간의 가스 중재를 통한 대류 및 전도에 의해, 웨이퍼 캐리어의 하부 표면(26)을 가열한다. 복사열은 도 3에서 도면부호 56으로 상징적으로 도시되어 있다. 웨이퍼 캐리어의 하부 표면으로 전달된 열은 상부 표면(34) 쪽으로 그리고 상부 표면 상의 포켓(44) 내에 배치된 웨이퍼(42) 쪽으로 전달된다. 열은 웨이퍼 캐리어의 상부 표면으로부터 그리고 각각의 웨이퍼(42)의 노출된 상향 대향 표면 또는 상부 표면(43)으로부터, 주위로 특히 상대적으로 차가운 가스 내부 구조(12)로 지속적으로 전달된다.
도 1 및 도 3에 도시된 동작 위치에서, 웨이퍼 캐리어의 하부 표면(36)은 가열 소자(28)와 직접적으로 대면한다. 본 명세서에서 사용되는 바와 같이, "직접적으로 대면한다"는 말은 가열 소자와 웨이퍼 캐리어의 하부 표면 간에 직선 시선(direct line of sight)이 존재하며, 가열 소자와 웨이퍼 캐리어 간에 어떠한 고체 소자 개입에 의해서 방해받지 않는다는 것을 의미한다. 웨이퍼 캐리어의 하부 표면(36)은 가열 소자(28)보다 높은 거리 H에 배치되어 있다. 이 거리는 오목부(54) 내에서 더 큰데, 각각의 오목부(54)의 가장 깊은 부분이 최댓값 Hmax, 오목부들의 외부에서 최솟값 Hmin이다. 거리 H는 두께 t에 따라 반대로 가변한다.
별도로 정한 바 없는 한, 본 명세서에서 사용되는 바와 같이, "수직 열 저항(vertical thermal resistance)"이라는 말은 수직 평면에서 단위 면적당 상향으로의 열 흐름에 대한 저항을 말한다. "수평" 면은 상향 방향에 수직인 면이다. 하부 표면(36)과 상부 표면(34) 사이에서, 본체를 통한 열 흐름에 대한 웨이퍼 캐리어 본체의 열 저항 R36 -34는 웨이퍼 캐리어 본체의 두께 t에 따라 직접적으로 변한다. 이론적으로, 거리 H는 웨이퍼 캐리어가 더 두꺼운 곳에서 더 작기 때문에, 가열 소자(28)와 웨이퍼 캐리어 본체의 하부 표면 간의 복사열 전달에 대한 저항은 웨이퍼 캐리어가 더 두꺼운 곳에서 약간 더 작다. 실제로, 이 차이는 무시할 수 있다. 또한, 가열 소자(28)로부터 반응실 내의 가스를 통한 웨이퍼 캐리어의 하부 표면으로의 대류 및 전도의 열 전달에 대한 저항은 웨이퍼 캐리어가 더 두껍고 H가 더 작은 곳에서 더 작을 수 있다. 그렇지만, 모든 위치에서의 대류 및 전도의 열 전달은 복사열 전달에 비해 작다. 그러므로 가열 소자(28)와 하부 표면(36) 간의 수직 열 저항 R28 -36에서의 변동은 본체의 하부 표면과 본체의 상부 표면 간의 수직 열 저항의 변동에 비해 작다. 가열 소자(28)와 웨이퍼 캐리어의 상부 표면(34) 상의 특정한 위치 간의 열 흐름에 대한 수직 열 저항 R28 -34는 그 위치보다 아래의 웨이퍼 캐리어의 두께에 따라 직접적으로 가변한다. 예를 들어, 중심 축(48) 근처에서 그리고 하부 표면 내의 오목부(34)와 정렬된 포켓(도 3)의 플로어(36) 위의 한 위치에서, 웨이퍼 캐리어의 두께 t는 tmin과 동일하며 이에 따라 R28 -34도 작다. 주변부 근처의 플로어(36) 상위 위치에서는, 두께 t=tmax이고 및 이에 따라 R28 -34는 더 크다.
증착 프로세스에 의해 각각의 웨이퍼(42)의 노출된 상부 표면(43) 상의 화합물 반도체를 제조한다. 통상적으로, 증착된 복수의 제1 반도체 층은 본질적으로 베이스 또는 버퍼 층에 속하는데, 그 두께가 1-10 ㎛ 정도일 수 있고, 그 뒤를 이어 디바이스의 복수의 능동 층을 형성하는 복수의 박막 능동 층이 형성된다. 예를 들어, 다중 양자 우물(multiple quantum well: MQW) 구조와 일체화되는 LED에서, MQW의 복수의 발광층은 20-30 Å(2-3 nm) 정도의 두께일 수 있다. 차례로 복수의 능동층의 뒤를 이어, 캐리어 한정, 전기적 주입, 전류 분배 및 물리적 보호 등의 목적을 위해 추가의 층이 복수 개 형성된다. 증착 프로세스 동안, 웨이퍼(42)는 비교적 예측 가능하게 구부러지는 경향이 있다. 구부러짐은 통상적으로 증착된 반도체 재료와 웨이퍼 간의 격자 상수들의 차이, 및 웨이퍼 양단에 가해지는 열 경도(thermal gradient)에서 기인한다. 도시된 예에서, 이러한 구부러짐에 의해 웨이퍼가 상향으로 볼록해지는데, 즉 웨이퍼의 상부 표면(43)이 볼록해진다. 설명을 명확하게 하기 위해 이러한 구부러짐의 정도를 도 3에 확대하여 도시하고 있다. 통상적으로, 직경이 약 50 nm인 웨이퍼에서, 이러한 구부러짐 DW는 통상적으로 약 5 ㎛ 정도이며, 구부러짐이 더 크게 되는 경우, 이러한 직경의 웨이퍼를 처리하는 일부의 프로세스에서는 수십 ㎛ 정도가 생길 수 있다. 주어진 프로세스에서, 구부러짐 DW는 웨이퍼 직경의 제곱에 따라 가변하는 경향이 있다. 그러므로 모든 다른 인자가 동일한 경우, 직경이 명목상 6 인치인 웨이퍼는 직경이 명목상 2인치인 웨이퍼의 9배의 구부러짐을 보일 것이다.
각각의 웨이퍼의 상부 표면(43)에서의 온도 보급(temperture prevailing)은 가열 소자(28)(도 1)와 웨이퍼의 상부 표면(43) 간의 전체 열 저항에 따라 다르다. 플로어(46)와 정렬된 웨이퍼 상의 임의의 포인트에서, 전체 열 저항은 가열 소자(28)와 웨이퍼 캐리어의 하부 표면 간의 복사열 전달에 대한 저항과, 하부 표면과 플로어 표면(46) 간의 전도에 관련된 열 저항과, 웨이퍼의 하부 표면(45)과 플로어 표면(46) 간의 갭(60) 양단의 열 전도에 대한 저항과 그리고 웨이퍼 자체를 통한 전도에 대한 저항을 합한 것이다. 전술한 바와 같이, 가열 소자(28)와 하부 표면(36) 간의 복사열 전달에 대한 저항은 전체 웨이퍼 캐리어에 걸쳐 실질적으로 일정하다. 이론적으로, 오목부(54)의 표면은 하부 표면(36)의 에워싸는 부분들보다 가열 소자로부터 약간 더 멀리 있기 때문에, 오목부와 관련된 복사열 전달에 대한 저항이 약간 증가한다. 실제로는 이러한 차이는 무시할 수 있다. 웨이퍼(42)를 통한 전도에 대한 저항도 전체 웨이퍼에 걸쳐 실질적으로 일정하다. 그렇지만, 갭(60) 양단의, 즉 플로어 표면(46)으로부터 웨이퍼의 하부 표면(45)으로의 열 전달에 대한 저항은 웨이퍼에서의 구부러짐으로 인해 가변한다. 갭(60)은 통상적으로 정체 층(stagnant layer)의 프로세스 가스로 채워져 있다. 이 프로세스 가스는 상대적으로 열 전도율이 낮고, 이에 따라 갭 양단의 열 전달에 대한 저항은 가열 소자와 웨이퍼 상부 표면 간의 열 전달에 대한 전체 저항 중 상당한 부분을 제공한다. 갭(60)의 열 저항은 갭의 높이와 직접으로 관련이 있다. 웨이퍼의 주변에 가까이 있는 웨이퍼의 이러한 부분들에 있어서, 갭의 높이는 단순히 플로어 표면보다 높은 지지 선반부(50)의 높이이며, 즉 D46이다. 그렇지만, 중심 축(48)에 인접해서는, 갭(60)의 높이가 웨이퍼의 구부러짐 거리 DW만큼 증가하게 된다. 그러므로 갭의 열 저항은 중심 축(48) 근처에서 최대이다.
가변하는 두께, 그리고 이에 따라 오목부(54)에 의해 제공되는 웨이퍼 캐리어 본체(32)의 가변하는 열 저항은 갭(60)에 대한 가변하는 열 저항에 대해 역효과를 낸다. 그러므로 중심 축(48)에 인접해서는, 웨이퍼 캐리어 본체가 최소 열 저항을 가지는 반면, 중심 축(48)에 멀리 떨어져서는, 웨이퍼 캐리어 본체가 더 큰 열 저항을 가진다. 달리 말하면, 가열 소자로부터 웨이퍼의 상부 표면으로의 전체 열 저항은 R28-34(가열 소자와 플로어(46) 내의 웨이퍼 상부 표면(34)의 한 포인트 간의 열 저항)이고, 갭(60)의 저항 및 웨이퍼 자체를 통한 저항이다. 갭(60)의 열 저항이 큰 경우, R28 -34는 작다.
각각의 웨이퍼 유지 영역의 플로어(46)와 정렬된 영역들에서 웨이퍼 캐리어의 두께 상의 차이는 웨이퍼 캐리어에서 상이한 열 전도율의 카운터 밸런싱 효과(counter-balancing effect)를 최적화하도록 선택되는데, 프로세스의 이 단계에서 디바이스의 대부분의 결정 층들이 형성된다. 그러므로 구부러짐 거리 DW는 버퍼 층과 같은 복수의 제1 층을 웨이퍼 상부 표면 위에 증착하는 동안 점차 증가한다. tmin 및 tmax 간의 두께 상의 차이를 선택하는데 사용되는 예측된 구부러짐 DW는 버퍼 층의 증착 후 그리고 제조될 디바이스에서 대부분의 결정 층들을 증착하는 동안 DW 보급의 값에 상응하도록 선택되어야 한다.
웨이퍼 캐리어(32)에서 고체 재료의 열 전도율은 갭(60)에서 정체 가스의 열 전도율보다 수배 더 크다. 그러므로 주어진 구부러짐 DW의 효과를 상쇄하는 데 필요한 두께 상의 차이(tmax-tmin)는 수배의 DW이다. 예를 들어, 약 5-7 ㎛의 예상되는 구부러짐을 상쇄하기 위해, 두께 상의 차이(tmax-tmin)는 약 3 내지 6 mm인 것이 바람직하다. 주어진 어플리케이션을 위해 필요한 정확한 차이(tmax-tmin)는 열 전달을 산출하면 도출할 수 있다. 그렇지만, 더 바람직하게는, 두께 상의 차이(tmax-tmin)의 최적의 값 및 그에 따른 오목부(54)에서의 최적의 깊이는 오목부들의 깊이가 서로 다른 테스트 웨이퍼 캐리어를 사용하여 실제로 테스트를 하고 이러한 효과를 증착의 일정함에 대해 관찰함으로써 결정할 수 있다. 예를 들어, GaN 기반의 LED를 형성하기 위한 하나의 프로세스에서, 오목부(54)가 없는 제1 캐리어 위에서 처리되는 웨이퍼는 "정곡(bullseye)" 패턴을 보인다. 웨이퍼의 중심으로부터 형성된 LED는 상대적으로 긴 방출 파장을 가지는 반면, 웨이퍼의 주변부로부터 형성된 LED는 상대적으로 짧은 방출 파장을 가지는데, 방출 파장을 제어하는 층들을 증착하는 동안 웨이퍼의 중심에서의 웨이퍼 상부 표면(43)은 웨이퍼의 주변부에서의 웨이퍼 상부 표면보다 현저하게 차갑다는 것을 나타낸다. 방출 파장의 분포는 상대적으로 넓은데, 웨이퍼의 5% 이상이 평균 방출 파장으로부터 방출 파장이 3nm 이상이다. 상대적으로 깊은 원뿔 오목부(54)를 가지는 제2 웨이퍼 캐리어는 반대의 정곡 패턴을 생성하는데, 각각의 웨이퍼의 중심으로부터의 LED는 주변부로부터의 LED보다 실질적으로 짧은 방출 파장을 가지며, 마찬가지로 파장 분포도 넓다. 이것은 오목부(54)가 구부러짐을 과도하게 보상하고 있다는 것을 나타낸다. 원뿔 오목부의 깊이가 덜 깊은 제3 웨이퍼 캐리어는 제1 또는 제2 웨이퍼 캐리어보다 협 파장 분포를 생성한다. 그러므로 제2 웨이퍼 캐리어에서 사용되는 것과 같은 오목부가 생산 공정에서 추가의 캐리어에 사용된다.
열 전도율이 상대적으로 높은 고체 재료의 두께를 가변시켜 열 전도율을 상이하게 하면, 근본적인 이점이 있는데, 두께 상의 이상적인 차이(tmax-tmin)로부터의 미세한 편차는 열 전달에 사소한 영향을 끼친다는 점이다. 예를 들어, 오목부(54)의 깊이의 허용공차가 약 ±10 ㎛(±0.0005 인치) 정도일 수 있고, 이것은 실질적인 가공 공차(machining tolerance)를 제공한다. DW의 예상값과 동일하게 플로어 표면(46)을 볼록하게 함으로써 웨이퍼의 구부러짐의 동일한 보상을 달성할 수 있다. 그렇지만, 이와 같은 배치는 1 ㎛보다 훨씬 작은 허용공차를 필요로 하여 플로어 표면을 고의로 볼록 형으로 형성하는데 복잡한 가공 처리를 필요로 하게 될 것이다.
본 발명의 추가의 실시예(도 4)에 따른 웨이퍼 캐리어(12)는 도 1 내지 도 3과 관련해서 전술한 특징부와 유사하게 플로어 표면(146) 및 지지 선단부(150)가 있는 포켓(144)을 포함한다. 본 실시예에 따른 웨이퍼 캐리어의 하부 표면(140)은 각각의 플로어 표면의 중심 축(148)과 정렬된 오목부(154) 형태의 비편평성을 가진다. 이러한 특징부는 도 1 내지 도 3가 관련해서 전술한 바와 실질적으로 동일한 방식으로 동작한다. 또한, 도 4의 웨이퍼 캐리어(132)는 돌출부 형태의 추가의 비편평성을 가지거나 각각의 웨이퍼 유지 영역의 지지 선단부(146)와 정렬된 증가된 두께 영역(170)을 가진다. 그러므로 각각의 증가된 두께 영역(170)은 플로어 표면의 중심 축(148)과 루프 동심의 형태로 되어 있고, 이에 따라 지지 선단부(150)와 동심이 된다. 동작 시, 각각의 포켓(144) 내의 웨이퍼(142)의 주변부는 지지 선단부(150)에 걸치게 된다. 이에 의해 열 전달을 위한 보다 직접적인 경로를 웨이퍼의 주변부에 제공하게 된다. 달리 말하면, 지지 선단부(150)와 웨이퍼의 주변부 간의 직접 접촉은 플로어 표면(146)과 웨이퍼의 하부 표면 간의 갭(160)을 통한 열 전달에 의해 제공되는 것보다 작을 열 저항을 제공한다. 돌출부(170)에 의해 제공되는 증가된 두께는 지지 선단부와 정렬된 영역 내의 웨이퍼 캐리어 본체(132)의 열 저항을 증가시키고 이에 따라 이러한 차이를 상쇄한다. 이에 따라 웨이퍼의 주변부와 인접 영역 간의 표면 온도 차이의 최소화를 촉진한다.
또한, 웨이퍼 캐리어의 하부 표면(132)은 포켓(144)들 간의 캐리어 상부 표면의 이러한 영역(134a)들과 정렬된 추가의 돌출부(172)를 가진다. 이것은 웨이퍼 캐리어의 이러한 영역들에서 여전히 높은 열 저항을 제공한다. 웨이퍼 캐리어와 웨이퍼(142) 간의 경계에는 상당한 열 저항이 유입된다. 이것은 웨이퍼 캐리어 상부 표면(134)의 주요부(135)로 형성된 에워싸는 영역들의 온도보다 낮게 웨이퍼 상부 표면(143)의 온도를 감소시키는 경향이 있다. 이러한 온도 차이를 최소화하는 것이 바람직하다. 돌출부(172)에 의해 제공되는 증가된 열 저항은 추가의 경계의 효과를 상쇄하고, 이에 따라 주요부(135)의 온도를 웨이퍼 상부 표면(143)의 온도에 가깝게 유지한다. 이와 관련해서, 웨이퍼 캐리어의 주요부(135)의 방사율(emissivity)은 통상적으로 웨이퍼 상부 표면(143)의 방사율과는 상이하다. 방사율의 차이는 주요부(135)와 웨이퍼 상부 표면 간의 온도 차이에 영향을 준다. 주요부의 방사율이 웨이퍼의 방사율보다 상당히 높은 경우, 주요부는 웨이퍼 상부 표면보다 낮은 온도에서 진행되는 경향이 있을 수 있다. 이 경우, 상부 표면의 주요부와 정렬된 하부 표면의 이러한 부분에서, 주요부는 돌출부보다는 오목부를 가질 수 있게 된다. 이 경우(도시되지 않음), 웨이퍼 캐리어 상부 표면의 주요부와 정렬된 웨이퍼 캐리어의 부분들은 더 얇게 될 것이고, 포켓과 정렬된 웨이퍼 캐리어의 부분들 및 웨이퍼보다 열 저항이 더 낮아지게 될 것이다.
도 5에 도시된 웨이퍼 캐리어(232)는 도 1 내지 도 3의 웨이퍼 캐리어(32)와 유사하다. 그렇지만, 하부 표면(240)에서의 오목부(254)는 전술한 원뿔 형이 아닌 대체로 돔 형으로 되어 있다.
도 6의 웨이퍼 캐리어(332)에서, 각각의 오목부(354)는 원뿔의 원뿔대 형으로 되어 있고 포켓(344)의 중심 축(348)을 가로질러 연장하는 편평한 표면(355)을 가진다.
도 7의 웨이퍼 캐리어(432)는 구부러짐이 전술한 바와 반대로 되어 있는 프로세스에서 사용하기 위해 배치되며, 이에 따라 웨이퍼(442)를 하향으로 볼록하게 하는데, 이에 의해 웨이퍼의 하부 표면(445)은 포켓의 중심 축(448)에 인접하는 플로어 표면(446) 쪽으로 하향 곡선을 이룬다. 이러한 상황에서는, 캐리어와 웨이퍼 간의 갭(460)이 최소의 두께를 가지며 이에 따라 중심 축(448)에 인접하여 최소의 열 저항을 가진다. 그러므로 웨이퍼 캐리어 하부 표면(440)에서의 비편평성이 중심 축에 인접해서는 상대적으로 큰 두께 tmax를 제공하고 웨이퍼의 주변부에 인접해서는 상대적으로 작은 두께 tmin을 제공하도록 배치된다.
본 발명의 추가의 실시예에 따른 웨이퍼 캐리어(532)는 전술한 바와 실질적으로 동일한 방식으로 각각의 웨이퍼 유지 영역 내에서 캐리어의 상부 표면(532)에 형성된 포켓(444)을 가진다. 각각의 포켓(544)은 편평한 플로어 표면(546)을 가진다. 여기서 다시, 각각의 포켓은 지지 선단부를 구비하는데, 이 지지 선단부는 웨이퍼의 주변부와 맞물려서 플로어 표면(546)보다 높게 웨이퍼를 유지한다. 그렇지만, 본 실시예에서, 각각의 포켓의 지지 선단부는, 플로어의 주변부 주위에서 결국 포켓의 중심 축(548) 주위에서 서로 공간을 두고 떨어진 복수의 선단부 영역(550)으로서 제공된다. 이에 의해 웨이퍼의 주변부와 지지 선단부 간의 접촉이 최소화되고 이에 따라 웨이퍼 캐리어와 이러한 접촉으로 생긴 웨이퍼 간의 열 전달의 불균등이 최소화된다.
마찬가지로 본 실시예에서는, 각각의 포켓이 포켓의 내부로부터 웨이퍼 캐리어의 상부 표면(534)으로 상향으로 연장하는 벽(552)에 의해 바운드되어 있다. 여기서 다시, 주변 벽(552)이 도 9에 도시된 바와 같이 상향으로 경사져 있는데, 이에 따라 웨이퍼 캐리어의 상부 표면(534) 쪽의 상향 방향에서 주변 표면이 포켓의 중심 축(548) 쪽으로 내측으로 경사를 이룬다. 그렇지만, 본 실시예에서는, 주변 벽(552)이, 웨이퍼의 중심 축(522)으로부터 가장 멀리 있는, 즉 스핀들 위의 웨이퍼 캐리어를 유지하는 조정부(538)로부터 가장 멀리 있는 그리고 전체적으로 웨이퍼 캐리어의 회전 축으로부터 가장 멀리 있는 포켓의 일부를 따라 오목부(570)에 의해 차단되어 있다. 그러므로 주변 벽 및 오목부는 도 8에서와 같이, 전술한 바로부터 알 수 있는 비원형의 형상을 구성한다. 이 비원형의 형상은 원형의 주변 벽과 오목부 간의 교차점에서 한 쌍의 돌출부(553)를 포함한다. 이러한 한 쌍의 돌출부는 포켓의 중심 축(548)을 통해 웨이퍼 캐리어의 중심 축(522)으로부터 연장하는 방사 선(radial line)(555)의 양 측면 상에 서로 간격을 두고 떨어져서 배치된다. 동작 동안, 웨이퍼에 작용하는 회전 또는 "원심력"으로 인한 가속은 중심 축(522)으로부터 가장 멀리 있는 영역들에서 웨이퍼를 주변 벽 쪽으로 몰고 갈 것이다. 오목부(570)는 웨이퍼의 주변부와 내측으로 경사진 주변 벽 간의 접촉력을 최대화하는 경향이 있고, 이에 따라 웨이퍼에 가해지는 하향 력(downward force)을 최대화하여, 웨이퍼를 지지 선반부(550)와 정렬해서 지지하려 한다. 포켓 내에 배치된 원형 웨이퍼는 웨이퍼 캐리어와 돌출부(553)에서 맞물리고, 다른 위치에서는 주변 벽으로부터 약간 간격을 두고 떨어져 유지한다. 웨이퍼가 돌출부에서만 주변 벽과 맞물리기 때문에, 웨이퍼의 에지를 통한 열 전달이 최소화된다. 이에 따라 웨이퍼 내의 온도 변화가 최소화된다.
도 8 및 도 9를 참조하여 전술한 바와 같은 포켓 구성을 가지는 웨이퍼 캐리어는 여기에서 설명한 바와 같은 그 하부 표면상에 비평면 특징부를 설치할 수도 있고 설치 안 할 수도 있다.
도 1 내지 도 7을 참조하여 전술한 웨이퍼 캐리어에서는, 플로어 표면이 실질적으로 편평하다. 그렇지만, 이것은 본 발명에서와 같이 필수 사항이 아니며 곡선을 이루는 플로어 표면으로 실시될 수 있다. 예를 들어, 도 10에 도시된 웨이퍼 캐리어 본체(732)는 도 1 내지 도 3을 참조하여 전술한 웨이퍼 캐리어 본체(32)와 대체로 유사하다. 그렇지만, 캐리어 본체(632)는 고의적으로 볼록하게 만든 플로어 표면(646)을 가진다. 포켓의 중심 축(648)에서 각각의 플로어 표면의 중심부는 축(648)으로부터 떨어져서, 플로어 표면의 주변부보다 아래에 거리 Cf만큼 오목하게 된다. 플로어 표면을 오목하게 함으로써 웨이퍼의 주변에서보다 중심 축(648)에서 더 크게 웨이퍼와 플로어 표면 사이에 갭(660)이 만들어진다. 이에 의해 웨이퍼의 상향 구부러짐 DW와 동일한 방식으로 축(648) 근처에서 갭(660)의 열 저항이 증가한다. 달리 말하면, 플로어 표면 곡률 Cf 및 상향 구부러짐 DW의 효과가 가해진다. 플로어 표면 곡률과 웨이퍼의 구부러짐의 조합된 효과는 오목부(654)의 형태로 비편평성에 의해 상쇄된다. 오목부(654)는 캐리어 본체에 최소의 두께를 제공하고 이에 따라 중심 축(648) 근처에서 최소 열 저항을 제공하며, 이 경우 갭(660)은 최소 열 저항을 가진다.
도 11의 실시예에서는, 플로어 표면(746)이 볼록하다. 그러므로 플로어 표면의 곡률 Cf에 의해 포켓의 중심 축(748) 근처에서 갭(760)의 크기가 줄어든다. 도시된 실시예에서, 웨이퍼는 상향 구부러짐을 가지며, 이것은 중심 축(748) 근처에서 갭(760)의 크기를 증가시키는 경향이 있다. 그렇지만, 볼록 곡률 Cf는 구부러짐 DW보다 크기 때문에, 갭(760)의 크기는 중심 축(748) 근처에서 최소로 되고, 갭의 열 저항도 중심 축 근처에서 최소로 된다. 구부러짐 및 플로어 표면 곡률의 조합된 효과를 상쇄하기 위해, 웨이퍼 캐리어 본체에는, 본체의 두께 및 그에 따른 열 저항이 축으로부터 멀리 떨어져 있는 것보다 축(748) 근처에서 더 크게 되도록 비편평성(754)이 배치된다.
도 12 내지 도 14에 도시된 웨이퍼 캐리어는 도 1 내지 도 3을 참조하여 서술된 것과 유사한 주요부(835) 및 포켓(844)을 포함하는 상부 표면(834)을 가진다. 여기서 다시, 각각의 포켓은 플로어(846), 지지 선반부(850) 및 이 지지 선반부로부터 상부 표면의 주요부(835)로 돌출하는 주변 벽을 포함한다. 여기서 다시, 웨이퍼 캐리어는 중심 축(822)을 가지며, 또한 웨이퍼 캐리어는 스핀들에 장착되어 동작 시 이 중심 축을 중심으로 회전하도록 구성되어 있다. 각각의 포켓은 또한 포켓의 기하학적 중심에서 중심 축(848)을 가진다. 주변 벽(852)은 포켓 중심 축(848) 주위에서 거의 전체적으로 연장하는 궁상부(arcuate portion)를 포함한다. 그렇지만, 웨이퍼 캐리어의 중심 축(822)으로부터 가장 멀리 있는 주변 벽의 영역은 비원형 형상으로 되어 있다. 주변 벽의 이러한 영역은 주변 벽의 궁상부로부터 내측으로 돌출하는 한 쌍의 돌출부(853)를 가진다. 이러한 돌출부 중 하나가 도 14에 도시되어 있다. 한 쌍의 돌출부(853)는 포켓의 중심 축(848)을 통해 웨이퍼 캐리어의 중심 축으로부터 연장하는 방사 선(radial line)(855)의 양 측면 상에 서로 간격을 두고 떨어져서 배치된다. 도 12에서 가장 잘 알 수 있는 바와 같이, 각각의 돌출부(853)는 포켓 중심 축(848) 쪽으로 내측으로 대향하는 교대 표면(abutment surface)(857)을 가진다. 각각의 교대 표면(857)은 선단부(850)로부터 주요부(835)로의 상향 방향에서, 포켓 중심 축 쪽으로 내측으로 경사져 있다.
동작 시, 원형 웨이퍼(842)는 포켓 내에 배치되며 각각의 웨이퍼의 하부 표면은 대응하는 포켓의 선단부(850)와 맞물리게 되어 있다. 중심 축(822)을 중심으로 웨이퍼 캐리어의 회전으로 생기는 원심력은 각각의 웨이퍼를 중심 축으로부터 외측으로 멀어지게 몰아내는 경향이 있다. 각각의 웨이퍼는 포켓 내의 두 개의 돌출부(853)의 교대 표면(857)에 대해 얹혀 있다. 웨이퍼의 에지가 두 개의 돌출부에 얹혀 있게 될 때, 웨이퍼가 포켓의 축(848)과 동심으로 이룰 수 있도록 이 두 개의 돌출부의 치수가 정해진다. 그러므로 달리 말하면, 선단부(850)의 내부 에지와 웨이퍼의 에지 간의 중첩 거리 D0(도 12 및 도 13)는 일정하다. 이에 의해 웨이퍼의 하부와 웨이퍼의 주변의 선단부 간의 열 전달이 동등하게 되는 경향이 있다. 또한, 웨이퍼의 에지는 간격을 두고 떨어져 있는 돌출부(853)와 조금만 접촉하고 있기 때문에, 웨이퍼의 에지와 주변 벽(852) 간의 열 전달이 최소화된다.
본 발명의 또 다른 실시예에 따른 캐리어 웨이퍼(도 15)는 도 1 내지 도 3을 참조하여 전술한 웨이퍼 캐리어와 대체로 유사하다. 그렇지만, 도 15의 웨이퍼 캐리어에서는, 하부 표면에서의 각각의 오목부(954)가 포켓의 중심(948)으로부터 수평 방향으로 오프셋되어 있다. 그러므로 웨이퍼 캐리어의 가장 얇은 부분은, 최고 열 전도성 및 최저 열 저항을 가지며, 플로어의 중심으로부터 오프셋되어 있는 포켓 플로어(946)의 일부(946a) 아래에 있다. 이러한 구성을 사용하여, 프로세스 가스 흐름 패턴과 같은 프로세스 조건에 의해 야기되는, 웨이퍼로부터의 불균등한 열 전달의 효과를 상쇄할 수 있다. 예를 들어, 회전 웨이퍼 캐리어를 구비한 반응기에서는, 모션 컴포넌트가 회전의 축으로부터 떨어져 있는 웨이퍼를 걸쳐서 프로세스 가스가 흐를 때, 웨이퍼 캐리어의 회전 축에 가장 가까이에 있는 각각의 웨이퍼의 부분이 회전의 축으로부터 가장 멀리 있는 부분보다 더 크게 냉각될 수 있다. 또한, 상이한 웨이퍼를 횡단해서 또는 동일한 웨이퍼의 상이한 부분들을 횡단해서 흐르는 프로세스 가스는 온도가 상이할 수 있다. 예를 들어, 웨이퍼 캐리어의 주 표면이 웨이퍼의 표면보다 더 차가운 경우, 프로세스 가스의 온도는, 웨이퍼 캐리어의 주변부에 있는 웨이퍼에 도달하기 전에는, 가스가 지나가는 경로에 따라 다를 것이다. 경로의 많은 부분이 웨이퍼 표면에 걸쳐 연장하고 경로의 작은 부분만이 웨이퍼 캐리어의 주 표면에 걸쳐 연장하는 경우, 가스는 한 온도에 있게 될 것이다. 경로의 많은 부분이 웨이퍼 캐리어의 주 표면에 걸쳐 연장하는 경우, 가스는 더 낮은 온도에 있게 될 것이다. 여기서 설명된 기술은 이러한 효과들을 상쇄하고 다양한 웨이퍼의 상부 표면을 일정하게 유지하는데 사용될 수 있다. 추가의 변형예에서는, 이러한 기술들을 적용하여 일정하지 않은 웨이퍼 표면 온도를 고의로 생성할 수 있다. 이러한 일정하지 않은 온도를 사용하여, 예를 들어 서로 상이한 경로를 따라 흐르는 프로세스 가스에서 일정하지 않은 불순물 농도의 효과를 상쇄할 수 있다.
도 15의 웨이퍼 캐리어는 또한 복수의 열 장벽(970)을 포함한다. 이 복수의 열 장벽은 웨이퍼 캐리어의 본체 내에서 수평 방향으로의 열 전도를 금지한다. 이러한 복수의 열 장벽은 열 전도율이 수직 방향으로 상이한 웨이퍼 캐리어의 부분들 사이를 열적으로 격리한다. 이러한 격리에 의해 상이한 열 전도율의 효과가 강화된다. 복수의 열 장벽은 웨이퍼 캐리어의 에워싸는 재료보다 열 전도율이 낮은 재료로 이루어진 수직 연장의 박막 층을 포함할 수 있다. 대안으로, 이 복수의 열 장벽은 웨이퍼 캐리어를 복수의 개별의 조각으로 제조함으로써 그리고 웨이퍼 캐리어 내에 수직으로 연장하는 표면들에서 이러한 복수의 개별의 조각 간에 경계를 제공함으로써 형성될 수 있다. 예를 들어, 도 15의 캐리어는 상부 표면의 대부분과 포켓 플로어(946)의 일부를 구성하는 주요부(901) 및 각각의 포켓의 플로어의 일부(946)를 구성하는 작은 부분(902)을 포함한다. 경계 또는 장벽(970)은 이 작은 부분을 에워싸는 원통형 표면의 형태로 될 수 있다. 추가의 변형예에서, 이 작은 부분은 각각의 포켓의 전체 플로어를 구성할 수도 있다.
전술한 다양한 변형예 및 조합은 본 발명을 벗어나서 활용될 수 있다. 단지 예로서만, 본 발명을 질화 갈륨 기반의 반도체를 처리하는 것을 참조해서 서술하였으나, 본 발명은 본질적으로 어떠한 반도체의 프로세싱에도 적용될 수 있다. 그러므로 질소, 인, 안티몬 및 비소 중 하나 이상과 결합해서 갈륨, 인듐, 및 알루미늄 중 하나 이상을 포함하는 Ⅲ-Ⅴ 반도체를 형성할 수 있다. 또한, 실리콘 및 다이아몬드형 탄소와 같은, Ⅱ-Ⅵ 반도체 및 그룹 Ⅳ 반도체를 동일한 방식으로 처리할 수 있다. 또한, 본 발명은 증착 외에 프로세스 동작에도 적용될 수 있다.
다양한 다른 변형예 및 전술한 특징부의 조합이 사용될 수 있다. 예를 들어, 웨이퍼 캐리어 본체의 두께를 가변시키는 대신, 각각의 웨이퍼가 점유하는 영역 내에서 본체의 조성을 가변시켜 열 저항이 상이하게 되도록 할 수 있다. 단지 예로서만, 웨이퍼 캐리어는 복수의 오목부를 가질 수 있는데, 이 오목부의 하부 표면은 금속 또는 캐리어 본체의 에워싸는 재료보다 열 전도율이 실질적으로 높거나 낮은 그외의 재료로 채워진다. 그렇지만, 이러한 실시예는 재료 간 경계에서 추가의 열 저항을 가질 수 있고, 이러한 경계는 열 저항에 영향을 미친다.
도 1을 참조하여 전술한 실시예는 "서셉터가 없는(susceptorless)" 처리 장치인데, 이러한 장치에서는 가열 소자(28)로부터 캐리어의 하부 표면(36)으로 열이 직접적으로 전달된다. 가열 소자로부터 흔히 "서셉터"라고 하는 중간 소자로 열이 전달되고 다시 이 서셉터로부터 캐리어로 열이 전달되는 장치에도 유사한 원리를 적용할 수 있다. 그렇지만, 이러한 열 전달은 서셉터와 웨이퍼 캐리어의 하부 표면 간에 정체 프로세스 가스로 채워진 공간을 통해 전달하는 것은 포함하지 않는 것이 바람직하다.
본 발명을 특정한 실시예들을 참조하여 서술하였으나, 이러한 실시예들은 본 발명의 원리 및 적용을 나타내는 단지 예시에 불과하다는 것을 이해해야 한다. 그러므로 도시된 실시예에 대해 다양한 변형이 이루어질 수 있고 첨부된 청구의 범위에 정의된 바와 같은 본 발명의 정신 및 범주를 벗어남이 없이 그외의 장치를 발명할 수 있음은 물론이다.

Claims (30)

  1. 화학 증기 증착 장치에 있어서,
    (a) 반응실(reaction chamber);
    (b) 상기 반응실과 연결되어 있는 가스 입구부(gas inlet structure);
    (c) 상기 반응실 내에 장착된 가열 소자; 및
    (d) 서로 반대편에서 대향하는 상부 표면 및 하부 표면을 가지는 본체
    를 포함하며,
    상기 웨이퍼 캐리어는 상기 가열 소자에서 생성된 열이 원리적으로 복사(radiation)에 의해 상기 가열 소자로부터 상기 본체의 하부 표면으로 전달되도록 상기 반응실 내에 장착되어 있으며,
    상기 본체는 각각의 웨이퍼 유지 영역에서 웨이퍼 지지부(wafer supprot)를 형성하며,
    각각의 상기 웨이퍼 지지부는 상기 웨이퍼의 상부 표면이 상기 본체의 상부 표면에 노출되어 있는 웨이퍼를 유지하도록 구성되어 있으며,
    상기 본체의 하부 표면은 상기 본체의 두께가 가변하도록 비평면(non-planar)이며,
    상기 웨이퍼 캐리어의 상부 표면상의 한 위치와 상기 가열 소자 간의 총 열 저항(aggregate thermal resistance)은 상기 한 위치에서 상기 본체의 두께에 따라 직접적으로 가변하는, 화학 증기 증착 장치.
  2. 제1항에 있어서,
    상기 웨이퍼 캐리어의 본체는 상기 가열 소자보다 높게 배치되며 상기 본체의 하부 표면은 상기 가열 소자에 직면하는, 화학 증기 증착 장치.
  3. 제1항에 있어서,
    상기 가스 입구부는 상기 웨이퍼 캐리어보다 높게 배치되며 가스를 상기 웨이퍼 캐리어 쪽으로 하향으로 향하도록 배열되어 있는, 화학 증기 증착 장치.
  4. 제3항에 있어서,
    상기 반응실 내에 수직 축을 중심으로 회전하도록 장착된 스핀들(spindle)을 더 포함하며,
    상기 웨이퍼 캐리어는 상기 스핀들과 함께 장착되어 회전하는, 화학 증기 증착 장치.
  5. 제1항에 있어서,
    각각의 상기 웨이퍼 지지부는 웨이퍼의 주변부와 맞물리게 배치되어 있어서 상기 웨이퍼의 주요부가 상기 웨이퍼 캐리어의 본체로부터 공간을 두고 떨어져 있도록 상기 웨이퍼를 유지하는, 화학 증기 증착 장치.
  6. 제1항에 있어서,
    각각의 상기 웨이퍼 지지부는 플로어(floor) 및 상기 플로어의 주변에서 상기 플로어보다 높게 배치된 지지 선반부(support ledge)를 포함하는, 화학 증기 증착 장치.
  7. 제6항에 있어서,
    각각의 웨이퍼 지지 영역 내에서 상기 본체가 상기 플로어와 정렬된 영역 내에 불규칙적인 두께를 가지도록 상기 하부 표면에 비편평성(nonplanarity)이 배치되는, 화학 증기 증착 장치.
  8. 제7항에 있어서,
    각각의 웨이퍼 유지 영역 내에서, 상기 본체의 두께는 상기 플로어의 중심과 정렬된 한 위치에서 국부 최솟값(local miminum)으로 되어 있는, 화학 증기 증착 장치.
  9. 제7항에 있어서,
    각각의 웨이퍼 유지 영역 내에서, 상기 본체의 두께는 상기 플로어의 중심으로부터 벗어난 한 위치에서 국부 최솟값(local miminum)으로 되어 있는, 화학 증기 증착 장치.
  10. 제7항에 있어서,
    각각의 웨이퍼 유지 영역 내에서, 상기 본체의 두께는 상기 플로어의 중심과 정렬된 한 위치에서 국부 최댓값(local maxinum)으로 되어 있는, 화학 증기 증착 장치.
  11. 제7항에 있어서,
    각각의 상기 플로어는 실질적으로 편평한, 화학 증기 증착 장치.
  12. 제6항에 있어서,
    상기 본체의 상부 표면은 상기 웨이퍼 유지 영역들 사이에서 연장하는 주요부(main portion)를 포함하며,
    각각의 상기 웨이퍼 지지부는 포켓을 포함하며,
    각각의 웨이퍼의 상기 플로어 및 상기 지지 선단부는 상기 플로어가 상기 포켓의 하부 표면을 형성하도록 상기 포켓 내에서 상기 상부 표면의 주요부로부터 오목하게 되어 있는, 화학 증기 증착 장치.
  13. 제12항에 있어서,
    상기 상부 표면의 주요부의 적어도 일부보다 아래에 있는 상기 본체의 두께는 복수의 상기 웨이퍼 지지부의 플로어보다 아래에 있는 상기 본체의 두께보다 더 두꺼운, 화학 증기 증착 장치.
  14. 제6항 내지 제13항 중 어느 한 항에 있어서,
    각각의 상기 지지 선단부는 실질적으로 연속적이고 상기 플로어를 에워싸는, 화학 증기 증착 장치.
  15. 제6항 내지 제13항 중 어느 한 항에 있어서,
    각각의 상기 지지 선단부는 상기 플로어의 주변부 주위에서 서로 공간을 두고 떨어져 있는 복수의 선단부 영역을 포함하는, 화학 증기 증착 장치.
  16. 제6항 내지 제14항 중 어느 한 항에 있어서,
    각각의 웨이퍼 유지 영역 내에서, 상기 본체는 상기 지지 선단부와 정렬된 한 영역에서의 두께가 상기 플로어와 정렬된 바로 인접하는 영역에서의 두께보다 더 두꺼운, 화학 증기 증착 장치.
  17. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 본체는 내부식성 재료로 이루어진 일체식 슬랩(monolithic slab)으로 구성되며 상기 슬랩 위에 코팅이 되어 있거나 되어 있지 않은, 화학 증기 증착 장치.
  18. 웨이퍼 처리 방법에 있어서,
    (a) 웨이퍼 캐리어가 각각의 웨이퍼 유지 영역 내에서 가변 열 전도율을 가지는 상태에서, 각각의 웨이퍼가 웨이퍼 캐리어의 웨이퍼 유지 영역 내에 배치되고 웨이퍼 캐리어의 상부 표면에서 노출되도록 웨이퍼 캐리어 위에 하나 이상의 웨이퍼를 장착하는 단계;
    (b) 상기 웨이퍼 캐리어를 통해 전달된 열이 상기 웨이퍼들에서 상승된 온도에서 유지되도록 상기 웨이퍼 캐리어의 하부 표면을 가열하는 단계; 및
    (c) 상기 웨이퍼들이 상기 상승된 온도에서 유지되고 있는 동안, 상기 웨이퍼들의 노출된 표면에 영향을 미치도록 반응 가스를 적용하는 단계
    를 포함하며,
    상기 반응 가스를 적용하는 단계 동안 상기 웨이퍼들이 구부러지며, 상기 구부러짐은 각각의 웨이퍼 내에서 웨이퍼 캐리어로부터의 열 전달의 비균일성(uniformity)을 일으키며, 상기 웨이퍼 캐리어의 상기 가변 열 전도율은 상기 구부러짐에 의해 생기는 열 전달의 비균일성을 적어도 부분적으로 보상하는, 웨이퍼 처리 방법.
  19. 제18항에 있어서,
    상기 반응 가스를 적용하는 단계는 각각의 웨이퍼의 노출된 표면 위에 증착을 형성하기 위해 상기 반응 가스를 적용하는 단계를 포함하는, 웨이퍼 처리 방법.
  20. 제18항 또는 제19항에 있어서,
    상기 하나 이상의 웨이퍼를 장착하는 단계는 상기 웨이퍼 캐리어의 실질적으로 편평한 상향 대향 플로어 표면보다 위에 각각의 웨이퍼를 위치시키도록 수행되는, 웨이퍼 처리 방법.
  21. 제20항에 있어서,
    상기 하나 이상의 웨이퍼를 장착하는 단계 상기 플로어 표면보다 위에 각각의 웨이퍼를 위치시키도록 수행되는, 웨이퍼 처리 방법.
  22. 제18항에 있어서,
    상기 웨이퍼 캐리어의 하부 표면은 상기 웨이퍼 캐리어의 두께가 각각의 웨이퍼 캐리어 영역 내에서 가변하도록 각각의 웨이퍼 유지 영역 내에서 상기 하부 표면에 하나 이상의 비편평성(nonplanairity)을 가지며,
    상기 웨이퍼 캐리어의 하부 표면을 가열하는 단계는 가열 소자가 상기 웨이퍼 캐리어의 하부 표면에 직면하고 있는 동안 상기 가열 소자를 동작시키는 단계를 포함하는, 웨이퍼 처리 방법.
  23. 제22항에 있어서,
    상기 웨이퍼 캐리어의 하부 표면을 가열하는 단계 및 상기 반응 가스를 적용하는 단계 동안 상기 웨이퍼 캐리어를 이동시키는 단계를 더 포함하는 웨이퍼 처리 방법.
  24. 제18항에 있어서,
    상기 웨이퍼 캐리어 위에 하나 이상의 웨이퍼를 장착하는 단계는, 지지 선반부가 상기 웨이퍼 캐리어의 플로어 표면보다 높게 상기 웨이퍼의 주요부를 유지하도록, 상기 웨이퍼의 주변 영역을 상기 웨이퍼 캐리어 위의 상기 지지 선반부에 맞물리게 하는 단계를 포함하는, 웨이퍼 처리 방법.
  25. 제24항에 있어서,
    각각의 웨이퍼 유지 영역 내에서, 상기 웨이퍼 캐리어는 상기 플로어 표면과 정렬된 바로 인접하는 영역에서보다 상기 지지 선반부와 정렬된 영역에서 두께가 더 크고 열 전도율이 작도록, 상기 웨이퍼 캐리어의 하부 표면에 비편평성이 있는, 웨이퍼 처리 방법.
  26. 제25항에 있어서,
    상기 웨이퍼 캐리어 위에 하나 이상의 웨이퍼를 장착하는 단계는, 상기 지지 선반부와 협동해서 구성하는 상기 웨이퍼 캐리어의 공간을 두고 떨어져 있는 소자들과 상기 웨이퍼의 주변부를 맞물리게 하는 단계를 포함하는, 웨이퍼 처리 방법.
  27. 웨이퍼 캐리어에 있어서,
    수평 방향으로 연장하고 서로 반대편에서 대향하는 상부 표면 및 하부 표면을 가지는 본체를 포함하며,
    상기 본체는 각각의 웨이퍼 유지 영역 내에 웨이퍼 지지부를 구성하며,
    각각의 상기 웨이퍼 지지부는 상기 웨이퍼의 상부 표면이 상기 본체의 상부 표면에 노출되어 있는 웨이퍼를 유지하도록 구성되어 있으며,
    상기 본체는 열이 수평 방향으로 전도하지 못하도록 하나 이상의 열 장벽을 가지는, 웨이퍼 캐리어.
  28. 제27항에 있어서,
    상기 웨이퍼 캐리어는 수직 방향으로 열 전도율이 상이한 영역들을 가지며,
    상기 하나 이상의 열 장벽은 상기 전도율이 상이한 영역들 사이에 배치되어 있는, 웨이퍼 캐리어.
  29. 웨이퍼 캐리어에 있어서,
    서로 반대편에서 대향하는 상부 표면 및 하부 표면을 가지는 본체; 및
    상기 상부 표면과 상기 하부 표면 사이를 연장하는 중심 축
    을 포함하며,
    상기 본체의 상부 표면은 주변 벽(peripheral wall)을 각각 가지는 복수의 포켓을 포함하며,
    상기 복수의 포켓의 주변 벽은 상기 웨이퍼 캐리어의 중심 축으로부터 원격의 상기 주변 벽의 한 영역에서 공간을 두고 떨어져 있는 복수의 돌출부(projection)를 가지며,
    상기 복수의 돌출부는 상기 포켓 내에 배치된 웨이퍼의 에지의 공간을 두고 떨어져 있는 부분들과 맞물리도록 되어 있는, 웨이퍼 캐리어.
  30. 제29항에 있어서,
    각각의 상기 포켓은 중심 축을 가지며,
    각각의 상기 포켓의 주변 벽은, 서로 공간을 두고 떨어져 있고 상기 포켓의 중심 축을 통해 상기 웨이퍼 캐리어의 중심 축으로부터 연장하는 방사 선(radial line)의 양쪽에 배치된 두 개의 돌출부를 포함하는, 웨이퍼 캐리어.
KR1020117005783A 2008-08-29 2009-08-28 가변 열 저항을 가진 웨이퍼 캐리어 KR101294129B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US19049408P 2008-08-29 2008-08-29
US61/190,494 2008-08-29
PCT/US2009/004931 WO2010024943A2 (en) 2008-08-29 2009-08-28 Wafer carrier with varying thermal resistance

Publications (2)

Publication Number Publication Date
KR20110042225A true KR20110042225A (ko) 2011-04-25
KR101294129B1 KR101294129B1 (ko) 2013-08-07

Family

ID=41722191

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117005783A KR101294129B1 (ko) 2008-08-29 2009-08-28 가변 열 저항을 가진 웨이퍼 캐리어

Country Status (7)

Country Link
US (1) US20100055318A1 (ko)
EP (3) EP2562290A3 (ko)
JP (3) JP5200171B2 (ko)
KR (1) KR101294129B1 (ko)
CN (2) CN102144280B (ko)
TW (1) TWI397113B (ko)
WO (1) WO2010024943A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102983093A (zh) * 2012-12-03 2013-03-20 安徽三安光电有限公司 一种用于led外延晶圆制程的石墨承载盘
KR20130094601A (ko) * 2012-02-16 2013-08-26 엘지이노텍 주식회사 반도체 제조 장치
KR101477142B1 (ko) * 2013-09-13 2014-12-29 (주)티티에스 기판 지지대 및 이를 구비하는 기판 지지 장치.
KR20150093495A (ko) * 2014-02-07 2015-08-18 엘지이노텍 주식회사 반도체 제조 장치
US9673265B2 (en) 2012-12-12 2017-06-06 Samsung Display Co., Ltd. Deposition apparatus, method of forming thin film using the same and method of manufacturing organic light emitting display apparatus

Families Citing this family (287)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
CN102859645B (zh) 2010-02-24 2016-05-04 威科仪器有限公司 带温度分布控制的加工方法和装置
KR20130007594A (ko) * 2010-03-03 2013-01-18 비코 인스트루먼츠 인코포레이티드 경사진 에지를 가진 웨이퍼 캐리어
CN102959140B (zh) * 2010-04-30 2016-01-20 佳能安内华股份有限公司 外延膜形成方法、真空处理设备、半导体发光元件制造方法、半导体发光元件和照明装置
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
JP5615102B2 (ja) * 2010-08-31 2014-10-29 株式会社ニューフレアテクノロジー 半導体製造方法及び半導体製造装置
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120227667A1 (en) * 2011-03-10 2012-09-13 Applied Materials, Inc. Substrate carrier with multiple emissivity coefficients for thin film processing
US20120234229A1 (en) * 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
US9653340B2 (en) 2011-05-31 2017-05-16 Veeco Instruments Inc. Heated wafer carrier profiling
CN102828169A (zh) * 2011-06-13 2012-12-19 北京北方微电子基地设备工艺研究中心有限责任公司 一种载片托盘、托盘装置和结晶膜生长设备
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
JP2013131614A (ja) * 2011-12-21 2013-07-04 Bridgestone Corp ウエハホルダ
CN103074607A (zh) * 2012-02-22 2013-05-01 光达光电设备科技(嘉兴)有限公司 石墨盘、具有上述石墨盘的反应腔室
US9816184B2 (en) * 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
KR20130111029A (ko) * 2012-03-30 2013-10-10 삼성전자주식회사 화학 기상 증착 장치용 서셉터 및 이를 구비하는 화학 기상 증착 장치
US10316412B2 (en) * 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
US20140084529A1 (en) * 2012-09-26 2014-03-27 Chae Hon KIM Wafer carrier with pocket
US20140102372A1 (en) * 2012-10-11 2014-04-17 Epistar Corporation Wafer carrier
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2016015353A (ja) * 2012-11-20 2016-01-28 サンケン電気株式会社 半導体製造装置、半導体装置、および、半導体装置の製造方法
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US10167571B2 (en) * 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN104183532B (zh) * 2013-05-24 2017-04-12 理想晶延半导体设备(上海)有限公司 用于承载基片的基座及其基片处理的方法
US9814099B2 (en) * 2013-08-02 2017-11-07 Applied Materials, Inc. Substrate support with surface feature for reduced reflection and manufacturing techniques for producing same
CN103614709B (zh) * 2013-12-12 2015-10-07 济南大学 用于mocvd反应室的组合基座式电磁加热装置
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
CN103824796B (zh) * 2014-01-07 2017-04-12 苏州新纳晶光电有限公司 用于led外延制程的石墨承载盘及其配套衬底
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
DE102014114947A1 (de) * 2014-05-16 2015-11-19 Aixtron Se Vorrichtung zum Abscheiden von Halbleiterschichten sowie einen Suszeptor zur Verwendung in einer derartigen Vorrichtung
JP2015222802A (ja) * 2014-05-23 2015-12-10 株式会社東芝 ウエハホルダおよび蒸着装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6449074B2 (ja) * 2015-03-25 2019-01-09 住友化学株式会社 基板処理装置及び基板処理方法
US10438795B2 (en) 2015-06-22 2019-10-08 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170032992A1 (en) 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102016209012A1 (de) * 2015-12-18 2017-06-22 E.G.O. Elektro-Gerätebau GmbH Heizeinrichtung
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6924196B2 (ja) * 2016-01-19 2021-08-25 インテヴァック インコーポレイテッド 基板製造用のパターンチャック
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10629416B2 (en) 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018158348A1 (en) * 2017-02-28 2018-09-07 Sgl Carbon Se Substrate-carrier structure
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10829866B2 (en) 2017-04-03 2020-11-10 Infineon Technologies Americas Corp. Wafer carrier and method
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108642477A (zh) * 2018-05-24 2018-10-12 济南大学 一种用于电磁加热mocvd反应室的加热装置
JP6826554B2 (ja) * 2018-05-25 2021-02-03 日機装株式会社 サセプタ、半導体の製造方法、及び半導体の製造装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108987539A (zh) * 2018-05-31 2018-12-11 华灿光电(浙江)有限公司 一种适用于发光二极管外延片生长的石墨基座
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3863043A4 (en) * 2018-10-04 2021-11-03 Toyo Tanso Co., Ltd. SUSCEPTOR
JP1648519S (ko) 2018-10-04 2019-12-23
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109666922B (zh) * 2018-11-23 2021-04-27 华灿光电(浙江)有限公司 一种石墨基座
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3689543B1 (de) * 2019-01-30 2022-09-21 Carl Zeiss Vision International GmbH Vorrichtung und verfahren zur einbringung einer optischen linse in eine wendeeinrichtung
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN114761615A (zh) * 2019-12-20 2022-07-15 苏州晶湛半导体有限公司 一种晶圆承载盘及化学气相淀积设备
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
DE102020120449A1 (de) * 2020-08-03 2022-02-03 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Wafer-carrier und system für eine epitaxievorrichtung
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113278953B (zh) * 2021-03-26 2022-06-17 华灿光电(苏州)有限公司 石墨基板
CN113278952B (zh) * 2021-03-26 2022-12-06 华灿光电(苏州)有限公司 石墨基板
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117089926B (zh) * 2023-10-20 2024-01-16 杭州海乾半导体有限公司 一种用于提高碳化硅外延片均匀性的载具及其使用方法

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7103019A (ko) * 1971-03-06 1972-09-08
NL7209297A (ko) * 1972-07-01 1974-01-03
US3993018A (en) * 1975-11-12 1976-11-23 International Business Machines Corporation Centrifugal support for workpieces
US5242501A (en) * 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS60173852A (ja) * 1984-02-20 1985-09-07 Wakomu:Kk ウエ−ハ処理用基板ホルダ
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
JPS6396912A (ja) * 1986-10-14 1988-04-27 Toshiba Ceramics Co Ltd 基板ホルダ−
JPS63186422A (ja) * 1987-01-28 1988-08-02 Tadahiro Omi ウエハサセプタ装置
JPH01256118A (ja) * 1988-04-05 1989-10-12 Sumitomo Metal Ind Ltd 気相反応装置
JPH04123265U (ja) * 1991-04-15 1992-11-06 昭和電工株式会社 気相成長装置のウエーハ載置台
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5195729A (en) * 1991-05-17 1993-03-23 National Semiconductor Corporation Wafer carrier
JPH05275355A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 気相成長装置
JPH05335253A (ja) * 1992-06-01 1993-12-17 Toshiba Corp 気相成長装置
JPH0610140A (ja) * 1992-06-24 1994-01-18 Fuji Film Micro Device Kk 薄膜堆積装置
NL9300389A (nl) * 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JP2652759B2 (ja) * 1993-09-03 1997-09-10 コマツ電子金属株式会社 気相成長装置用バレル型サセプタのウエハポケット
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH0936049A (ja) * 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JPH1060674A (ja) * 1996-08-23 1998-03-03 Shibaura Eng Works Co Ltd 真空処理装置
JP3596710B2 (ja) * 1996-09-10 2004-12-02 信越半導体株式会社 気相成長装置用サセプタ
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2000355766A (ja) * 1999-06-15 2000-12-26 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP4592849B2 (ja) * 1999-10-29 2010-12-08 アプライド マテリアルズ インコーポレイテッド 半導体製造装置
US6391804B1 (en) * 2000-06-09 2002-05-21 Primaxx, Inc. Method and apparatus for uniform direct radiant heating in a rapid thermal processing reactor
US20020018506A1 (en) * 2000-06-19 2002-02-14 Lambda Physik Ag Line selection of molecular fluorine laser emission
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
JP2003037071A (ja) * 2001-07-25 2003-02-07 Shin Etsu Handotai Co Ltd サセプタ、気相成長装置および気相成長方法
US20030089457A1 (en) * 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
ITMI20020306A1 (it) * 2002-02-15 2003-08-18 Lpe Spa Suscettore dotato di rientranze e reattore epitassiale che utilizza lo stesso
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US7381276B2 (en) * 2002-07-16 2008-06-03 International Business Machines Corporation Susceptor pocket with beveled projection sidewall
US20040011780A1 (en) * 2002-07-22 2004-01-22 Applied Materials, Inc. Method for achieving a desired process uniformity by modifying surface topography of substrate heater
JP2004128271A (ja) * 2002-10-03 2004-04-22 Toyo Tanso Kk サセプタ
DE10260672A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
JPWO2004090967A1 (ja) * 2003-04-02 2006-07-06 株式会社Sumco 半導体ウェーハ用熱処理治具
JP2004327761A (ja) * 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
JP4647595B2 (ja) * 2004-02-25 2011-03-09 Jx日鉱日石金属株式会社 気相成長装置
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
KR20060023220A (ko) * 2004-09-09 2006-03-14 삼성전자주식회사 반도체 제조용 베이크 장치
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2006088448A1 (en) * 2005-02-16 2006-08-24 Veeco Instruments Inc. Wafer carrier for growing gan wafers
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
KR20070093493A (ko) * 2006-03-14 2007-09-19 엘지이노텍 주식회사 서셉터 및 반도체 제조장치
JP2007251078A (ja) * 2006-03-20 2007-09-27 Nuflare Technology Inc 気相成長装置
DE102006018514A1 (de) * 2006-04-21 2007-10-25 Aixtron Ag Vorrichtung und Verfahren zur Steuerung der Oberflächentemperatur eines Substrates in einer Prozesskammer
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130094601A (ko) * 2012-02-16 2013-08-26 엘지이노텍 주식회사 반도체 제조 장치
CN102983093A (zh) * 2012-12-03 2013-03-20 安徽三安光电有限公司 一种用于led外延晶圆制程的石墨承载盘
US9673265B2 (en) 2012-12-12 2017-06-06 Samsung Display Co., Ltd. Deposition apparatus, method of forming thin film using the same and method of manufacturing organic light emitting display apparatus
US10214808B2 (en) 2012-12-12 2019-02-26 Samsung Display Co., Ltd. Deposition apparatus
KR101477142B1 (ko) * 2013-09-13 2014-12-29 (주)티티에스 기판 지지대 및 이를 구비하는 기판 지지 장치.
KR20150093495A (ko) * 2014-02-07 2015-08-18 엘지이노텍 주식회사 반도체 제조 장치

Also Published As

Publication number Publication date
JP5560355B2 (ja) 2014-07-23
EP2562290A2 (en) 2013-02-27
KR101294129B1 (ko) 2013-08-07
JP2013138224A (ja) 2013-07-11
JP2012501541A (ja) 2012-01-19
EP2562290A3 (en) 2016-10-19
JP2014207465A (ja) 2014-10-30
EP2562291A1 (en) 2013-02-27
US20100055318A1 (en) 2010-03-04
WO2010024943A2 (en) 2010-03-04
TWI397113B (zh) 2013-05-21
TW201017728A (en) 2010-05-01
EP2338164A4 (en) 2012-05-16
CN105810630A (zh) 2016-07-27
EP2338164A2 (en) 2011-06-29
CN102144280A (zh) 2011-08-03
CN102144280B (zh) 2016-05-04
JP5200171B2 (ja) 2013-05-15
WO2010024943A3 (en) 2010-06-17

Similar Documents

Publication Publication Date Title
KR101294129B1 (ko) 가변 열 저항을 가진 웨이퍼 캐리어
KR101885747B1 (ko) 개선된 웨이퍼 캐리어
KR100889437B1 (ko) 기상 성장 장치용 서셉터
JP4970554B2 (ja) Mocvd法またはhvpe法を選択的に用いて結晶層を堆積させるための装置および方法
TWI573895B (zh) Cvd反應器及cvd反應器用之基板架
US20090194024A1 (en) Cvd apparatus
KR20130037688A (ko) 열 특징부를 갖는 웨이퍼 캐리어
KR20150132486A (ko) 화학 기상 증착 시스템의 개선된 가열 균일성을 제공하는 웨이퍼 캐리어
US9150981B2 (en) Manufacturing apparatus and method for semiconductor device
CN107978552B (zh) 气相生长装置、环状支架以及气相生长方法
US20120108081A1 (en) Apparatus having improved substrate temperature uniformity using direct heating methods
US20120227667A1 (en) Substrate carrier with multiple emissivity coefficients for thin film processing
US20120073502A1 (en) Heater with liquid heating element
JP2023165612A (ja) 気相成長装置、及びリフレクタ
KR20120051968A (ko) 서셉터 및 이를 구비한 화학 기상 증착 장치
WO2024064461A1 (en) Wafer carrier assembly with improved temperature uniformity

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170724

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180726

Year of fee payment: 6