KR101885747B1 - 개선된 웨이퍼 캐리어 - Google Patents

개선된 웨이퍼 캐리어 Download PDF

Info

Publication number
KR101885747B1
KR101885747B1 KR1020137006320A KR20137006320A KR101885747B1 KR 101885747 B1 KR101885747 B1 KR 101885747B1 KR 1020137006320 A KR1020137006320 A KR 1020137006320A KR 20137006320 A KR20137006320 A KR 20137006320A KR 101885747 B1 KR101885747 B1 KR 101885747B1
Authority
KR
South Korea
Prior art keywords
wafer
carrier
delete delete
main portion
minor
Prior art date
Application number
KR1020137006320A
Other languages
English (en)
Other versions
KR20130097184A (ko
Inventor
보리스 볼프
율리이 라슈코브스키
Original Assignee
비코 인스트루먼츠 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 비코 인스트루먼츠 인코포레이티드 filed Critical 비코 인스트루먼츠 인코포레이티드
Publication of KR20130097184A publication Critical patent/KR20130097184A/ko
Application granted granted Critical
Publication of KR101885747B1 publication Critical patent/KR101885747B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Packaging Frangible Articles (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

화학 기상 증착과 같은 웨이퍼 처리들에서 사용되는 웨이퍼 캐리어(32)는 웨이퍼들을 유지하기 위한 포켓들(pockets)(40, 240), 및 그 포켓들의 플로어(floor)들 위로 그 웨이퍼들을 지지하기 위한 지지 표면들을 구비한다. 캐리어는 지지표면들(56, 254) 로부터 먼 방향으로 상향 이동을 막도록 웨이퍼를 제한하기 위한 로크(lock)들이 제공된다. 상향 이동을 방지하도록 웨이퍼들을 제한하는 것은 웨이퍼와 플로어 표면들 간의 간격에 대한 웨이퍼 왜곡의 효과를 제한하며, 따라서 열 전달에 대한 웨이퍼 왜곡 효과들을 제한한다. 캐리어는 메인 부분(38), 및 메인 부분보다 높은 열 전도성을 가지는 마이너 부분(44)을 포함할 수 있다.

Description

개선된 웨이퍼 캐리어{ENHANCED WAFER CARRIER}
본 출원은 2010년 8월 13일에 출원된 미국 특허 출원 제12/855,739호의 계속출원이며, 이 개시는 본 명세서에서 참조로 결합된다.
본 발명은 웨이퍼 처리 장치, 그러한 처리 장치에서 사용하기 위한 웨이퍼 캐리어들, 및 웨이퍼 처리 방법에 관한 것이다.
많은 반도체 디바이스들이 기판 상의 반도체 물질의 에피택셜 성장에 의해 형성된다. 기판은 통상적으로 디스크, 보통 "웨이퍼" 로서 지칭되는 형태의 결정 물질이다. 예를 들어, III-V 반도체들과 같은 화합물 반도체들로 형성된 디바이스들은 통상적으로 금속 유기 화학 기상 증착 또는 "MOCVD" 를 사용하여 화합물 반도체의 연속적인 층들을 성장시킴으로서 형성된다. 이 프로세스에서, 그 웨이퍼가 상승된 온도로 유지되는 동안, 웨이퍼들은, 그 웨이퍼의 표면 위에 흐르는 V 족 원소의 소스 및 금속 유기 화합물을 통상적으로 포함하는 기체들의 조합에 노출된다. III-V 반도체의 한 예는, 적절한 결정 격자 간격을 구비한 기판, 예컨대 사파이어 기판, 상에서의 유기 갈륨 화합물과 암모니아의 반응에 의해 형성될 수 있는 질화 갈륨(gallium nitrid) 이다. 통상적으로, 그 웨이퍼는 질화 갈륨 및 관련 화합물들의 증착 동안 500 - 1200 ℃ 의 차수의 온도에서 유지된다.
약간 상이한 반응 조건들, 예로서, 반도체의 결정 구조 및 밴드갭을 다양화시키기 위한 다른 III족 또는 V족 의 부가들, 하에서 웨이퍼의 표면 상에 연속적으로 많은 층들을 증착함으로서 복합 디바이스(composite devic)들이 제작될 수 있다. 예를 들어, 질화 갈륨 기반의 반도체에서, 인듐, 알루미늄, 또는 둘 모두가 반도체 갭을 다양하게 만들기 위해 다양한 비율로 사용될 수 있다. 또한, p형 또는 n형 도펀트들이 각 층의 전도성을 제어하기 위해 부가될 수 있다. 그 반도체 모두를 형성한 후에, 그리고 통상적으로 적절한 전기 콘택트들이 적용된 후에, 그 웨이퍼는 개별 디바이스들로 절단된다. 발광 다이오드들("LEDs"), 레이저들, 및 다른 전자 또는 광전자 디바이스들과 같은 디바이스들이 이러한 방식으로 제조될 수 있다.
통상적인 화학 기상 증착 프로세스에서, 각 웨이퍼의 최상부 표면이 웨이퍼 캐리어의 최상부 표면에 노출되도록, 보통 웨이퍼 캐리어로 지칭되는 디바이스 상에 많은 웨이퍼들이 유지된다. 웨이퍼 캐리어는, 그후, 반응 챔버에 위치되고, 기체 혼합물이 웨이퍼 캐리어의 표면 위로 흐르는 동안 원하는 온도로 유지된다. 그 프로세스 동안 웨이퍼 캐리어 상에 다양한 웨이퍼들의 최상부 표면들 상의 모든 점들에서 균일한 조건들을 유지하는 것이 중요하다. 반응성 기체들의 조성 및 웨이퍼 표면들의 온도에 있어서의 사소한 변화들은 최종 반도체 디바이스의 특성들에 원치않는 변화들을 야기한다. 예를 들어, 갈륨 및 인듐 나이트라이드 층이 증착되면, 웨이퍼 표면 온도에 있어서의 변화들은 그 증착된 층의 조성 및 밴드갭에 있어서 변화들을 야기할 것이다. 인듐이 상대적으로 높은 기상 압력을 가지기 때문에, 그 증착된 층은, 그 표면 온도가 더 높은 경우, 웨이퍼의 그 영역들 내에 더 큰 밴드갭과 더 낮은 비율의 인듐을 가질 것이다. 그 증착된 층이 LED 구조의 활성의 발광 층이라면, 그 웨이퍼로부터 형성된 LED 들의 발광 파장은 또한 다양할 것이다. 따라서, 지금까지는 본 기술분야에서 균일한 조건들을 유지하는데 상당한 노력들이 기울여져 왔다.
본 산업에서 널리 수용되어 온 CVD 장치의 한 유형은, 예를 들어, 미국 특허 출원 제2010-0055318호에 설명되어 있으며, 그 개시는 본 명세서에서 참조로 결합되어 있다. 이러한 공개공보에 도시된 특정 실시예들에 따른 장치는, 많은 웨이퍼 유지 영역들(wafer-holding regions)을 구비한 대형 디스크의 형태로 웨이퍼 캐리어를 사용한다. 웨이퍼들의 노출된 표면들을 구비한 웨이퍼 캐리어의 최상부 표면이 기체 분배 요소를 향해 위쪽으로 향하도록, 반응 챔버 내에 스핀들(spindle) 상에 웨이퍼 캐리어가 지지된다. 스핀들이 회전되는 동안, 그 기체는 웨이퍼 캐리어의 최상부 표면 상으로 아래쪽으로 지향되며, 웨이퍼 캐리어의 주변부를 향해 최상부 표면을 가로질러 흐른다. 사용된 기체는 웨이퍼 캐리어의 아래에 배치된 포트들을 통해 반응 챔버로부터 배출된다. 웨이퍼 캐리어는, 가열 요소들, 통상적으로 웨이퍼 캐리어의 저부 표면 아래에 배치된 전기 저항 가열 요소들에 의해 원하는 상승된 온도로 유지된다. 이러한 가열 요소들은 웨이퍼 표면들의 원하는 온도보다 높은 온도로 유지되는 반면에, 기체 분배 요소가 그 기체들의 미성숙한 반응을 방지하기 위해 원하는 반응 온도 아래의 온도로 잘 유지된다. 그러므로, 열이 저항성 가열 요소로부터 웨이퍼 캐리어의 저부 표면으로 전달되고, 웨이퍼 캐리어를 통해 개별 웨이퍼들로 위쪽으로 흐른다.
그러한 시스템들의 최적화를 설계하기 위해 지금까지 본 기술분야에서 상당한 노력들이 기울여져 왔지만, 여전히 추가적인 개선들이 바람직하다. 특히, 각 웨이퍼의 표면을 가로질러 온도의 더 나은 균일성, 및 전체 웨이퍼 캐리어를 가로질러 더 양호한 온도 균일성을 제공하는 것이 바람직하다.
본 발명의 한 관점은 웨이퍼들을 처리하는 방법들을 제공한다. 본 발명의 이러한 관점에 따른 방법은 바람직하게는 축에 대하여 캐리어를 회전시키는 단계들을 포함한다. 캐리어는, 축에 평행하게 상향 방향으로 접해있는 웨이퍼들의 최상부 표면들을 구비한 복수의 웨이퍼들을 그 캐리어 상에 배치되도록 구비한다. 이러한 방법은, 회전 단계 동안 웨이퍼들을 처리(treat)하는 것 뿐만 아니라, 회전 단계 동안 캐리어의 위쪽으로 향한 지지 표면들 상에서 웨이퍼들을 지지하는 것과, 회전 단계 동안 지지 표면들로부터 먼 방향으로 웨이퍼들이 상향 이동하지 못하도록 제한하는 것을 포함한다. 그 처리 단계는 캐리어로부터 웨이퍼들로 열을 전달하는 단계를 포함한다. 예를 들어, 이상에서 논의된 화학 기상 증착 프로세스는 회전 단계 동안 수행될 수 있다. 이러한 방법은 바람직하게는, 회전 단계 동안 그 축으로부터 먼 방향으로 웨이퍼들이 방사상 이동을 하지 못하도록 제한하는 단계를 더 포함한다. 본 발명의 이러한 관점에 따른 바람직한 방법들에서, 웨이퍼들이 상향 이동하지 못하도록 제한하는 것은, 캐리어와 웨이퍼들 간의 열 전달에 대한 웨이퍼 왜곡의 효과들을 제한하고, 따라서 이하에서 더 논의되는 바와 같이 웨이퍼 표면 온도의 균일성을 개선시킨다.
본 발명의 추가적인 관점은 웨이퍼 캐리어들을 제공한다. 본 발명은 이러한 관점에 따른 웨이퍼 캐리어는 바람직하게는, 반대로 대향하고 있는 최상부 표면 및 저부 표면들을 구비한 보디(body)를 포함하고, 그 보디는 그 보디의 최상부 표면으로 개방된 복수의 포켓들을 구비한다. 캐리어는 바람직하게는, 각 포켓 내의 보디의 최상부 표면 아래에 배치된 위쪽으로 향한 지지 표면을 정의한다. 가장 바람직하게는, 본 발명의 이러한 관점에 따른 캐리어가 포켓들과 연관된 로크들을 포함한다. 각 로크(lock)는 바람직하게는 아래쪽으로 향한 로크 표면을 구비한다. 그 로크가 동작 위치에 있을 때, 포켓에 배치되고 그 지지 표면상에 기대어진 웨이퍼가 로크 표면에 의해 상향 이동이 적어도 부분적으로 제한되도록, 로크 표면은 연관된 포켓으로 또는 그 연관된 포켓 위로 연장된다.
본 발명의 다른 관점에 따른 웨이퍼 캐리어는, 수형 방향들로 연장된 반대방향으로 대향하는 최상부 및 저부 표면들을 구비한 보디(body), 및 최상부 표면에서 개방된 복수의 포켓들을 포함하고, 그러한 포켓 각각은 웨이퍼의 최상부 표면이 보디의 최상부 표면에서 노출되도록 그 웨이퍼를 유지하도록 적응된다. 보디는 바람직하게는, 제1 열 전도성을 구비한 제1 물질로부터 형성된 메인 부분을 포함한다. 바람직하게는, 그 메인 부분은 포켓들과 정렬된 수직 연장된 홀들(holes)을 구비하고, 보디는 메인 부분의 홀들에 배치된 마이너 부분들을 더 포함한다. 마이너 부분들은 바람직하게는 제1 열 전도성보다 더 높은 제2 열전도성을 구비하는 제2 물질로부터 형성된다. 보디는 메인 부분과 각 마이너 부분 사이의 수직 연장된 열 장벽을 더 포함할 수도 있으며, 그 열 장벽들은 메인 부분과 마이너 부분 사이에서 수평 방향으로의 열 전도를 방지한다.
본 발명의 다른 관점에 따른 웨이퍼 캐리어는, 메인 부분들 및 마이너 부분들을 구비한 보디를 포함할 수도 있으며, 부가적으로 메인 부분과 각각의 마이너 부분 사이의 수직 연장된 보더 부분(border portion)을 부가적으로 구비할 수도 있다. 보더 부분들은 바람직하게는 메인 부분의 열 전도성과 상이한 수직방향으로의 열 전도성을 갖는다.
그러나, 본 발명의 다른 관점은 이상에서 논의된 바와 같은 웨이퍼 캐리어들을 결합한 처리 장치(treatment apparatus) 를 제공한다.
도 1은 본 발명의 한 실시예에 따른 화학 기상 증착 장치를 도시하는 간략화된 개략적인 단면도이다.
도 2는 도 1의 장치에서 사용된 웨이퍼 캐리어의 도식적인 최상부 평면도이다.
도 3은, 웨이퍼와 연결된 웨이퍼 캐리어를 도시하는, 도 1에서 선 3-3을 따른 단편적이고, 도식적인 단면도이다.
도 4는 도 2 및 도 3의 웨이퍼 캐리어의 부분을 도시하는 단편적인 최상부 평면도이다.
도 5는 도 4에서 도시된 영역을 도시하는 확대된 스케일의 단편도이다.
도 6은, 도 3과 유사하지만, 상이한 동작 조건 동안 도 1-5의 웨이퍼 캐리어들 및 웨이퍼를 도시하는 도면이다.
도 7은, 도 6에 유사하지만, 도 6과 유사한 동작 조건에서 통상적인 웨이퍼 캐리어 및 웨이퍼를 도시하는 도면이다.
도 8은 본 발명의 추가적인 실시예에 따른 웨이퍼 캐리어를 도시하는 단편적인 최상부 평면도이다.
도 9는 도 8에서 선 9-9 를 따라 취해진 확대된 스케일의 단편적인 단면도이다.
도 10은 도 8에서 선 10-10 을 따라 취해진 확대된 스케일의 단편적인 단면도이다.
도 11, 12, 및 13은 본 발명의 추가적인 실시예들에 따른 웨이퍼 캐리어들의 부분을 도시하는, 단편적이고, 도식적인 단면도들이다.
본 발명의 한 실시예에 따른 화학 기상 증착 장치는 챔버의 한 단부에 배열된 기체 분배 요소(12)를 구비하는 반응 챔버(10)를 포함한다. 기체 분배 요소(12)를 구비하는 단부는 챔버(10)의 "최상부" 단부로서 본 명세서에서 지칭된다. 그 챔버의 이러한 단부는 통상적으로, 반드시 필수적인 것은 아니지만, 참조의 보통의 중력 프레임(gravitational frame)에서 챔버의 최상부에 배치된다. 따라서, 본 명세서에서 사용된 아래쪽 방향은 기체 분배 요소(12)로부터 멀어지는 방향을 지칭하고; 반면에 위쪽 방향은 기체 분배 요소(12)를 향하는 챔버 내의 방향을 지칭하며, 이들 방향들은 중력의 위쪽 방향 및 아래쪽 방향들로 정렬되는 것과는 상관 없다. 유사하게, 요소들의 "최상부" 및 "저부" 표면들은 챔버(1) 및 요소(12)의 참조의 프레임(frame of reference)을 참조하여 본 명세서에서 설명된다.
기체들의 소스들(14)이, III 족 금속의 소스, 통상적으로 유기 금속 화합물, 또는 V 족 원소의 소스, 예컨대 암모니아, 또는 다른 V 족 혼성체와 같은 반응 기체들 및 캐리어 기체들과 같은, CVD 프로세스 내에서 사용될 기체들의 소스들(14)에 기체 분배 요소(12)가 연결된다. 기체 분배 요소는, 다양한 기체들을 수신하고 일반적으로 아래쪽 방향으로 기체들의 흐름을 지향하도록 배열된다. 기체 분배 요소(12)는 바람직하게는, 동작 동안 원하는 온도로 그 기체 분배 요소의 온도를 유지하기 위해, 기체분배 요소를 통해 액체를 순환시키도록 배열된 냉각제 시스템(16)에 또한 연결된다. 챔버(10)는 또한, 기체 분배 요소로부터 아래쪽 방향으로 기체의 계속적인 흐름을 허용하기 위해 챔버의 저부에서 또는 챔버의 저부 부근에서 포트들(도시되지 않음)을 통해 챔버의 내부로부터 소비 기체들을 제거하도록 배열된 배기 시스템(18)이 설치되어 있다.
스핀들(20)은, 스핀들의 중심축(22)이 위쪽 방향으로 및 아래쪽 방향으로 연장되도록 챔버 내에 배열된다. 스핀들은 기체 분배 요소(12)에, 그 최상부 단부, 즉 가장 최근접한 스핀들의 단부에서, 피팅(fitting)(24)을 구비한다. 도시된 특정 실시예에서, 피팅(24)은 일반적으로 원뿔형 요소이다. 스핀들(20)은 축(22)에 대하여 스핀들을 회전시키도록 배열된 전기 모터 드라이브와 같은 회전 드라이브 메커니즘(26)에 연결된다. 가열 요소(28)가 챔버 내에 장착되며 피팅(24) 아래에서 스핀들(20)을 둘러싼다. 그 챔버는 또한, 웨이퍼 캐리어들의 삽입 및 제거를 위한 개방가능한 포트(30)가 제공된다. 이상의 요소들은 통상적인 구성일 수 있다. 예를 들어, 본 출원의 양수인인, 미국 뉴욕 플레인뷰의 베코 인스트루먼트사(Veeco Instruments, Inc.)에 의해 등록된 상표, TURBODISC 하에서 적절한 반응 챔버들이 상업적으로 판매된다.
도 1에서 도시된 동작 조건에서, 웨이퍼 캐리어(32)는 스핀들의 피팅(24) 상에 장착된다. 웨이퍼 캐리어는, 일반적으로 최상부 및 저부 표면들에 수직으로 연장된 중심축(25)을 구비한 원형 디스크의 형태의 보디를 포함하는 구조를 구비한다. 웨이퍼 캐리어의 보디는, 본 명세서에서 "최상부" 표면(34)로 지칭되는 제1 주 표면, 및 본 명세서에서 "저부" 표면(36)으로 지칭되는 제2 주 표면을 구비한다. 웨이퍼 캐리어의 구조는 또한, 스핀들(spindle)의 피팅(fitting)(24)을 인게이징하고 기체 분배 요소(12)를 향해 위쪽으로 향한 최상부 표면(34)을 구비한 스핀들 상의 웨이퍼 캐리어의 보드를 유지하도록 배열된 피팅(39)을 구비하며, 그 저부 표면(36)은 가열 요소(28)를 향해 아래쪽으로 그리고 기체 분배 요소로부터 먼 방향으로 향한다. 단순히 예로서, 웨이퍼 캐리어 보디는 약 465 mm 직경일 수 있으며, 최상부 표면(34)과 저부 표면(32) 사이의 캐리어의 두께는 15.9 mm 의 차수일 수 있다. 예시되는 특정 실시예에서, 피팅(39)은 보디(32)의 저부 표면에서 원뿔대형 침하(frustoconical depression)로서 형성된다. 그러나, 함께 계류중이고 공동 양도된 미국 특허 공개공보 제2009-0155028 A1 호(그 개시는 본 명세서에서 참조로 결합됨)에서 설명된 바와 같이, 그 구조는 보디로부터 분리되어 형성되는 서브를 포함할 수 있으며 그 피팅은 그러한 허브에 결합될 수도 있다. 또한, 피팅의 구성은 스핀들의 구성에 의존할 것이다.
보디는 바람직하게는, 예를 들어, 탄화물, 질화물, 또는 산화물과 같은 내화성 코팅을 구비하거나 구비하지 않은, 예컨대 탄화 규소, 질화 붕소, 탄화 붕소, 질화 알루미늄, 일루미나, 사파이어, 쿼츠(quartz), 그라파이트(graphite), 및 그 조합으로 구성된 스룹으로부터 선택된 물질과 같은 비금속 내화성 제1 물질의 모노리식 슬랩(monolithic slab)으로서 형성된 메인 부분(38)을 포함한다.
캐리어의 보디는 최상부 표면에 개방된 복수의 원형 포켓들(40)을 정의한다. 도 1 및 도 3에서 볼 수 있는 바와 같이, 보디의 메인 부분(38)은 실질적으로 평면 의 최상부 표면(34)을 정의한다. 메인 부분(38)은 최상부 표면(34)으로부터 저부 표면(36)으로 메인 부분(38)을 통해 연장되는 홀들(42)을 구비한다. 마이너 부분(44)이 각 홀(42) 내에 배치된다. 각 홀 내에 배치된 마이너 부분(44)은 각 포켓(40)의 플로어 표면(46)을 정의하며, 플로어 표면은 최상부 표면(34) 아레에서 리세싱(recess)된다. 마이너 부분(44)은 제2 물질, 바람직하게는, 예컨대 탄화물, 질화물, 또는 산화물과 같은 내화성 코팅을 구비하거나 구비하지 않은 탄화 규소, 질화 붕소, 탄화 붕소, 질화 알루미늄, 알루미나, 사파이어, 쿼츠, 그라파이트, 및 그 조합으로 구성된 비금속 내화성 물질로부터 형성된다. 제2 물질은 바람직하게는 메인 부분을 구성하는 제1 물질과 상이하다. 제2 물질은 대부분 바람직하게는, 제1 물질의 열 전도성보다 더 높은 열 전도성을 갖는다. 예를 들어, 그라파이트로부터 메인 부분이 형성되는 경우, 마이너 부분들은 탄화 규소로부터 형성될 수도 있다. 마이너 부분들(44) 및 메인 부분(38)은 협력하여 보디의 저부 표면(36)을 정의한다. 도 3에 도시된 특정 실시예에서, 메인 부분(38)의 저부 표면은 평면적이고, 마이너 부분들(44)의 저부 표면들은 메인 부분의 저부 표면과 공동 평면상에 있으며, 따라서 저브 표면(36)이 평면적이다.
마이너 부분들(44)은 홀들(40)의 벽들과 마찰하여 인게이징(engage)되어 있다. 예를 들어, 마이너 부분들은 메인 부분을 상승된 온도로 올리고 차가운 마이너 부분들을 홀들로 삽입함으로서, 홀들로 압입 피팅(press-fit) 되거나, 수축 피팅(shrink-fit) 될 수도 있다. 바람직하게는, 모든 포켓들이 균일한 깊이로 되어 이다. 이 균일성은, 마이너 부분들을 그라인딩(grind)하거나 폴리싱(polish)함에 의해서와 같이, 균일한 두께로 모든 마이너 부분들을 형성함으로서 쉽게 달성될 수 있다.
각 마이너 부분(44)과 메인 부분(38)의 주변 물질 사이에 열 장벽(48)이 존재한다. 열 장벽은, 캐리어의 최상부 및 저부 표면들에 평행하게, 수평 방향들로 열 전도성을 구비한 영역이며, 메인 부분의 벌크 물질의 열 전도성보다 낮다. 도 3에 도시된 특정 실시예에서, 열 장벽은, 홀(42)을 정의하는 메인 부분(38)의 벽에 그루브에 형성된, 예를 들어 약 100 마이크론 이상의 두께와 같은 거시적인 갭(macroscopic gap)(48)을 포함한다. 이 갭은 동작 동안 만나는 프로세스 기체들 또는 공기와 같은 기체를 포함하고, 이웃하는 고체 물질들보다 훨씬 더 낮은 열 전도성을 구비한다.
마이너 부분(44) 및 메인 부분(38)의 인접한 표면들은 또한 열 장벽의 부분들을 정의한다. 이 표면들은 거시적 스케일 상에서는 서로 인접하고 있지만, 어떤 표면도 완전히 매끄럽지 않다. 그러므로, 인접하는 표면들의 부분들 사이에 거시적인 기체-충진(gas-filled) 갭들이 존재할 것이다. 이 갭들은 또한 마이너 부분(44)과 메인 부분(38) 사이의 열 전도성을 방해할 것이다.
도 3 및 도 4에 가장 잘 도시된 바와 같이, 캐리어는 포켓들과 연관된 로크들(50)을 더 포함한다. 로크들(50)은 바람직하게는, 마이너 부분들(44)의 전도성보다 낮고 바람직하게는 메인 부분(38)의 전도성보다 낮은, 열 전도성을 구비하는 내화성 물질로부터 형성된다. 예를 들어, 로크들은 쿼츠로부터 형성될 수도 있다. 각 로크는 수직 원통형 샤프트(shaft)의 형태인 중간 부분(52)(도 3), 및 그 중간 부분과 동축의 원형 디스크 형태이고 중간 부분의 축으로부터 먼 방향으로 외부로 돌출한 저부 부분(54)을 포함한다. 각 로크의 저부 부분은 위쪽으로 향한(upwardly-facing) 지지 표면(56)을 정의한다. 각 로크는 중간 부분의 축에 가로질러 돌출한 최상부 부분(58)을 더 포함한다. 그 최상부 부분은 중간 부분(52)의 축에 대하여 대칭이 아니다. 각 로크의 최상부 부분(58)은 그 로크의 지지 표면(56) 위에 높이지만 지지 표면으로부터 떨어져 이격된 아래쪽으로 향한(downwardly-facing) 로크 표면(60)을 정의한다. 따라서, 각 로크는 표면들(56, 60) 사이의 갭(62)을 정의한다.
각 로크는 웨이퍼 캐리어에 고정된다. 도 3 및 도 5에 가장 잘 도시된 바와 같이, 각 로크의 중간 부분(52)은 메인 부분에서 홀(42)의 벽에 기대어 놓인다. 저부 부분(54)은 홀의 벽에 언더컷(undercut)(64)(도 3)으로 연장되고, 따라서 로크는 웨이퍼 캐리어의 보디에 상대적으로 수직인 이동을 막도록 유지시키고, 저부 부분(50)이 포켓의 플로어 표면(46) 상에 기대어(rest) 진다. 도 5에서 볼 수 있는 바와 같이, 메인 부분(38)은 수평 방향들로의 이동을 막는 로크를 유지시키기 위해 홀(42)의 벽으로부터 포켓으로 연장되는 돌출들(66)을 구비할 수도 있다.
도 3 및 도 4에서 도시된 동작 위치에서의 로크들을 가지고, 각 로크의 최상부 부분(58)은 포켓의 중심(68)을 향하여 안쪽으로 돌출한다. 각 로크는, 최상부 부분이 58' 에서 도 5에서의 파선들로 도시된 바와 같이 회전되는, 비동작 위치로 바뀔 수 있으며, 따라서 최상부 부분은 포켓의 중심을 향해 안쪽으로 돌출되지 않는다.
세 개의 로크들(50)이 각 포켓(50)에 대하여 제공된다. "내부" 로크로서 본 명세서에서 지칭되는, 로크(50a)는, 포켓의 중심(68)으로부터 중심축(25)으로 거리(Dc)보다 적은, 캐리어 보디의 중심축(25)으로부터 거리(D50A)(도 4)에 놓인 위치에 배치된다. 로크들(50b, 50c)은, 중심축으로부터 포켓의 중심(68)으로 거리(Dc) 보다 큰 캐리어의 중심축(25)으롭터의 거리에 배치된, "외부" 로크들이다. 도시된 특정 배열에서, 로크들은 인접 로크들과 동일한 간격들로, 포켓(40)의 주변부 둘레에서 서로로부터 이격된다. 내부 로크(50a)는 포켓의 중심(68)과 캐리어의 중심축(25)을 통해 연장되는 방사선(R) 상에 놓이는 반면에, 두 개의 외부 로크들(50b, 50c)는 이 방사선의 반대 측면들 상에 배치된다.
동작에서, 캐리어가 원형의, 디스크형 웨이퍼들(70)로 로딩(load)된다. 그 비동작 위치에서 각 포켓과 연관된 로크들(50) 중 하나 이상을 가지면, 웨이퍼의 저부 표면(72)이 로크들의 지지 표면들(56) 상에 기대어 지도록 웨이퍼가 포켓상에 배치된다. 로크들의 지지 표면들은 포켓의 플로어 표면(46) 위로 웨이퍼의 저부 표면(72)을 협력하여 지지하며, 따라서 포켓의 플로어 표면과 웨이퍼의 저부 표면 사이의 갭(73)(도 3)이 존재하고, 웨이퍼의 최상부 표면(74)이 캐리어의 최상부 표면(34)과 동일 평면상에 있거나 거의 동일한 평면상에 있다. 로크들을 포함하는 캐리어의 디멘젼들은, 로크들의 중간 부분들(52)과 웨이퍼의 에지 또는 주변 표면(76) 사이의 매우 작은 클리어런스(clearance)가 존재하도록 선택된다. 따라서, 웨이퍼의 에지와 포켓의 벽 사이의 거리(Dw)가 웨이퍼의 주변부 둘레에서 실질적으로 균일하도록 로크들의 중간 부분들이 포켓 내의 웨이퍼에 중심을 결정한다.
로크들은, 각 로크의 최상부 부분(58)과 아래로 향해있는 로크 표면(60)(도 3)이, 포켓 위로 그리고 그에 따라 웨이퍼의 최상부 표면(74) 위로, 안쪽으로 돌출하도록, 동작 위치들에 놓여진다. 로크 표면들(60)은 지지 표면들(56)보다 높은 수직 레벨에서 놓여진다. 따라서, 웨이퍼는 지지 표면(56)과 로크 표면들 사이에서 인게이징되고, 캐리어에 대하여 상향 또는 하향 이동을 방지하도록 제한된다. 로크들의 최상부 또는 저부 요소들은 실현가능할만큼 작으며, 따라서 이들 요소들은 각 웨이퍼의 주변부에 인접합 웨이퍼 표면들의 단지 매우 작은 부분들을 접촉한다. 예를 들어, 로크 표면들 및 지지 표면들은 웨이퍼 표면들의 단지 수 제곱 밀리미터들만을 인게이징할 수도 있다.
통상적으로, 캐리어가 반응 챔버의 외부에 있는 동안 웨이퍼들이 캐리어 상으로 로딩된다. 그 위에 웨이퍼들을 가진 캐리어가 통상의 로봇 장치(도시되지 않음)를 사용하여 반응 챔버에 로딩되고, 따라서 캐리어의 피팅(39)은 스핀들의 피팅(24)과 인게이징되고, 캐리어의 중심축(25)은 스핀들의 축(22)과 일치한다. 스핀들 및 캐리어는 이러한 공통 축 주변에서 회전된다. 채용된 특정 프로세스에 따라서, 그러판 최전은 일분 이상 당 수백 회전일 수 있다.
기체 소스(14)는 기체 분배 요소(12)로 프로세스 기체들 및 캐리어 기체들을 공급하도록 작동되며, 따라서 이 기체들은 웨이퍼 캐리어 및 웨이퍼들을 향하여 아래로 흐르고, 캐리어의 최상부 표면(34) 위로 그리고 웨이퍼들의 노출된 최상부 표면들(74) 위로 일반적으로 방사상의 외부 방향으로 흐른다. 챔버(10)의 벽 및 기체 분배 요소(12)는 이 표면들에서 기체들의 반응을 금지하기 위해 상대적으로 낮은 온도들에서 유지된다.
히터(28)는 원하는 프로세스 온도로 캐리어와 웨이퍼들을 가열하도록 작동되고, 이 온도는 특정 화학 기상 증착 프로세스들에 대하여 500 내지 1200 ℃ 의 차수일 수 있다. 주로 복사열 전달에 의해 히터로부터 캐리어 보디의 저부 표면(36)으로 열이 전달된다. 열은, 캐리어 보디의 메인 부분(38)을 통해 보디의 최상부 표면(34)으로 전도에 의해, 위로 흐른다. 열은 또한, 포켓들의 플로어 표면들과 웨이퍼들의 저부 표면들 사이의 갭들(73)을 가로질러 웨이퍼 캐리어의 마이너 부분들(44)을 통해 위로 흐르며, 웨이퍼들을 통해 웨이퍼들의 최상부 표면들(74)로 흐른다. 보디 및 웨이퍼의 최상부 표면들로부터 챔버(10)의 벽으로 그리고 복사에 의해 기체 분배 요소로 열이 전달되며, 또한 프로세스 기체들로 열이 전달된다.
프로세스 기체들은 웨이퍼들을 처리하기 위해 웨이퍼들의 최상부 표면들에서 반응한다. 예를 들어, 화학 기상 증착 프로세스들에서, 그 프로세스 기체들은 웨이퍼 최상부 표면들 상에 증착을 형성한다. 통상적으로, 웨이퍼들이 결정 물질로부터 형성되고, 증착 프로세스는 웨이퍼의 물질의 격자 간격과 유사한 격자 간격을 구비한 결정 물질의 에피택셜 증착이다.
프로세스 균일성을 위해, 각 웨이퍼의 최상부 표면의 온도는 웨이퍼의 전체 최상부 표면에 걸쳐 일정해야 하며, 캐리어 상의 다른 웨이퍼들의 온도와 동일해야 한다. 이것을 성취하기 위해, 각 웨이퍼의 74의 최상부 표면의 온도는 캐리어 최상부 표면(34)의 온도와 동일해야 한다. 캐리어 최상부 표면의 온도는 보디의 메인 부분(38)을 통한 열 전달 속도에 의존하는 반면에, 웨이퍼 최상부 표면의 온도는 마이너 부분(44), 갭(73), 및 웨이퍼 그 자체를 통한 열 전달 속도에 의존한다. 마이너 부분들(44)의 높은 열 전도성, 및 그 결과적인 낮은 열 저항성은 갭들(73)의 높은 열 저항성에 대하여 보상하고, 따라서 웨이퍼 최상부 표면들이 캐리어 최상부 표면의 온도와 실질적으로 동일한 온도들로 유지된다. 이것은 웨이퍼들의 에지들과 캐리어의 주변 부분들 사이의 열 전달을 최소화하고, 따라서 각 웨이퍼의 전체 최상부 표면에 걸쳐 균일한 온도를 유지하도록 돕는다. 이 효과를 제공하기 위해, 포켓들(46)의 플로어 표면들은 메인 부분(38)의 인접 부분들 보다 높은 온도여야 한다. 보디의 마이너 부분들(44)과 메인 부분들(38) 사이의 열 장벽들(48)은 마이너 부분들(44)로부터 메인 부분으로의 열 손실을 최소화하고, 따라서 이러한 온도 차이를 유지하도록 돕는다.
동작 동안, 각 웨이퍼는 그 캐리어의 회전에 의해 야기된 원심력들에 기인하여 캐리어의 중심축(25)으로부터 먼 방향으로 이동하는 경향이 있다. 각 웨이퍼는 로크들의 중간 부분들(52)에 의해 포켓에서 정확히 중심으로 유지된다. 원심력들은 외부 로크들(50b, 50c)의 중간 부분들(52)에 대하여 각 웨이퍼를 민다. 이 부분들은 교대(abutment) 요소들로서 작용하며, 이것은 웨이퍼의 외부로의 이동을 제한한다. 웨이퍼를 정확한 중심에 위치시키는 것은 웨이퍼의 에지와 포켓의 주변 벽 사이의 균일한 거리 (Dw) 를 유지시키며, 웨이퍼와 포켓 벽 사이의 직접적인 접촉을 피한다. 이것은 웨이퍼와 캐리어 사이의 열 전달을 최소화시키고, 또한 발생하는 임의의 열 전달이 웨이퍼의 중심 주변에서 실질적으로 방사상으로 대칭이 되도록 보장하는 것을 돕는다.
동작 동안, 웨이퍼는 평평한 디스크 형태에서 반구형 형태로 왜곡될 수도 있다. 예를 들어, 웨이퍼의 결정 물질의 왜곡되지 않은 격자 간격을 구비한 결정 물질의 에피택셜 증착은 웨이퍼의 최상부 표면에서 인장 응력 또는 압축 응력을 인가하며 그 웨이퍼는 그러한 응력을 완화시키기 위해 왜곡(distort)된다. 도 6은 도 3에서 도시된 바와 동일한 웨이퍼 및 포켓을 도시하며, 웨이퍼(70)는 반구형 형태로 왜곡된다. 그러한 왜곡(distortion)은 웨이퍼의 중심을 포켓의 플로어 표면(46)을 향해 휘던지 또는 포켓의 플로어 표면(46)으로부터 멀어지도록 휘게 하고, 따라서 웨이퍼 저부 표면(72)과 플로어 표면(46) 간의 갭(73)의 높이가 다양하게 되도록 야기한다. 웨이퍼들의 에지들이 로크들(50)에 의한 상향 이동에 대해 제한되면, 갭의 높이 △H 에서의 변화는 상대적으로 작으며; 이것은 다음 식에 의해 주어진다:
△H = K*d2/8
여기에서,
K 는 웨이퍼 곡률이고;
d는 웨이퍼의 직경이다.
도 7에서 도시된 통상적인 웨이퍼 캐리어에서, 포켓은 언더컷 주변 벽(142), 및 원형 지지 표면(156)을 구비한다. 그 웨이퍼가 캐리어의 중심축으로부터 가장 먼 주변 벽의 외부 부분(142a)에 대하여 기대어지도록 된다. 언더컷 주변 벽은 지지 표면(156)에 대하여 아래로 웨이퍼의 외부 부분(101)을 유지한다. 그러나, 캐리어의 중심 축에 가장 가까운, 웨이퍼의 내부 부분(103)은 캐리어에 상대적으로 상향 이동을 방지하도록 제한되지 않으며, 그로 인해 웨이퍼의 곡률은 내부 부분(103)이 지지 표면(156)으로부터 먼 방향으로 위로 들어올려 지도록 야기한다. 이것은 웨이퍼의 저부 표면(172)과 포켓의 플로어 표면(146) 사이의 갭(173)의 높이 △H' 에서의 큰 차이를 야기한다. 통상적인 웨이퍼 캐리어를 사용하여:
△H' = K*d2/2.
다른 방법에 대하여 서술하면, 로크들에 의해 제한된 웨이퍼(도 3)의 에지를 구비하는 차이 △H 는 통상적인 캐리어가 가진 차이△H'의 단지 1/4 이다. 그 갭을 가로지르는 열 전달의 속도가 그 갭의 높이에 따라 직접적으로 달라지기 때문에, 갭 높이에서의 차이의 급격한 감소는 웨이퍼의 다양한 부분들로의 열 전달에서의 차이들에서 대응하는 감소를 제공한다. 게다가, 웨이퍼가 로크들에 의해 제한되면(도 3), 갭의 높이, 그리고 그에 따른 열 전달이, 웨이퍼의 중심 주변에서 방사상으로 대칭되는 패턴으로 변화한다. 웨이퍼가 제한되는 경우 웨이퍼 에지의 내부 부분이 들어올려 지지 않기 때문에, 웨이퍼 캐리어의 최상부 표면 위로 돌출되는 웨이퍼의 에지들에 의해 야기되는 웨이퍼 최상부 표면 및 웨이퍼 캐리어를 가로지르는 기체들의 흐름에 있어서 어떠한 방해도 존재하지 않을 것이다.
로크들 자체는 기체 흐름에 있어서 작고 국부화된 방햐들을 야기할 수도 있다. 이러한 현상은 로크들, 특히 로크들의 최상부 부분들(58)을 가능한 한 작고 얇게 만들어서 최소화된다. 또한, 로크들의 최상부 부분들은 바람직하게는 유선형(streamlined) 형태를 구비한다. 로크들을 통한 전도에 의해 몇몇의 사소한 열 전달이 존재할 것이지만, 이러한 효과는 로크들과 웨이퍼 사이의 접촉의 작은 영역들에 의해 제한되며, 로크들의 낮은 열 전도성에 의해 제한된다.
이상에서 논의된 구성은 변화될 수 있다. 예를 들어, 이상에서 논의된 로크들은 이상에서 논의된 사소한 부분들 없이 단일 보디를 구비한 웨이퍼 캐리어로 사용될 수 있다. 또한, 로크들, 지지 표면들 및 교대 요소들의 구성은 이상에서 논의된 것으로 부터 변형될 수 있다. 도 8-10 에서 도시된 웨이퍼 캐리어는 포켓들(240)을 정의하는 단일 보디를 구비한다. 각 포켓 내의 위로 향한 지지 표면은, 포켓의 플로어 표면 상에 기대어진 디스크형 버튼들의 형태로 복수의 작은 지지 요소들(254)에 의해 정의된다. 이러한 지지 요소들은 포켓의 주변부 둘레에 분배된다.
각 포켓은 또한 로크(250)를 구비한다. 로크는 캐리어의 중심축(225)을 향하는 방향으로 그리고 그 중심축(225)으로부터 먼 방향으로의 이동을 위해 캐리어 보디에 미끄러질 수 있도록(slidable) 장착된다. 그 로크는 아래 방향으로 포켓의 중심(268)으로부터 먼 방향으로 경사진 웨이퍼-인게이징 표면(wafer-engaging surface)(260)(도 8 및 도 10)을 구비한다. 또다른 방식을 서술하면, 표면(268)의 더 낮은 부분이 동일한 표면의 상부 부분보다 포켓의 중심(268)으로부터 더 멀리 그리고 캐리어의 중심축(225)로부터 더 가까이 놓여 진다. 따라서, 표면(260)은, 포켓의 중심을 향하여 내부로 향하는 것 뿐만 아니라, 플로어 표면(246)을 향하여 아래쪽으로 향한다. 캐리어는, 도 9에 도시된 단면으로 볼 수 있는 바와 같이, 더브테일(dovetail) 형태 또는 일반적인 사다리꼴 형태를 구비하는 채널(202)을 갖는다. 로크(250)는 대응하는 형태를 구비한다. 그 로크는, 로크가 250' 에서 파선으로 도시된 비동작 위치와 실선들로 도시된 동작 위치 사이에서 이동할 수 있도록, 채널에서 인게이징된다. 동작 위치에서, 표면(268)이 포켓에 수용된 웨이퍼(270)의 상부 에지 상에서 지탱하도록, 인게이징 표면(260)을 구비한 로크의 단부가 포켓으로 돌출되거나 포켓의 수직 벽(242) 위로 돌출된다. 캐리어의 회전에 의해 야기된 원심력은 중심축(225)으로부터 멀리 로크를 밀어내고 따라서 포켓의 중심(268)을 향해 로크를 민다. 따라서, 캐리어가 회전할때, 로크(250)는 웨이퍼의 내부 부분(253)을 아래로 유지하고, 웨이퍼를 지지대들(254)와 인게이지먼트하도록 한다. 로크의 디멘젼들은 예시의 명확성을 위해 과장되었다. 실제로, 웨이퍼와 접촉하는 로크의 부분들은 로크를 통한 열 전달을 최소화하도록 실현할수 있을 만큼 작아야 한다.
각 포켓은 또한 교대 요소들(abutment element)(252)를 구비한다. 교대 요소들은 포켓의 중심(268)보다 캐리어의 중심축(225)로부터 더 먼 거리에 배치된다. 교대 요소들은 아래 방향으로 중심축(225)으로부터 먼 방향으로 경사진 표면들(269)을 구비한다. 동작에서, 웨이퍼 상의 원심력들은 표면들(269)에 대하여 웨이퍼를 미는 경향이 있으며, 그에 따라 교대 요소들은 웨이퍼의 외부 부분(251)을 지지대(254)에 대하여 아래 유지한다. 교대 요소들은 캐리어 보디로부터 분리되어 형성될 수도 있으며, 캐리어 보디와 통합될 수도 있다.
추가적인 변형예(도 11)에서, 캐리어 보디의 마이너 부분들(344)은, 쿼츠로 형성된 부싱들(busings)(348), 또는 메인 부분과 마이너 부분들의 열 전도성보다 낮은 열 전도성을 갖는 다른 물질에 의해 메인 부분(338)에 장착될 수도 있다. 여기에서 다시, 바람직하게는, 마이너 부분은 메인 부분보다 높은 열 전도성을 가진다. 부싱(busing)은 마이너 부분과 메인 부분 사이의 열 장벽의 부분으로 작용한다. 부싱과 마이너 부분 사이, 및 부싱과 메인 부분 사이의 고체-대-고체 계면들은, 부가적인 열 장벽들을 제공한다. 이러한 변형예에서, 부싱은 포켓의 수직 벽(342)를 정의한다.
도 12의 실시예는, 각 마이너 부분(444)이 메인 부분(438)에서의 대응 홀(442)보다 더 작은 직경의 보디(443)을 포함하여 갭(448)이 열 장벽으로서 제공되는 점만 제외하면, 도 1-6을 참조하여 이상에서 논의된 실시예와 유사하다. 각 마이너 부분은 또한, 홀들(442)과 마이너 부분의 동심성(concentricity)을 유지하기 위해 메인 부분(438) 내에 꼭 맞춰진 헤드(445)를 포함한다.
도 13의 웨이퍼 캐리어는 도 1-6을 참조하여 이상에서 논의된 캐리어에 유사한 메인 부분 및 마이너 부분들(544)을 포함한다. 그러나, 도 13의 캐리어 보디는, 마이너 부분들을 둘러싸고 각 마이너 부분과 메인 부분 사이에 배치된 고리형 보더 부분들(ring-like border portions)(502)을 포함한다. 보더 부분들(502)은 메인 부분 및 마이너 부분의 열 전도성과 상이한 열 전도성을 갖는다. 예시된 바와 같이, 보드 부분들은 각 포켓의 주변부 아래에 정열된다. 추가적인 변형예에서, 보더 부분들은 각 포켓을 둘러싼 최상부 표면(534)의 일부 아래에 정렬될 수도 있다. 보더 부분들의 열 전도성은 웨이퍼들의 에지로의 열 전달 또는 웨이퍼들의 에지로부터의 열 전달을 방해하기 위해 독립적으로 선택될 수 있다. 예를 들어, 최상부 표면(534)의 그 부분들이 웨이퍼보다 더 뜨거운 경향이 있는 경우, 보더 부분들의 열 전도성은 메인 부분의 열 전도성보다 더 낮을 수 있다.
이상에서 논의된 웨이퍼 캐리어들 및 장치는 웨이퍼들의 표면들을 가로질러 온도 차이들을 실질적으로 감소시킬 수 있다. 그러나, 이상에서 논의된 특징들을 가지고도, 몇몇의 온도 비균일성이 발생할 수 있다. 온도 분배는 각 웨이퍼의 중심에 대하여 일반적으로 방사상으로 대칭이기 때문에, 온도 차이들을 억제하는 경향이 있는 다른 수단들이 쉽게 적용될 수 있다. 예를 들어, 함께 계류중이고 공동 양도된 미국 특허 출원 공개공보 제2010-0055318호(그 개시는 본 명세서에서 참조로 결합됨)에서 개시된 바와 같이, 웨이퍼 캐리어의 열 전도성은 그 두께가 달라짐에 따라 변화될 수 있다. 예를 들어, 그 웨이퍼가 도 6에서 도시된 바와 같이 포켓의 중심에서 포켓의 플로어 표면을 향하여 휘는 경향이 있는 경우, 포켓의 중심에서 갭의 열 전도성은 포켓의 에지의 부근에 갭의 열 전도성 보다 높을 것이다. 이것은, 이 영역에서 열 전도성을 감소시키기 위해, 포켓의 중심 아래에 보디의 영역에서 캐리어 보디의 두께를 증가시킴으로서 억제될 수 있다.
이러한 변형예들 및 다른 변형예들, 이상에서 설명된 특징들의 조합들이 이용될 수 있으므로, 바람직한 실시예들의 이상의 설명은 본 발명의 범위를 제한하는 것으로가 아니라 예시하는 것으로 받아들여져야 한다.
본 발명은, 예를 들어, 반도체 디바이스들의 제조에 적용될 수 있다.

Claims (26)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 웨이퍼 캐리어로서,
    수평 방향들로 연장되고 반대로 대향하는 최상부 표면과 저부 표면, 및 상기 최상부 표면으로 연장되고 상기 최상부 표면으로 개방되는 복수의 포켓들을 구비하는 보디(body)를 포함하고, 그러한 포켓 각각은 웨이퍼의 최상부 표면이 상기 보디의 최상부 표면에서 노출되게 상기 웨이퍼를 유지하도록 적응되고, 상기 보디는 제1 열 전도성을 갖는 제1 물질로 형성되는 메인 부분을 포함하고, 상기 메인 부분은 상기 포켓들과 정렬되는 수직 연장된 홀들을 구비하고, 상기 보디는 상기 제1 열 전도성보다 높은 제2 열 전도성을 갖는 제2 물질로 형성된 마이너 부분들을 더 포함하며, 상기 마이너 부분들은 상기 메인 부분의 홀들에 배치되고 상기 포켓들의 플로어 표면들을 정의하며, 상기 보디는 상기 메인 부분과 각 마이너 부분 사이에 수직 연장된 열 장벽들을 구비하고, 상기 열 장벽들은 상기 메인 부분과 상기 마이너 부분 사이에서 수평 방향들로의 열 전도를 방지하고,
    상기 웨이퍼 캐리어의 보디의 저부 표면에 열을 전달함으로서 상기 웨이퍼 캐리어가 가열될 때, 상기 메인 부분들 및 마이너 부분들이 상기 웨이퍼 캐리어의 보디의 최상부 표면과 웨이퍼들의 최상부 표면들을 가로질러 상대적으로 균일한 온도 분배를 제공하도록 구성되고,
    상기 열 장벽들은 상기 제1 열 전도성 및 상기 제2 열 전도성보다 낮은 제3 열 전도성을 갖는 제3 물질을 포함하고,
    상기 제3 물질은,
    상기 메인 부분과 상기 마이너 부분과의 고체-대-고체 계면들을 가지고, 상기 메인 부분과 상기 마이너 부분들 사이에 위치되는 부싱들(bushings) 또는 고리형 보더 부분들(ring-like border portions)인 것인, 웨이퍼 캐리어.
  14. 제13항에 있어서,
    상기 마이너 부분들은 또한 상기 저부 표면의 부분들을 정의하는, 웨이퍼 캐리어.
  15. 삭제
  16. 삭제
  17. 삭제
  18. 제13항에 있어서,
    상기 포켓들은 원형인, 웨이퍼 캐리어.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
KR1020137006320A 2010-08-13 2011-08-04 개선된 웨이퍼 캐리어 KR101885747B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/855,739 US8535445B2 (en) 2010-08-13 2010-08-13 Enhanced wafer carrier
US12/855,739 2010-08-13
PCT/US2011/046567 WO2012021370A1 (en) 2010-08-13 2011-08-04 Enhanced wafer carrier

Publications (2)

Publication Number Publication Date
KR20130097184A KR20130097184A (ko) 2013-09-02
KR101885747B1 true KR101885747B1 (ko) 2018-08-06

Family

ID=44630557

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137006320A KR101885747B1 (ko) 2010-08-13 2011-08-04 개선된 웨이퍼 캐리어

Country Status (8)

Country Link
US (1) US8535445B2 (ko)
EP (1) EP2603927A1 (ko)
JP (1) JP5926730B2 (ko)
KR (1) KR101885747B1 (ko)
CN (1) CN103168353B (ko)
SG (2) SG187838A1 (ko)
TW (1) TWI488258B (ko)
WO (1) WO2012021370A1 (ko)

Families Citing this family (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130298831A1 (en) * 2012-05-14 2013-11-14 Bassam Shamoun Automated process chamber cleaning in material deposition systems
US20140084529A1 (en) * 2012-09-26 2014-03-27 Chae Hon KIM Wafer carrier with pocket
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5904101B2 (ja) * 2012-11-22 2016-04-13 豊田合成株式会社 化合物半導体の製造装置およびウェハ保持体
GB201301124D0 (en) * 2013-01-22 2013-03-06 Oxford Instr Nanotechnology Tools Ltd Substrate carrier
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10167571B2 (en) * 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN103215563A (zh) * 2013-04-28 2013-07-24 光垒光电科技(上海)有限公司 沉积设备以及旋转装置
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
EP3100298B1 (en) * 2014-01-27 2020-07-15 Veeco Instruments Inc. Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102401501B1 (ko) * 2014-12-19 2022-05-23 어플라이드 머티어리얼스, 인코포레이티드 기판 프로세싱 챔버를 위한 에지 링
JP6456712B2 (ja) * 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
USD793972S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
US20180119278A1 (en) * 2015-04-13 2018-05-03 Kornmeyer Carbon-Group Gmbh Pecvd boat
USD778247S1 (en) 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10571430B2 (en) 2016-03-14 2020-02-25 Veeco Instruments Inc. Gas concentration sensors and systems
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107326342A (zh) * 2017-08-02 2017-11-07 中晟光电设备(上海)股份有限公司 用于mocvd设备中的石墨盘
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005526394A (ja) * 2002-05-13 2005-09-02 クリー インコーポレイテッド Mocvd反応炉用サセプタ
JP2007214577A (ja) 2006-02-10 2007-08-23 Veeco Instruments Inc ウエハキャリアの温度補償によってウエハの表面温度を変化させるシステム及び方法
JP2010129764A (ja) * 2008-11-27 2010-06-10 Nuflare Technology Inc サセプタ、半導体製造装置および半導体製造方法
JP2010239020A (ja) 2009-03-31 2010-10-21 Bridgestone Corp 半導体装置用ウエハホルダ

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2014500B (en) * 1977-12-01 1982-02-10 Dobson C D Apparatus for use with vacuum chambers
JPS58128724A (ja) 1982-01-27 1983-08-01 Hitachi Ltd ウエハ反転装置
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
JPH0680633B2 (ja) * 1985-09-04 1994-10-12 富士通株式会社 気相成長装置
JPH04110466A (ja) 1990-08-31 1992-04-10 Oki Electric Ind Co Ltd ウエハホルダー
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
JPH06310438A (ja) * 1993-04-22 1994-11-04 Mitsubishi Electric Corp 化合物半導体気相成長用基板ホルダおよび化合物半導体気相成長装置
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
FR2746115B1 (fr) 1996-03-15 1998-05-22 Support de substrats pour installation d'evaporation
JP3887052B2 (ja) 1996-12-13 2007-02-28 東洋炭素株式会社 気相成長用サセプター
JP3923576B2 (ja) 1996-12-13 2007-06-06 東洋炭素株式会社 気相成長用サセプター
WO1999018599A2 (en) 1997-10-03 1999-04-15 Koninklijke Philips Electronics N.V. Holder for a semiconductor substrate, and method of manufacturing a semiconductor device using such a holder
JP2000355766A (ja) * 1999-06-15 2000-12-26 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US6287385B1 (en) 1999-10-29 2001-09-11 The Boc Group, Inc. Spring clip for sensitive substrates
US20020170673A1 (en) * 2000-04-29 2002-11-21 Tanguay Michael J. System and method of processing composite substrates within a high throughput reactor
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
CN1312326C (zh) * 2000-05-08 2007-04-25 Memc电子材料有限公司 消除自动掺杂和背面晕圈的外延硅晶片
JP4537566B2 (ja) * 2000-12-07 2010-09-01 大陽日酸株式会社 基板回転機構を備えた成膜装置
DE10132448A1 (de) * 2001-07-04 2003-01-23 Aixtron Ag CVD-Vorrichtung mit differenziert temperiertem Substrathalter
JP3882141B2 (ja) * 2002-06-13 2007-02-14 日鉱金属株式会社 気相成長装置および気相成長方法
JP2004128271A (ja) 2002-10-03 2004-04-22 Toyo Tanso Kk サセプタ
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US7622803B2 (en) * 2005-08-30 2009-11-24 Cree, Inc. Heat sink assembly and related methods for semiconductor vacuum processing systems
KR100735613B1 (ko) 2006-01-11 2007-07-04 삼성전자주식회사 이온주입설비의 디스크 어셈블리
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
TW200952115A (en) * 2008-06-13 2009-12-16 Huga Optotech Inc Wafer carrier and epitaxy machine using the same
KR101294129B1 (ko) * 2008-08-29 2013-08-07 비코 인스트루먼츠 인코포레이티드 가변 열 저항을 가진 웨이퍼 캐리어
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5453768B2 (ja) * 2008-11-05 2014-03-26 豊田合成株式会社 化合物半導体製造装置、化合物半導体の製造方法、および化合物半導体製造用治具
JP5141541B2 (ja) * 2008-12-24 2013-02-13 株式会社Sumco エピタキシャルウェーハの製造方法
US20110049779A1 (en) 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
US9324590B2 (en) * 2010-02-24 2016-04-26 Veeco Instruments Inc. Processing methods and apparatus with temperature distribution control
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
US20120073502A1 (en) * 2010-09-27 2012-03-29 Veeco Instruments Inc. Heater with liquid heating element
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005526394A (ja) * 2002-05-13 2005-09-02 クリー インコーポレイテッド Mocvd反応炉用サセプタ
JP2007214577A (ja) 2006-02-10 2007-08-23 Veeco Instruments Inc ウエハキャリアの温度補償によってウエハの表面温度を変化させるシステム及び方法
JP2010129764A (ja) * 2008-11-27 2010-06-10 Nuflare Technology Inc サセプタ、半導体製造装置および半導体製造方法
JP2010239020A (ja) 2009-03-31 2010-10-21 Bridgestone Corp 半導体装置用ウエハホルダ

Also Published As

Publication number Publication date
TWI488258B (zh) 2015-06-11
WO2012021370A4 (en) 2012-04-05
TW201214619A (en) 2012-04-01
CN103168353A (zh) 2013-06-19
US8535445B2 (en) 2013-09-17
WO2012021370A1 (en) 2012-02-16
KR20130097184A (ko) 2013-09-02
SG187838A1 (en) 2013-03-28
JP5926730B2 (ja) 2016-05-25
CN103168353B (zh) 2016-08-03
EP2603927A1 (en) 2013-06-19
JP2013541183A (ja) 2013-11-07
US20120040097A1 (en) 2012-02-16
SG10201406101PA (en) 2014-10-30

Similar Documents

Publication Publication Date Title
KR101885747B1 (ko) 개선된 웨이퍼 캐리어
KR101294129B1 (ko) 가변 열 저항을 가진 웨이퍼 캐리어
US20130065403A1 (en) Wafer carrier with thermal features
US10167571B2 (en) Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
US20140360430A1 (en) Wafer carrier having thermal uniformity-enhancing features
KR20160003441U (ko) 31 포켓 구성을 갖는 웨이퍼 캐리어
KR20160003442U (ko) 14 포켓 구성을 갖는 웨이퍼 캐리어
JP5038073B2 (ja) 半導体製造装置および半導体製造方法
KR20180045807A (ko) 기상 성장 장치, 환형 홀더 및 기상 성장 방법
EP3863043A1 (en) Susceptor
KR20200071276A (ko) 대구경 웨이퍼용 웨이퍼 캐리어
WO2016209647A1 (en) Self-centering wafer carrier system for chemical vapor deposition
WO2024064461A1 (en) Wafer carrier assembly with improved temperature uniformity
KR20120051968A (ko) 서셉터 및 이를 구비한 화학 기상 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant