JP5926730B2 - 改良されたウェハキャリア - Google Patents

改良されたウェハキャリア Download PDF

Info

Publication number
JP5926730B2
JP5926730B2 JP2013524866A JP2013524866A JP5926730B2 JP 5926730 B2 JP5926730 B2 JP 5926730B2 JP 2013524866 A JP2013524866 A JP 2013524866A JP 2013524866 A JP2013524866 A JP 2013524866A JP 5926730 B2 JP5926730 B2 JP 5926730B2
Authority
JP
Japan
Prior art keywords
wafer
pocket
main portion
carrier
wafer carrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013524866A
Other languages
English (en)
Other versions
JP2013541183A (ja
JP2013541183A5 (ja
Inventor
ヴォルフ,ボリス
ラシュコフスキー,ユーリー
Original Assignee
ビーコ・インストゥルメンツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ビーコ・インストゥルメンツ・インコーポレイテッド filed Critical ビーコ・インストゥルメンツ・インコーポレイテッド
Publication of JP2013541183A publication Critical patent/JP2013541183A/ja
Publication of JP2013541183A5 publication Critical patent/JP2013541183A5/ja
Application granted granted Critical
Publication of JP5926730B2 publication Critical patent/JP5926730B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Packaging Frangible Articles (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

本発明は、ウェハ処理装置と、そのような処理装置において使用するためのウェハキャリアと、ウェハを処理する方法とに関する。
[関連出願の相互参照]
本出願は、2010年8月13日に出願された米国特許出願第12/855,739号の継続出願であり、その開示内容は、引用することにより本明細書の一部をなすものとする。
数多くの半導体デバイスが、基板上に半導体材料をエピタキシャル成長させることによって形成される。この基板は通常、円板形の結晶質の素材であり、一般的には「ウェハ」と称される。例えば、III−V族半導体等の化合物半導体から形成される素子は通常、有機金属気相成長法すなわち「MOCVD」を用いて化合物半導体の連続層を成長させることによって形成される。このプロセスでは、ウェハは、高温で維持されている間にそのウェハの表面上を流れるガスの組み合わせに晒され、このガスの組み合わせは通常、金属有機化合物及び第V族元素源を含む。III−V族半導体の1つの例は、有機ガリウム化合物とアンモニアとの反応によって、好適な結晶格子間隔を有する基板、例えばサファイヤウェハ上等に形成することができる窒化ガリウムである。通常、ウェハは、窒化ガリウム及び関連化合物の堆積中に500℃〜1200℃程度の温度で維持される。
半導体の結晶構造及びバンドギャップを変化させるように僅かに異なる反応条件下で、例えば他の第III族元素又は第V族元素の付加という条件下で、ウェハの表面上に連続して多数の層を堆積することによって複合素子を作製することができる。例えば、窒化ガリウム系半導体において、インジウム、アルミニウム又はその双方を様々な割合で用いて、その半導体のバンドギャップを変化させることができる。また、p型ドーパント又はn型ドーパントを加えて各層の導電率を制御することができる。全ての半導体層が形成された後で、通常は適切な電気接点が加えられた後で、ウェハは個々の素子に切り分けられる。このようにして、発光ダイオード(「LED」)やレーザー等の素子や、他の電子素子及びオプトエレクトロニック素子を作製することができる。
通常の化学気相成長プロセスでは、多くのウェハを、各ウェハの上面が一般的にはウェハキャリアと称される素子の上面において露出されるように、そのウェハキャリア上に保持する。次いでこのウェハキャリアを反応チャンバ内へと配置し、ガス混合体がウェハキャリアの表面にわたって流れる間にこのウェハキャリアを所望の温度で維持する。プロセス中は、キャリア上の種々のウェハの上面上の全ての箇所において均一の条件を保つことが重要である。反応性ガスの組成における僅かなばらつき及びウェハ表面の温度における僅かなばらつきは、結果として得られる半導体素子の特性において所望ではないばらつきを引き起こす。例えば、窒化ガリウムインジウム層を堆積する場合、ウェハ表面温度におけるばらつきは、堆積層の組成及びバンドギャップにおけるばらつきを引き起こす。インジウムは比較的高い蒸気圧を有するため、堆積層は、表面温度がより高いウェハの領域においてより低い割合のインジウムとより大きなバンドギャップとを有する。堆積層がLED構造体の活性発光層である場合、そのウェハから形成されたLEDの発光波長も変化する。したがって、これまでに均一の条件を保つことに対して当該技術において多大な努力がささげられてきた。
本産業界において広く受け入れられている1つのタイプのCVD装置が、例えば、米国特許出願公開第2010−0055318号において記述されており、その開示は引用することにより本明細書の一部をなすものとする。この出願公開において示される或る実施形態による装置は、それぞれが1つのウェハを保持するように構成される数多くのウェハ保持領域を有する大きな円板形のウェハキャリアを使用する。ウェハキャリアは、ウェハの露出した表面を有するウェハキャリアの上面がガス分配要素に向かって上を向くように、反応チャンバ内でスピンドル上に維持される。スピンドルが回転している間に、ガスはウェハキャリアの上面上に下方に方向付けられて、ウェハキャリアの周縁に向かって上面を横切って流れる。使用済みガスは、反応チャンバからウェハキャリアの下に配設されるポートを通じて排出される。ウェハキャリアは、加熱素子、通常はウェハキャリアの底面下に配設される電気抵抗加熱素子によって、所望の高温で維持される。これらの加熱素子は、ウェハ表面の所望の温度を上回る温度で維持されるが、ガス分配要素は通常、ガスの早期反応(premature reaction)を防ぐように所望の反応温度を十分に下回る温度で維持される。したがって、熱は、加熱素子からウェハキャリアの底面まで伝達され、ウェハキャリアを通じて個々のウェハまで上方に流れる。
そのようなシステムの最適化を設計するためにこれまでに当該技術において多大な努力がささげられてきたが、なお更なる改良が望ましい。詳細には、各ウェハの表面にわたる、より良好な温度均一性、及びウェハキャリア全体にわたるより良好な温度均一性を提供することが望ましい。
本発明の一態様はウェハを処理する方法を提供する。本発明のこの態様による方法は、キャリアを軸の回りで回転させるステップを含むことが望ましい。そのキャリアは、その上に配置された複数のウェハを有し、ウェハの上面は上向きであり、その向きは軸に対して平行である。その方法は、回転させるステップ中にウェハをキャリアの上向き支持面上に支持することと、回転させるステップ中にウェハが支持面から離れて上方に動かないように抑止することと、回転させるステップ中にウェハを処理することとを含むことが好ましい。処理するステップは、キャリアからウェハに熱を伝達することを含むことができる。例えば、上記のような化学気相成長プロセスは、その回転させるステップ中に実行することができる。その方法は、回転させるステップ中にウェハが軸から離れて径方向に動かないように抑止するステップを更に含むことが望ましい。本発明のこの態様による望ましい方法では、ウェハが上方に動かないように抑止することは、ウェハの歪みがキャリアとウェハとの間の伝熱に及ぼす影響を制限し、それにより、後に更に論じられるように、ウェハ表面温度の均一性を改善する。
本発明の更なる態様はウェハキャリアを提供する。本発明のこの態様によるウェハキャリアは、対向する上面及び底面を有する本体を含み、本体は、本体の上面に対して開口している複数のポケットを有することが望ましい。キャリアは、各ポケット内の本体の上面下に配置される上向き支持面を画定することが好ましい。最も好ましくは、本発明のこの態様によるキャリアは、ポケットに関連付けられるロックを含む。各ロックは、下向きロック面を有することが望ましい。ロックが作動位置にあるとき、ロック面は関連するポケットの中に、又はポケットの上方に延在し、それにより、ポケット内に配置され、支持面上に載置されるウェハが、ロック面によって上方に動かないように少なくとも部分的に抑止されるようにする。
本発明の更なる態様によるウェハキャリアは、水平方向に延在する対向する上面及び底面を有する本体と、上面に対して開口している複数のポケットとを含み、そのような各ポケットは、ウェハの上面が本体の上面において露出するようにしてウェハを保持するように構成される。その本体は、第1の熱伝導率を有する第1の材料から形成される主要部分を含むことが望ましい。好ましくは、主要部分はポケットと位置合わせされた垂直に延在する穴を有し、本体は主要部分の穴内に配置される小部分を更に含む。小部分は第1の熱伝導率よりも高い第2の熱伝導率を有する第2の材料から形成されることが好ましい。本体は主要部分と各小部分との間に垂直に延在する熱障壁を更に含むことができ、熱障壁は、主要部分と小部分との間で水平方向に熱が伝導するのを阻止する。
本発明の更に別の態様によるウェハキャリアは、主要部分及び小部分を有する本体を含むことができ、主要部分と各小部分との間に垂直に延在する境界部分を更に有する。境界部分は、主要部分の熱伝導率とは異なる垂直方向の熱伝導率を有することが望ましい。
本発明の更に別の態様は、上記のようなウェハキャリアを組み込む処理装置を提供する。
本発明の一実施形態による、化学気相成長装置を示す簡略化された断面図である。 図1の装置において用いられるウェハキャリアの概略的な平面図である。 ウェハとともにウェハキャリアを示す、図2の線3−3に沿って見た概略的な部分断面図である。 図2及び図3のウェハキャリアの一部を示す部分平面図である。 図4に示される領域を示す部分拡大図である。 図3に類似であるが、異なる動作条件中の図1〜図5のウェハキャリア及びウェハを示す図である。 図6に類似であるが、図6の動作条件に類似の動作条件における従来のウェハキャリア及びウェハを示す図である。 本発明の更なる実施形態によるウェハキャリアを示す部分平面図である。 図8の線9−9に沿って見た部分拡大断面図である。 図8の線10−10に沿って見た部分拡大断面図である。 本発明の更なる実施形態によるウェハキャリアの一部を示す概略的な部分断面図である。 本発明の更なる実施形態によるウェハキャリアの一部を示す概略的な部分断面図である。 本発明の更なる実施形態によるウェハキャリアの一部を示す概略的な部分断面図である。
本発明の1つの実施形態による化学気相成長装置が、一端にガス分配要素12が配置されている反応チャンバ10を備える。ガス分配要素12を有するチャンバ10の端は、本明細書においてチャンバ10の「上(top)」端と称される。チャンバのこの端は必ずしもではないが通常、標準の重力基準系におけるチャンバの上部(top)に配設されている。したがって、本明細書において用いられる下向き方向は、ガス分配要素12から離れる方向を指し、その一方で上向き方向は、ガス分配要素12に向かう、チャンバ内での方向を指す。これらの方向は、重力による上向き方向及び下向き方向と一致する(aligned with)か否かには関係ない。同様に、部材の「上」面及び「底」面は、本明細書において、チャンバ10及びガス分配要素12の基準系を参照して説明される。
ガス分配要素12は、キャリアガス及び反応ガスのような、CVDプロセスにおいて用いられることになるガスの供給源14に接続され、反応ガスの供給源は、例えば、III族金属、通常、金属有機化合物の供給源、及び例えば、アンモニア又は他のV族水素化物のようなV族元素の供給源である。ガス分配要素は、種々のガスを収容し、ガスの流れを概ね下方に向けるように構成される。ガス分配要素12は、動作中に要素の温度を所望の温度に保持するために、ガス分配要素の中に液体を循環させるように構成される冷却液システム16にも接続されることが望ましい。チャンバ10は排気システム18も備えており、排気システム18は、ガス分配要素から下方にガスを連続して流すことができるようにするために、チャンバの底部に、又は底部付近にあるポート(図示せず)を通してチャンバの内部から使用済みのガスを除去するように構成される。
スピンドルの中心軸22が上方及び下方に延在するように、スピンドル20がチャンバ内に配置される。スピンドルは、その上端部、すなわち、ガス分配要素12に最も近いスピンドルの端部において取付具24を有する。図示される特定の実施形態では、取付具24は概ね円錐形の要素である。スピンドル20は電気モータドライブのような回転ドライブ機構26に接続され、その機構は、軸22の回りでスピンドルを回転させるように構成される。加熱要素28がチャンバ内に取り付けられ、取付具24の下方でスピンドル20を包囲する。チャンバはウェハキャリアの挿入及び取出しのための開閉式ポート30も設けられる。上記の要素は従来の構造からなることができる。例えば、適切な反応チャンバは、本出願の譲受人である、Veeco Instruments, Inc.(米国ニューヨーク州プレーンビュー)からTURBODISCの商標で市販されている。
図1に示される動作条件において、ウェハキャリア32がスピンドルの取付具24に取り付けられる。ウェハキャリアは、上面及び底面に対して垂直に延在する中心軸25を有する、概ね円板形の本体を含む構造を有する。ウェハキャリアの本体は、本明細書において「上」面34と呼ばれる第1の主面と、本明細書において「底」面36と呼ばれる第2の主面とを有する。ウェハキャリアの構造は、スピンドルの取付具24に係合し、スピンドル上にウェハキャリアの本体を保持するように構成される取付具39も有し、上面34がガス分配要素12に向かって上向きになり、底面36が加熱要素28に向かって、ガス分配要素から離れて下向きになるように保持される。一例にすぎないが、ウェハキャリア本体は直径が約465mmとすることができ、上面34と底面36との間のキャリアの厚みは概ね15.9mmとすることができる。図示される特定の実施形態では、取付具39は本体32の底面内に切頭円錐の凹部として形成される。しかしながら、その開示が引用することにより本明細書の一部をなすものとする、同じ譲受人に譲渡された同時係属の米国特許出願公開第2009−0155028号において記述されるように、その構造は、本体とは別に形成されたハブを含むことができ、取付具はそのようなハブ内に組み込むことができる。また、取付具の構成は、スピンドルの構成にもよる。
本体は主要部分38を含むことが望ましく、この主要部分は、例えば、炭化シリコン、窒化ホウ素、炭化ホウ素、窒化アルミニウム、アルミナ、サファイア、石英、グラファイト、及びそれらの組み合わせからなる群から選択された材料のような非金属、耐火性の第1の材料のモノリシックスラブとして形成され、例えば、炭化物、窒化物又は酸化物のような耐火物コーティングを有する場合、有しない場合がある。
キャリアの本体は上面に対して開口している複数の円形ポケット40を画定する。図1及び図3に最もわかりやすく示されるように、本体の主要部分38は概ね平坦な上面34を画定する。主要部分38は、主要部分を貫通して、上面34から底面36まで延在する穴42を有する。小部分44が各穴42内に配置される。各穴内に配置される小部分44は、ポケット40の床面46を画定し、床面は上面34から下方に後退している。小部分44は、第2の材料、好ましくは、例えば、炭化シリコン、窒化ホウ素、炭化ホウ素、窒化アルミニウム、アルミナ、サファイア、石英、グラファイト、及びそれらの組み合わせからなる非金属耐火性材料から形成され、例えば、炭化物、窒化物又は酸化物のような耐火物コーティングを有する場合、有しない場合がある。第2の材料は、主要部分を構成する第1の材料とは異なることが望ましい。第2の材料は、第1の材料の熱伝導率よりも高い熱伝導率を有することが最も好ましい。例えば、主要部分がグラファイトから形成される場合、小部分は炭化シリコンから形成することができる。小部分44及び主要部分38は共同で本体の底面36を画定する。図3に示される特定の実施形態では、主要部分38の底面は平坦であり、小部分44の底面は主要部分の底面と同一平面を成すので、底面36は平坦である。
小部分44は穴40の壁と摩擦係合する。例えば、小部分は穴に圧入することができるか、又は主要部分を高温に上げて、冷たい小部分を穴に挿入することによって締まりばめすることができる。全てのポケットが均一な深さからなることが望ましい。この均一性は、全ての小部分を均一な厚みに形成することによって、例えば、小部分を研削又は研磨することによって容易に達成することができる。
各小部分44と、主要部分38の包囲している材料との間に熱障壁48が存在する。熱障壁は、キャリアの上面及び底面に対して平行な水平方向において熱伝導率を有する領域であり、その熱伝導率は主要部分のバルク材料の熱伝導率よりも低い。図3に示される特定の実施形態では、熱障壁は、例えば、穴42を画定する主要部分38の壁内の溝によって形成される約100マイクロメートル厚以上の間隙のような、肉眼視できる程度の間隙48を含む。この間隙は、空気又は動作中に存在するプロセスガスのようなガスを含み、それゆえ、隣接する固体材料よりもはるかに低い熱伝導率を有する。
小部分44及び主要部分38の当接する表面も熱障壁の一部を画定する。これらの表面は互いに肉眼視できる程度で当接するが、いずれの表面とも完全に平滑ではない。それゆえ、当接する表面の部分間には、ガスが充填された非常に小さな間隙が存在することになる。これらの間隙も、小部分44と主要部分38との間の熱伝導を妨げることになる。
図3及び図4に最もわかりやすく示されるように、キャリアは、ポケットと関連付けられるロック50も更に含む。ロック50は、小部分44の熱伝導率よりも低く、好ましくは主要部分38の熱伝導率よりも低い熱伝導率を有する耐火性材料から形成されることが好ましい。例えば、ロックは石英から形成することができる。各ロックは、垂直な円柱形シャフトの形の中央部52(図3)と、中央部と同軸を成し、中央部の軸から離れるように外側に突出する円板形の底部54とを含む。各ロックの底部は上向き支持面56を画定する。各ロックは、中央部の軸に対して横切るように突出する上部58を更に含む。上部は中央部52の軸の回りで対称ではない。各ロックの上部58は、ロックの支持面56の上方にあるが、支持面から離隔して配置される下向きロック面60を画定する。したがって、各ロック面は、表面56と60との間で間隙62を画定する。
各ロックはウェハキャリアに固定される。図3及び図5に最もわかりやすく示されるように、各ロックの中央部52は、主要部分内の穴42の壁に当接している。底部54は、穴の壁内のアンダーカット64(図3)の中に延在し、それにより、ロックはウェハキャリアの本体に対して垂直に動かないように保持され、底部54はポケットの床面46上に載置されるようになる。図5に示されるように、主要部分38は、ロックが水平方向に動かないように保持するために、穴42の壁からポケットの中に延在する突出部66を有することができる。
ロックが図3及び図4に示される作動位置にある場合、各ロックの上部58はポケットの中心68に向かって内側に突出する。各ロックは不作動位置に回すことができ、不作動位置では、上部が図5の58’において破線で示されるように回転し、それにより、上部はポケットの中心に向かって内側に突出しなくなる。
各ポケット40に対して3つのロック50が設けられる。本明細書において「内側」ロックと呼ばれるロック50aは、キャリア本体の中心軸25から距離D50A(図4)にある場所に配置され、その距離は、ポケットの中心68から中心軸25までの距離Dよりも短い。ロック50b及び50cは「外側」ロックであり、中心軸からポケットの中心68までの距離Dよりも、キャリアの中心軸25から離れた距離に配置される。図示される特定の構成では、ロックはポケット40の周辺を囲むように互いに離隔して配置され、隣接するロック間の間隔は等しい。内側ロック50aはキャリアの中心軸25及びポケットの中心68を通って延在する径方向ラインR上にあるのに対して、2つの外側ロック50b及び50cは、この径方向ラインの両側に配置される。
動作時に、キャリアは円板形のウェハ70を装填される。各ポケットに関連付けられるロック50のうちの1つ又は複数が不作動位置にある場合、ウェハの底面72がロックの支持面56上に載置されるように、ウェハがポケット内に配置される。ロックの支持面は共同でウェハの底面72をポケットの床面46の上方に支持し、それにより、ウェハの底面とポケットの床面との間の間隙73が生じ(図3)、ウェハの上面74はキャリアの上面34と同一平面を成すか、又は概ね同一平面を成す。ロックを含む、キャリアの寸法は、ウェハのエッジ又は外周面76と、ロックの中央部52との間に非常に小さなクリアランスが存在するように選択される。したがって、ロックの中央部によって、ウェハはポケット内の中央に配置され、それにより、ウェハのエッジとポケットの壁との間の距離Dは、ウェハの外周の回りで概ね均一である。
ロックは作動位置に動かされ、それにより、各ロックの上部58、及び下向きロック面60(図3)がポケット上の内側に、それゆえ、ウェハの上面74上に突出する。ロック面60は支持面56よりも高い垂直高に配置される。それゆえ、ウェハは支持面56とロック面との間に係合し、キャリアに対して上下方向に動かないように抑止される。ロックの上下の要素は、これらの要素が各ウェハの周辺に隣接してウェハ表面のわずかな部分のみと接触するように、実用可能な限り小さいことが望ましい。例えば、ロック面及び支持面は、ウェハ表面の数平方ミリメートルのみと係合することができる。
通常、キャリアが反応チャンバの外部にあるときに、ウェハがキャリア上に装填される。キャリアは、その上にあるウェハとともに、従来のロボット装置(図示せず)を用いて反応チャンバ内に装填され、それにより、キャリアの取付具39がスピンドルの取付具24と係合し、キャリアの中心軸25がスピンドルの軸22と一致するようになる。スピンドル及びキャリアはこの共通の軸の回りを回転する。用いられる特定のプロセスに応じて、そのような回転は、毎分数百回転、又はそれ以上にすることができる。
ガス供給源14が作動して、プロセスガス及びキャリアガスをガス分配要素12に供給し、それにより、これらのガスがウェハキャリア及びウェハに向かって下方に流れ、その後、キャリアの上面34にわたって、ウェハの露出した上面74にわたって概ね径方向外側に流れる。ガス分配要素12及びチャンバ10の壁は相対的に低い温度に保持され、これらの表面においてガスが反応するのを阻止する。
ヒータ28が作動して、キャリア及びウェハを所望のプロセス温度まで加熱し、その温度は或る化学気相成長プロセスの場合に概ね500℃〜1200℃とすることができる。熱は主に放射伝熱によってヒータからキャリア本体の底面36に伝達される。その熱は伝導によってキャリア本体の主要部分38を通って本体の上面34まで上方に流れる。また、熱は、ウェハキャリアの小部分44を通り、ポケットの床面とウェハの底面との間の間隙73を横切って、ウェハを通ってウェハの上面74まで上方に流れる。熱は放射によって本体及びウェハの上面からチャンバ10の壁に、ガス分配要素12まで伝達され、プロセスガスにも伝達される。
プロセスガスは、ウェハの上面において反応し、ウェハを処理する。例えば、化学気相成長プロセスでは、プロセスガスはウェハ上面上に堆積物を形成する。通常、ウェハは結晶材料から形成され、その堆積プロセスはウェハ材料の格子間隔に類似の格子間隔を有する結晶材料のエピタキシャル堆積である。
プロセスを均一にするために、各ウェハの上面の温度はウェハの上面全体にわたって一定に、キャリア上の他のウェハの温度に等しくすべきである。これを果たすために、各ウェハの上面74の温度は、キャリア上面34の温度に等しくすべきである。キャリア上面の温度は、本体の主要部分38を通しての伝熱速度によって決まるのに対して、ウェハ上面の温度は、小部分44、間隙73及びウェハ自体を通しての伝熱速度によって決まる。小部分44の熱伝導率が高く、結果として熱抵抗が低いことが、間隙73の高い熱抵抗を補償し、それにより、ウェハ上面がキャリア上面の温度に概ね等しい温度に保持される。これは、ウェハのエッジとキャリアの包囲する部分との間の伝熱を最小限に抑え、それにより、各ウェハの上面全体にわたって均一な温度を保持するのを助ける。この効果を与えるために、ポケット46の床面は主要部分38の隣接する部分よりも高い温度でなければならない。小部分44と本体の主要部分38との間の熱障壁48は、小部分44から主要部分への熱損失を最小限に抑え、それにより、この温度差を保持するのを助ける。
動作中に、キャリアの回転によって引き起こされる遠心力に起因して、各ウェハはキャリアの中心軸25から離れるように動く傾向がある。各ウェハはロックの中央部52によってポケット内の中心に位置するように正確に保持される。遠心力は、各ウェハを外側ロック50b及び50cの中央部52に対して押し付けるように作用する。これらの部分は当接要素としての役割を果たし、ウェハが外側に動かないように抑止する。ウェハの正確な中心合わせによって、ウェハのエッジとポケットの包囲壁との間の均一な距離Dが保持され、ウェハとポケット壁が直接接触するのが避けられる。これはウェハとキャリアとの間の伝熱を最小限に抑え、伝熱があっても、ウェハの中心に対して径方向に概ね対称に生じるのを確実にするのに有効である。
動作中に、ウェハは平坦な円板からドーム形に歪む場合がある。例えば、ウェハの結晶材料の歪みのない格子間隔とはわずかに異なる歪みのない格子間隔を有する結晶材料のエピタキシャル堆積は、ウェハの上面に引張応力又は圧縮応力を加え、そのような応力を緩和するためにウェハが歪む。図6は、図3に示されるのと同じウェハ及びポケットを示すが、ウェハ70はドーム形に歪んでいる。そのような歪みによって、ウェハの中心がポケットの床面46に向かって、又は床面から離れるように弓状に曲がり、それにより、ウェハ底面72と床面46との間の間隙73の高さが変化する。ウェハのエッジがロック50によって上方に動かないように抑止されている場合、間隙の高さの差ΔHは相対的に小さい。それは以下の式によって与えられる。
ΔH=K*d/8
ここで、Kはウェハ曲率であり、
dはウェハの直径である。
図7に示される従来のウェハキャリアでは、ポケットはアンダーカットされた周壁142及び円形の支持面156を有する。ウェハは、キャリアの中心軸から最も離れている周壁の外側部分142aに当接して載置されるようになる。アンダーカットされた周壁は支持面156に当接するようにウェハの外側部分101を押下する。しかしながら、キャリアの中心軸に最も近い、ウェハの内側部分103はキャリアに対して上方に動かないように抑止されないので、ウェハが曲がると、内側部分103は、支持面156から離れるように上方に持ち上がる。これにより、ウェハの底面172とポケットの床面146との間の間隙173の高さの差ΔH’が大きくなる。従来のウェハキャリアを用いるとき、以下の式が成り立つ。
ΔH’=K*d/2
別の言い方をすると、ウェハのエッジがロックによって抑止されている(図3)場合の差ΔHは、従来のキャリアの場合の差ΔH’の4分の1にすぎない。間隙を横切る伝熱速度は間隙の高さに比例して変化するので、間隙高の差の劇的な減少は、それに応じて、ウェハの種々の部分に対する伝熱の差を減少させる。さらに、ウェハがロックによって抑止されている場合(図3)、間隙高、それゆえ、伝熱は、ウェハの中心に対して径方向に対称なパターンにおいて変化する。ウェハが抑止されるとき、ウェハエッジの内側部分は持ち上がらないので、ウェハキャリア上面及びウェハ上面にわたるガスの流れにおいて、ウェハのエッジがキャリアの上面から上方に突出することによって引き起こされる外乱は生じないであろう。
ロック自体がガスの流れに小さな局所的外乱を引き起こす場合がある。この現象は、ロック、特にロックの上部58をできる限り小さく、できる限り薄くすることによって最小限に抑えられる。また、ロックの上部は流線形を有することが好ましい。ロックを通しての伝導によって或るわずかな量の伝熱が生じることになるが、この影響はロックとウェハとの間の接触面積が小さいことによって、ロックの熱伝導率が低いことによって制限される。
上記の構成は変更することができる。例えば、上記のようなロックは、上記の小部分を用いない単一の本体を有するウェハキャリアとともに用いることができる。また、ロック、支持面及び当接要素の構成を上記の構成から変更することができる。図8〜図10に示されるウェハキャリアは、ポケット240を画定する単一の本体232を有する。各ポケット内の上向き支持面が、ポケットの床面246上に載置される円板状のボタン形の複数の小さな支持要素254によって画定される。これらの支持要素は、ポケットの周辺を囲むように分散配置される。
各ポケットはロック250も有する。そのロックは、キャリアの中心軸225に対して近接離反する方向に動かすために、キャリア本体にスライド可能に取り付けられる。ロックはウェハ係合面260(図8及び図10に示される)を有し、ウェハ係合面は、ポケットの中心268から離れるように下方に傾斜する。別の言い方をすると、表面260の下側部分ほど、同じ表面の上側部分よりも、ポケットの中心268から離れ、キャリアの中心軸225に近づくように位置する。したがって、表面260は、床面246に向かって下向きであり、ポケットの中心に向かって内向きである。キャリアは溝202を有し、溝は図9に断面で示されるように、ハトの尾の形状又は概ね台形の形状を有する。ロック250は、対応する形状を有する。ロックは、250’において破線で示される不作動位置と、実線で示される作動位置との間で動くことができるように、溝内に係合する。作動位置では、係合面260を有するロックの端部がポケットの垂直壁242を越えてポケットの中に延在し、それによってその表面260がポケット内に収容されるウェハ270の上側エッジを押すようになる。キャリアの回転によって引き起こされる遠心力は、ロックが中心軸225から離れるように、それゆえ、ポケットの中心268に向かうように作用する。したがって、キャリアが回転すると、ロック250がウェハの内側部分253を押下し、ウェハを支持体254に強制的に係合させる。ロックの寸法は、明確に図示するために誇張されている。実際には、ウェハと接触するロックのそれらの部分は、実用可能な限り小さくして、ロックを通しての伝熱を最小限に抑えるべきである。
各ポケットは当接要素252も有する。当接要素は、ポケットの中心268よりも、キャリアの中心軸225から離れた距離に配置される。当接要素は、中心軸225から離れるように下方に傾斜する表面269を有する。動作時に、ウェハにかかる遠心力は、ウェハを表面269に押し付ける傾向があり、それにより、当接要素はウェハの外側部分251を支持体254に当接するように押下する。当接要素は、キャリア本体と別に形成することができるか、又はキャリア本体と一体に形成することができる。
更なる変形形態(図11)では、石英、又は主要部分及び小部分の熱伝導率よりも低い熱伝導率を有する別の材料から形成されたブッシング348によって、キャリア本体の小部分344を主要部分338に取り付けることができる。ここで再び、小部分は主要部分よりも高い熱伝導率を有することが望ましい。ブッシングは、小部分と主要部分との間の熱障壁の一部としての役割を果たす。ブッシングと小部分との間、及びブッシングと主要部分との間の固体間の界面も、更なる熱障壁を提供する。この変形形態では、ブッシングはポケットの垂直壁342を画定する。
図12の実施形態は、図1〜図6を参照しながら上記で論じられた実施形態に類似であるが、各小部分444が主要部分438内の対応する穴442よりも小さな直径の本体443を含み、それにより熱障壁として間隙448が設けられることが異なる。小部分と穴442との同心性を保持するために、各小部分は、主要部分438内に間隙なく嵌め込まれるヘッド445も含む。
図13のウェハキャリアは、図1〜図6を参照しながら上記で論じられたキャリアに類似の主要部分及び小部分544を含む。しかしながら、図13のキャリア本体は、小部分を取り囲み、各小部分と主要部分との間に配置される環状の境界部分502を含む。境界部分502は、主要部分及び小部分の熱伝導率とは異なる熱伝導率を有する。図示されるように、境界部分は、各ポケットの周辺部の下方に位置合わせされる。更なる変形形態では、境界部分は、各ポケットを包囲する上面534の一部の下方に位置合わせすることができる。境界部分の熱伝導率は、ウェハのエッジへの/からの伝熱を相殺するように独立して選択することができる。例えば、上面534の部分がウェハよりも熱い傾向がある場合には、境界部分の熱伝導率を主要部分の熱伝導率よりも低くすることができる。
上記のウェハキャリア及び装置はウェハの表面にわたる温度差を著しく小さくすることができる。しかしながら、上記の特徴を用いる場合であっても、或る温度不均一性が生じる可能性がある。その温度分布は各ウェハの中心の回りで径方向において概ね対称であるので、温度差を抑制する傾向がある他の措置を容易に適用することができる。例えば、その開示が引用することにより本明細書の一部をなす、同じ譲受人に譲渡された同時係属の米国特許出願公開第2010−0055318号において記述されているように、ウェハキャリアの熱伝導は、その厚みを変更することによって変更することができる。例えば、図6に示されるように、ウェハが、ポケットの中心において、ポケットの床面に向かって弓状に曲がる傾向がある場合、ポケットの中心における間隙の熱伝導はポケットのエッジ付近の間隙の熱伝導よりも高いであろう。これは、ポケットの中心の下方の本体の領域において熱伝導を低減できるように、このエリアにおいてキャリア本体の厚みを増すことによって相殺することができる。
上述した特徴の他の変形及び組合せを利用することができるため、好ましい実施形態の上述した説明は、本発明の範囲の限定としてではなく例示として解釈されるべきである。
本発明は、例えば半導体デバイスの製造に適用することができる。

Claims (15)

  1. 水平方向に延在する対向する上面及び底面と、該上面の中に延在し、該上面に対して開口している複数のポケットとを有する本体を含むウェハキャリアであって、そのような各ポケットはウェハを保持するように構成され、前記ウェハの上面が前記本体の前記上面において露出しており、前記本体は第1の熱伝導率を有する第1の材料から形成される主要部分を含み、前記主要部分は前記ポケットと位置合わせされた垂直に延在する穴を有し、前記本体は、前記第1の熱伝導率よりも高い第2の熱伝導率を有する第2の材料から形成される小部分を更に含み、該小部分は前記主要部分の前記穴内に配置され、前記ポケットの床面を画定し、前記本体は、前記主要部分と各小部分との間に垂直に延在する熱障壁を有し、前記熱障壁は前記主要部分と前記小部分との間で水平方向に熱が伝導するのを阻止し、前記キャリアの前記本体の前記底面に熱を伝達することによって前記キャリアが加熱されるときに、前記主要部分及び前記小部分は、前記キャリアの前記本体の前記上面及び前記ウェハの前記上面にわたって相対的に均一な温度分布を与えるように構成されており、前記小部分は前記本体の前記底面の一部も画定しており、前記ポケットのそれぞれは、前記ポケットに配置されたウェハを前記ポケットの前記床面から離間して配置するように、前記ポケットの周辺を囲むように分散配置された支持体を含んでおり、前記本体はスピンドルに接続するように適合された取付具を含んでいる、ウェハキャリア。
  2. 前記熱障壁は、前記小部分及び前記主要部分の当接する表面間の界面を含む、請求項1に記載のウェハキャリア。
  3. 前記小部分は、前記主要部分にある前記穴の内部で前記主要部分と摩擦係合している、請求項1に記載のウェハキャリア。
  4. 前記熱障害は、前記主要部分の前記垂直に延在する穴の壁に隣接している、請求項2に記載のウェハキャリア。
  5. 水平方向に延在する対向する上面及び底面と、該上面の中に延在し、該上面に対して開口している複数のポケットとを有する本体を含むウェハキャリアであって、そのような各ポケットはウェハを保持するように構成され、前記ウェハの上面が前記本体の前記上面において露出し、前記本体は、前記ポケットと位置合わせされた垂直に延在する穴を有する主要部分を含み、前記本体は、前記主要部分の前記穴内に配置され小部分を更に含み、前記本体は、前記主要部分と各小部分との間に垂直に延在する境界部分を有し、該境界部分は前記主要部分の熱伝導率とは異なる、垂直方向の熱伝導率を有し、前記キャリアの前記本体の前記底面に熱を伝達することによって前記キャリアが加熱されるときに、前記主要部分及び前記小部分は、前記キャリアの前記本体の前記上面及び前記ウェハの前記上面にわたって相対的に均一な温度分布を与えるように構成されており、前記小部分は前記本体の前記底面の一部も画定しており、前記ポケットのそれぞれは、前記ポケットに配置されたウェハを前記ポケットの前記床面から離間して配置するように、前記ポケットの周辺を囲むように分散配置された支持体を含んでおり、前記本体はスピンドルに接続するように適合された取付具を含んでいる、ウェハキャリア。
  6. 前記小部分は、前記主要部分とは異なり、前記境界部分とは異なる熱伝導率を有する、請求項に記載のウェハキャリア。
  7. 前記ポケットは円形である、請求項に記載のウェハキャリア。
  8. 前記境界部分は、前記主要部分の前記垂直に延在する穴の壁に隣接している、請求項5に記載のウェハキャリア。
  9. 前記小部分は、前記主要部分にある前記穴の内部で前記主要部分と摩擦係合している、請求項5に記載のウェハキャリア。
  10. 水平方向に延在する対向する上面及び底面と、該上面の中に延在し、該上面に対して開口している複数のポケットとを有する本体を含むウェハキャリアであって、そのような各ポケットはウェハを保持するように構成され、前記ウェハの上面が前記本体の前記上面において露出し、前記本体は、前記ポケットと位置合わせされた垂直に延在する穴を有する主要部分を含み、前記本体は、前記主要部分の前記穴内に配置された小部分を更に含み、前記本体は、前記主要部分と各小部分との間に垂直に延在する境界部分を有し、該境界部分は前記主要部分の熱伝導率とは異なる、垂直方向の熱伝導率を有し、前記小部分は前記主要部分及び前記境界部分の熱伝導率とは異なる熱伝導率を有し、前記境界部分は、前記主要部分の熱伝導率とは異なる、垂直方向の熱伝導率を有する少なくとも1つの挿入物を含んでおり、前記小部分は前記本体の前記底面の一部も画定しており、前記ポケットのそれぞれは、前記ポケットに配置されたウェハを前記ポケットの前記床面から離間して配置するように、前記ポケットの周辺を囲むように分散配置された支持体を含んでいる、ウェハキャリア。
  11. 前記小部分は、前記上面よりも下方に後退した、前記ポケットの床面を画定している、請求項10に記載のウェハキャリア。
  12. 前記ポケットは円形である、請求項10に記載のウェハキャリア。
  13. 前記本体は、スピンドルに接続するように適合された取付具を含んでいる、請求項10に記載のウェハキャリア。
  14. 前記小部分は、前記主要部分にある前記穴の内部で前記主要部分と摩擦係合している、請求項10に記載のウェハキャリア。
  15. 前記境界部分は、前記主要部分と各小部分との間に界面を形成しており、該界面は、前記主要部分の前記垂直に延在する穴の壁に隣接している、請求項10に記載のウェハキャリア。
JP2013524866A 2010-08-13 2011-08-04 改良されたウェハキャリア Expired - Fee Related JP5926730B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/855,739 2010-08-13
US12/855,739 US8535445B2 (en) 2010-08-13 2010-08-13 Enhanced wafer carrier
PCT/US2011/046567 WO2012021370A1 (en) 2010-08-13 2011-08-04 Enhanced wafer carrier

Publications (3)

Publication Number Publication Date
JP2013541183A JP2013541183A (ja) 2013-11-07
JP2013541183A5 JP2013541183A5 (ja) 2014-09-18
JP5926730B2 true JP5926730B2 (ja) 2016-05-25

Family

ID=44630557

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013524866A Expired - Fee Related JP5926730B2 (ja) 2010-08-13 2011-08-04 改良されたウェハキャリア

Country Status (8)

Country Link
US (1) US8535445B2 (ja)
EP (1) EP2603927A1 (ja)
JP (1) JP5926730B2 (ja)
KR (1) KR101885747B1 (ja)
CN (1) CN103168353B (ja)
SG (2) SG187838A1 (ja)
TW (1) TWI488258B (ja)
WO (1) WO2012021370A1 (ja)

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10316412B2 (en) 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
US20130298831A1 (en) * 2012-05-14 2013-11-14 Bassam Shamoun Automated process chamber cleaning in material deposition systems
US20140084529A1 (en) * 2012-09-26 2014-03-27 Chae Hon KIM Wafer carrier with pocket
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP5904101B2 (ja) * 2012-11-22 2016-04-13 豊田合成株式会社 化合物半導体の製造装置およびウェハ保持体
GB201301124D0 (en) * 2013-01-22 2013-03-06 Oxford Instr Nanotechnology Tools Ltd Substrate carrier
JP6080571B2 (ja) 2013-01-31 2017-02-15 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10167571B2 (en) 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN103215563A (zh) * 2013-04-28 2013-07-24 光垒光电科技(上海)有限公司 沉积设备以及旋转装置
TWI609991B (zh) * 2013-06-05 2018-01-01 維克儀器公司 具有熱一致性改善特色的晶圓舟盒
TWI650832B (zh) 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
CN106030761B (zh) 2014-01-27 2019-09-13 威科仪器有限公司 用于化学气相沉积系统的晶片载体及其制造方法
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN107112275B (zh) * 2014-12-19 2020-10-30 应用材料公司 用于基板处理腔室的边缘环
JP6456712B2 (ja) 2015-02-16 2019-01-23 東京エレクトロン株式会社 基板保持機構及びこれを用いた基板処理装置
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
USD793972S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 31-pocket configuration
USD793971S1 (en) 2015-03-27 2017-08-08 Veeco Instruments Inc. Wafer carrier with a 14-pocket configuration
WO2016166125A1 (de) * 2015-04-13 2016-10-20 Kornmeyer Carbon-Group Gmbh Pecvd-boot
USD778247S1 (en) * 2015-04-16 2017-02-07 Veeco Instruments Inc. Wafer carrier with a multi-pocket configuration
US9627239B2 (en) 2015-05-29 2017-04-18 Veeco Instruments Inc. Wafer surface 3-D topography mapping based on in-situ tilt measurements in chemical vapor deposition systems
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP6606403B2 (ja) * 2015-11-05 2019-11-13 株式会社ニューフレアテクノロジー シャワープレート、気相成長装置および気相成長方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10571430B2 (en) 2016-03-14 2020-02-25 Veeco Instruments Inc. Gas concentration sensors and systems
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10276426B2 (en) * 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
CN107326342A (zh) * 2017-08-02 2017-11-07 中晟光电设备(上海)股份有限公司 用于mocvd设备中的石墨盘
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2014500B (en) * 1977-12-01 1982-02-10 Dobson C D Apparatus for use with vacuum chambers
JPS58128724A (ja) 1982-01-27 1983-08-01 Hitachi Ltd ウエハ反転装置
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
JPH0680633B2 (ja) * 1985-09-04 1994-10-12 富士通株式会社 気相成長装置
JPH04110466A (ja) 1990-08-31 1992-04-10 Oki Electric Ind Co Ltd ウエハホルダー
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5820686A (en) * 1993-01-21 1998-10-13 Moore Epitaxial, Inc. Multi-layer susceptor for rapid thermal process reactors
JPH06310438A (ja) * 1993-04-22 1994-11-04 Mitsubishi Electric Corp 化合物半導体気相成長用基板ホルダおよび化合物半導体気相成長装置
US5645646A (en) * 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5584936A (en) * 1995-12-14 1996-12-17 Cvd, Incorporated Susceptor for semiconductor wafer processing
FR2746115B1 (fr) 1996-03-15 1998-05-22 Support de substrats pour installation d'evaporation
JP3923576B2 (ja) 1996-12-13 2007-06-06 東洋炭素株式会社 気相成長用サセプター
JP3887052B2 (ja) 1996-12-13 2007-02-28 東洋炭素株式会社 気相成長用サセプター
WO1999018599A2 (en) 1997-10-03 1999-04-15 Koninklijke Philips Electronics N.V. Holder for a semiconductor substrate, and method of manufacturing a semiconductor device using such a holder
JP2000355766A (ja) * 1999-06-15 2000-12-26 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
US6287385B1 (en) 1999-10-29 2001-09-11 The Boc Group, Inc. Spring clip for sensitive substrates
US20020170673A1 (en) * 2000-04-29 2002-11-21 Tanguay Michael J. System and method of processing composite substrates within a high throughput reactor
CN1312326C (zh) * 2000-05-08 2007-04-25 Memc电子材料有限公司 消除自动掺杂和背面晕圈的外延硅晶片
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP4537566B2 (ja) * 2000-12-07 2010-09-01 大陽日酸株式会社 基板回転機構を備えた成膜装置
DE10132448A1 (de) * 2001-07-04 2003-01-23 Aixtron Ag CVD-Vorrichtung mit differenziert temperiertem Substrathalter
US7122844B2 (en) * 2002-05-13 2006-10-17 Cree, Inc. Susceptor for MOCVD reactor
JP3882141B2 (ja) * 2002-06-13 2007-02-14 日鉱金属株式会社 気相成長装置および気相成長方法
JP2004128271A (ja) 2002-10-03 2004-04-22 Toyo Tanso Kk サセプタ
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
US7622803B2 (en) * 2005-08-30 2009-11-24 Cree, Inc. Heat sink assembly and related methods for semiconductor vacuum processing systems
KR100735613B1 (ko) 2006-01-11 2007-07-04 삼성전자주식회사 이온주입설비의 디스크 어셈블리
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
JP5169097B2 (ja) * 2007-09-14 2013-03-27 住友電気工業株式会社 半導体装置の製造装置および製造方法
US20110114022A1 (en) * 2007-12-12 2011-05-19 Veeco Instruments Inc. Wafer carrier with hub
US8021487B2 (en) 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
TW200952115A (en) * 2008-06-13 2009-12-16 Huga Optotech Inc Wafer carrier and epitaxy machine using the same
KR101294129B1 (ko) * 2008-08-29 2013-08-07 비코 인스트루먼츠 인코포레이티드 가변 열 저항을 가진 웨이퍼 캐리어
JP5280964B2 (ja) 2008-09-04 2013-09-04 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及び記憶媒体
JP5453768B2 (ja) * 2008-11-05 2014-03-26 豊田合成株式会社 化合物半導体製造装置、化合物半導体の製造方法、および化合物半導体製造用治具
JP2010129764A (ja) * 2008-11-27 2010-06-10 Nuflare Technology Inc サセプタ、半導体製造装置および半導体製造方法
JP5141541B2 (ja) * 2008-12-24 2013-02-13 株式会社Sumco エピタキシャルウェーハの製造方法
JP2010239020A (ja) * 2009-03-31 2010-10-21 Bridgestone Corp 半導体装置用ウエハホルダ
US20110049779A1 (en) 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
CN102859645B (zh) * 2010-02-24 2016-05-04 威科仪器有限公司 带温度分布控制的加工方法和装置
JP5707766B2 (ja) * 2010-07-28 2015-04-30 住友電気工業株式会社 サセプタおよび半導体製造装置
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
US20120073502A1 (en) * 2010-09-27 2012-03-29 Veeco Instruments Inc. Heater with liquid heating element
KR20120071695A (ko) * 2010-12-23 2012-07-03 삼성엘이디 주식회사 화학 기상 증착 장치용 서셉터, 화학 기상 증착 장치 및 화학 기상 증착 장치를 이용한 기판의 가열 방법
US20120171377A1 (en) * 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer carrier with selective control of emissivity
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features

Also Published As

Publication number Publication date
JP2013541183A (ja) 2013-11-07
US8535445B2 (en) 2013-09-17
CN103168353A (zh) 2013-06-19
US20120040097A1 (en) 2012-02-16
SG187838A1 (en) 2013-03-28
WO2012021370A4 (en) 2012-04-05
SG10201406101PA (en) 2014-10-30
TW201214619A (en) 2012-04-01
KR101885747B1 (ko) 2018-08-06
WO2012021370A1 (en) 2012-02-16
CN103168353B (zh) 2016-08-03
EP2603927A1 (en) 2013-06-19
TWI488258B (zh) 2015-06-11
KR20130097184A (ko) 2013-09-02

Similar Documents

Publication Publication Date Title
JP5926730B2 (ja) 改良されたウェハキャリア
JP5560355B2 (ja) 一様でない熱抵抗を有するウエハキャリア
KR20130037688A (ko) 열 특징부를 갖는 웨이퍼 캐리어
TWI609991B (zh) 具有熱一致性改善特色的晶圓舟盒
KR101710770B1 (ko) 화학적 기상 증착을 위한 페로플루이드 밀봉부를 갖는 회전 디스크 리액터
KR20160003441U (ko) 31 포켓 구성을 갖는 웨이퍼 캐리어
KR20150132486A (ko) 화학 기상 증착 시스템의 개선된 가열 균일성을 제공하는 웨이퍼 캐리어
KR20160003442U (ko) 14 포켓 구성을 갖는 웨이퍼 캐리어
JP5038073B2 (ja) 半導体製造装置および半導体製造方法
KR20190001370U (ko) 33-포켓 구성을 갖는 웨이퍼 캐리어
TW201316445A (zh) 具有熱特性的晶圓承載物

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140801

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140801

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150612

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150909

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151006

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160401

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160422

R150 Certificate of patent or registration of utility model

Ref document number: 5926730

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees