JP2014207465A - 一様でない熱抵抗を有するウエハキャリア - Google Patents

一様でない熱抵抗を有するウエハキャリア Download PDF

Info

Publication number
JP2014207465A
JP2014207465A JP2014116706A JP2014116706A JP2014207465A JP 2014207465 A JP2014207465 A JP 2014207465A JP 2014116706 A JP2014116706 A JP 2014116706A JP 2014116706 A JP2014116706 A JP 2014116706A JP 2014207465 A JP2014207465 A JP 2014207465A
Authority
JP
Japan
Prior art keywords
wafer
wafer carrier
floor
carrier
thickness
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2014116706A
Other languages
English (en)
Inventor
ヴォルフ,ボリス
Volf Boris
ソダーマン,ブレイド
Soderman Breid
アーマー,エリック・エイ
A Armour Eric
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Veeco Instruments Inc
Original Assignee
Veeco Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Veeco Instruments Inc filed Critical Veeco Instruments Inc
Publication of JP2014207465A publication Critical patent/JP2014207465A/ja
Ceased legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Abstract

【課題】化学蒸着装置において、ウエハの表面を横切る方向における良好な温度均一性およびウエハキャリアの全体を横切る方向における良好な温度均一性が望まれている。【解決手段】ウエハキャリア32は、ウエハを保持する上面34および加熱要素28からの輻射熱伝達によって加熱される底面36を有している。ウエハキャリアの底面36は、ウエハキャリアが互いに異なる箇所において互いに異なる厚みを有するように、凹部54のような特徴部によって非平面になっている。ウエハキャリアのより厚い部分は、より高い熱抵抗を有している。互いに異なる箇所における互いに異なる熱抵抗によって、ウエハへの望ましくない熱伝達の不均一が打ち消されることになる。ウエハキャリアは、ウエハの縁の互いに離間している箇所と係合するための突起を備えるポケットを有していてもよい。【選択図】図3

Description

[関連出願の相互参照]
本出願は、2008年8月29日に出願された米国仮特許出願第61/190,494号の出願日の利得を主張するものであり、この開示内容は、参照することによって、ここに含まれるものとする。
[発明の分野]
本発明は、ウエハ処理装置、このようなウエハ処理装置に用いられるウエハキャリア、およびウエハ処理の方法に関する。
多くの半導体素子は、基板上での半導体材料のエピタキシャル成長によって、形成される。基板は、典型的には、「ウエハ」と一般的に呼ばれている円板状の結晶材料である。例えば、III−V族半導体のような化合物半導体から形成される素子は、典型的には、有機金属化学蒸着(MOCVD)を用いて、該化合物半導体の連続層を成長させることによって、形成される。このプロセスでは、ウエハは、高温に保持されながら、ウエハの表面上を流れる、典型的には、有機金属化合物およびV族元素の供給源を含むガスの組合せに晒されることになる。III−V族半導体の一例として、窒化ガリウムが挙げられる。窒化ガリウムは、適切な結晶格子間隔を有する基板、例えば、サファイア基板上での有機ガリウム化合物とアンモニアとの反応によって形成することができる、典型的には、ウエハは、窒化ガリウムおよび関連する化合物の堆積中、約500−1000℃の温度に維持されている。
複合素子は、例えば、半導体の結晶構造およびバンドギャップを変化させるために他のIII族元素または他のV族元素を添加するなど、条件をいくらか異ならせて、多くの層を連続的にウエハの表面上に堆積することによって、製造可能である。例えば、窒化ガリウム基半導体では、該半導体のバンドギャップを変化させるために組成比を変えるのに、インジウム、アルミニウム、またはそれらの両方を用いることができる。また、各層の導電率を制御するために、p−型またはn型ドーパントを添加することができる。半導体層の全てが形成された後、典型的には、適切な電気接点が施された後、ウエハは、個々の素子に切断されることになる。発光ダイオード(LED)、レーザ、および他の電子素子および光電子素子のような素子をこのようにして製造することができる。
典型的な化学蒸着プロセスでは、多数のウエハが、ウエハキャリアと一般的に呼ばれている装置上に、各ウエハの上面をウエハキャリアの上面に露出させて、保持されるようになっている。次いで、ウエハキャリアは、反応チャンバ内に載置され、所定の温度に維持される一方、ガス混合物がウエハキャリアの上面の上を流れることになる。このプロセス中、キャリア上の種々のウエハの上面の全ての点において、均一な条件を維持することが重要である。反応ガスの組成のわずかなバラツキおよびウエハ表面温度のわずかなバラツキによって、得られる半導体素子の特性の望ましくないバラツキが生じる。例えば、もしガリウム・インジウム窒化物層が堆積される場合、ウエハ表面温度のバラツキによって、堆積層の組成およびバンドギャップのバラツキが生じる。インジウムは、比較的高い蒸気圧を有しているので、堆積層は、表面温度が高いウエハの領域では、低比率のインジウムおよび大きいバンドギャップを有することになる。もしこの堆積層がLED構造の活性発光層である場合、該ウエハから形成されたLEDの発光波長も変動することになる。このようなことから、当技術分野において、均一な条件を維持することに向けて、これまで、著しい努力が払われてきている。
業界において広く受け入れられてきているCVD装置の一形式では、各々が1つのウエハを保持するように適合された多数のウエハ保持領域を有する大きな円板状のウエハキャリアが用いられている。このウエハキャリアは、反応チャンバ内において、ウエハの露出面を有するウエハキャリアの上面をガス分配要素に向かって上向きにして、スピンドル上に支持されるようになっている。スピンドルが回転されると、ガスがウエハキャリアの上面に向かって下方に導かれ、該上面を横切って、ウエハキャリアの周辺に向かって流れることになる。使用済みのガスは、ウエハキャリアの下方に配置されたポートを通って、反応チャンバから排出される。ウエハキャリアは、ウエハキャリアの底面の下方に配置された加熱要素、典型的には、電気抵抗加熱要素によって、所望の高温に維持されるようになっている。これらの加熱要素は、ウエハキャリアの所望の温度を超える温度に維持される一方、ガス分配要素は、ガスの早期の反応を阻止するために、典型的には、所望の反応温度よりも十分に低い温度に維持されるようになっている。従って、熱は、抵抗加熱要素からウエハキャリアの底面に伝達され、ウエハキャリアを介して個々のウエハに向かって上方に流れることになる。
当技術分野において、このようなシステムの最適化したものを設計するために、これまで、著しい努力が払われてきているが、さらに一層の改良が望まれている。特に、各ウエハの表面を横切る方向における良好な温度均一性およびウエハキャリアの全体を横切る方向における良好な温度均一性が望まれている。
本発明の一態様は、化学蒸着装置を提供することになる。本発明のこの態様による装置は、望ましくは、反応チャンバと、反応チャンバに連通しているガス流入構造と、反応チャンバ内に取り付けられた加熱要素と、を備えている。本発明のこの態様による装置は、望ましくは、互いに逆向きの上面および底面を有する本体を備えているウエハキャリアも備えている。ウエハキャリアは、好ましくは、加熱要素に生じた熱が主に輻射熱伝達によって加熱要素からウエハキャリアの底面に伝達されるように、反応チャンバ内に取り付けられている。例えば、ウエハキャリアは、本体の底面を加熱要素に直接向き合わせて、加熱要素の上方に取り付けられているとよい。ウエハキャリアの本体は、望ましくは、複数のウエハ保持領域を有しており、各ウエハ保持領域内にウエハ支持体を有している。各ウエハ支持体は、ウエハの上面を本体の上面に露出させて、ウエハを保持するように適合されている。最も好ましくは、本体の底面は、本体の厚みが変化するように、非平面になっている。以下にさらに説明するように、厚みの差は、ウエハキャリアを通る垂直方向の熱伝導に対する抵抗の差をもたらすことになる。望ましくは、加熱要素とウエハキャリアの上面の任意の箇所との間の全熱抵抗は、該箇所における本体の厚みによって直接に変化するようになっている。
以下にさらに説明するように、これらの熱抵抗の差を利用することによって、ウエハキャリアとウエハとの間の熱伝達の不均一をもたらすウエハの反りのような因子を補償することができる。また、非平面の底面および関連する熱抵抗の差を利用することによって、ウエハにおける不均一な温度分布およびウエハキャリアの上面における不均一な温度分布の他の原因を打ち消すこともできる。
本発明のさらに他の態様は、ウエハを処理する方法を提供することになる。本発明のこの態様による方法は、望ましくは、1つまたは複数のウエハをウエハキャリア上に、各ウエハをキャリアのウエハ保持領域内に配置し、キャリアの上面に露出させるように、取り付けるステップであって、キャリアが各ウエハ保持領域内において一様でない熱伝導性を有している、ステップを含んでいる。この方法は、望ましくは、ウエハキャリアの底面を、ウエハキャリアを通って伝達された熱がウエハを高温に保持するように、加熱するステップも含んでいる。ウエハが高温にある間に、例えば、露出面に堆積物を形成することによって、ウエハの露出面に影響を及ぼすように、反応ガスが加えられてもよい。本発明のこの態様による方法では、ウエハは、反応ガスを加えるステップ中に、反らされる可能性がある。この反りによって、ウエハキャリアからウエハへの熱伝達の不均一が各ウエハ内に生じることになる。最も好ましくは、ウエハキャリアの一様でない熱伝導性が、反りによって生じる熱伝達の不均一を少なくとも部分的に補償するようになっている。
本発明のさらに他の態様は、ウエハキャリアを提供することになる。本発明のこの態様によるウエハキャリアは、望ましくは、互いに逆向きの上面および底面と、上面と底面との間に延在している中心軸と、を有する本体を備えている。本体の上面は、典型的には、複数のポケットを有しており、このような各ポケットは、周辺壁を有している。各ポケットの周辺壁は、最も好ましくは、ウエハキャリアの中心軸から遠く離れている周辺壁の領域において、複数の互いに離間している突起を有しており、該突起は、ポケット内に配置されたウエハの縁の互いに離間している部分に係合するように適合されている。以下にさらに説明するように、このような突起は、ウエハの縁と周辺壁との間の接触を最小限に抑えるのを助長し、かつウエハをポケットの中心に保持するのを助長することになる。これらの効果は、各ウエハの上面を横切る方向における良好な温度均一性を促進する傾向にある。
これらおよび他の特徴ならびに利点は、図面と関連して以下に述べる詳細な説明からさらに容易に理解されるだろう。
本発明の一実施形態による化学蒸着装置を示す簡略化した断面図である。 図1の装置に用いられるウエハキャリアの略上面図である。 ウエハキャリアをウエハと関連して示す、図2の線3−3に沿った略部分断面図である。 本発明のさらに他の実施形態によるウエハキャリアを示す、図3と同様の図である。 本発明のさらに他の実施形態によるウエハキャリアを示す、図3と同様の図である。 本発明のさらに他の実施形態によるウエハキャリアを示す、図3と同様の図である。 本発明のさらに他の実施形態によるウエハキャリアを示す、図3と同様の図である。 本発明のさらに他の実施形態によるウエハキャリアの一部を示す略部分上面図である。 図8の線9−9に沿った部分断面図である。 本発明のさらなる実施形態によるウエハキャリアを示す略部分断面図である。 本発明のさらなる実施形態によるウエハキャリアを示す略部分断面図である。 本発明のさらなる実施形態によるウエハキャリアを示す略部分断面図である。 図12に示されているウエハキャリアの略部分上面図である。 図13に示されている領域を拡大して示す略部分上面図である。 本発明のさらに他の実施形態によるウエハキャリアを示す略部分断面図である。
本発明の一実施形態による化学蒸着装置は、反応チャンバ10を備えている。反応チャンバ10は、該チャンバの一端に配置されたガス分配要素12を有している。ガス分配要素12を有するこの端は、本明細書では、チャンバ10の「上(top)」端と呼ばれている。チャンバのこの端は、典型的には、必ずしも制限されないが、通常の重力座標系においてチャンバの上端に位置している。従って、重力の上下方向に沿っているかどうかとは無関係に、本明細書において用いられる下方向は、ガス分配要素12から離れる方向を指し、上方向は、チャンバ内においてガス分配要素12に向かう方向を指している。同様に、要素の「上(top)」面および「底(bottom)」面は、本明細書では、チャンバ10および要素12の座標系を基準にして記載されている。ガス分配要素12は、CVDプロセスに用いられるガス供給源14、例えば、キャリアガスの供給源14、およびIII族金属の供給源、典型的には、有機金属化合物およびV族元素の供給源、例えば、アンモニアまたは他のV族水素化物のような反応ガスの供給源14に接続されている。ガス分配要素は、種々のガスを受け入れ、ガス流を略下方向に導くように、構成されている。ガス分配要素12は、望ましくは、冷媒システム16にも接続されている。冷媒システム16は、運転中にガス分配要素の温度を所望の温度に維持するために、液体をガス分配要素内に循環するように構成されている。チャンバ10には、排気システム18も装備されている。排気システム18は、ガス分配要素からガスが連続的に下方向に流れることを可能とするために、使用済みガスをチャンバの内部からチャンバの底またはその近くのポート(図示せず)内に取り出すように構成されている。
スピンドル20が、その中心軸22を上下方向に延ばして、チャンバ内に配置されている。スピンドルは、その上端、すなわち、ガス分配要素12に最も近いスピンドルの端に、取付け具24を有している。図示されている特定の実施形態では、取付け具24は、略円錐状の要素である。スピンドル20は、該スピンドルを軸22を中心として回転させるように構成された電動モータ駆動装置のような回転駆動機構26に接続されている。加熱装置28が、取付け具24の下方においてスピンドル20を囲んで、チャンバ内に取り付けられている。チャンバには、ウエハキャリアの挿入および取出しを行うための開閉式ポート30も設けられている。前述の要素は、従来の構成であってもよい。例えば、適切な反応チャンバが、本出願の譲受人である米国ニューヨーク州プレインビューのビーコ・インストルメンツ(Veeco Instruments)社からTURBODISC(登録商標)の名称で市販されている。
図1に示されている作動状態では、ウエハキャリア32は、スピンドルの取付け具24上に取り付けられている。ウエハキャリアは、略円板の形態にある本体を備える構造を有している。本体は、望ましくは、非金属耐火材料の一体の平板として形成されている。この非金属耐火材料は、例えば、炭化ケイ素、窒化ボロン、炭化ボロン、窒化アルミニウム、アルミナ、サファイア、水晶、黒鉛、およびその組合せからなる群から選択される材料から形成されており、この材料には、炭化物、窒化物、または酸化物のような耐火被膜が施されていてもよいし、施されていなくてもよい。ウエハキャリアの本体は、本明細書では「上(top)」面34と呼ばれる第1の主面と、本明細書では「底(bottom)」面36と呼ばれる第2の主面と、を有している。ウエハキャリアの構造は、取付け部38も有している。取付け部38は、スピンドルの取付け具24に係合するように構成されていると共に、ウエハキャリアの本体を(上面34をガス分配要素12に向かって上向きにして、下面36をガス分配要素から離れる方に向かって、従って、加熱要素28に向かって下向きにして)スピンドル上に保持するように構成されている。単なる例示にすぎないが、ウエハキャリアの本体は、約465mmの直径を有しており、上面34と下面32との間のキャリアの厚みは、約15.9mmである。図示されている特定の実施形態では、取付け部38は、本体32の底面に、円錐台形状の凹部として形成されている。しかし、同時継続中の共有に係る米国特許出願公開第2009/0155028A1号に記載されているように、この構造は、本体とは別に形成されたハブを備え、取付け具がこのようなハブ内に組み入れられるようになっていてもよい。なお、この特許の開示内容は、参照することによって、ここに含まれるものとする。また、取付け部の構成は、スピンドルの構成に依存して決められることになる。
ウエハキャリア32は、図1−3に破線によって示されている多数の個々のウエハ保持領域40を有している。ウエハ保持領域は、説明を明瞭にするために、図1−3では破線によって境界が定められているが、通常、互いに隣接しているウエハ保持領域間に識別可能な物理的境界は存在していない。ウエハキャリアの上面34は、種々のウエハ保持領域内に延在している連続的な主部分35を備えている。主部分35は、略平坦であるとよい。各ウエハ保持領域は、個々のウエハ42を保持するように適合されたウエハ支持体を備えている。図1−3に示されている特定の実施形態では、各ウエハ保持領域におけるウエハ支持体は、円形ポケット44を備えている。ポケット44は、上面34の主部分35からウエハキャリアの本体内に延在している。このような各ポケットは、主部分35によって画定されている上面34の全体的な高さの下方に凹んだ床面46を有している。この実施形態では、床面46は、名目的に平面であり、理想的には、正確に平坦である。しかし、実際の製造上の許容誤差によって、その平坦度は、典型的には、完全な平面から約0.0005インチ(13μm)の最大誤差寸法を含んでいる。このような誤差寸法は、例えば、床面を凹ませるために生じるものである。この開示に用いられている「実質的に平坦(substantially flat)」という用語は、約30μm以下の範囲内にある平坦な面を指していると理解されたい。床面46は、上面34の全体的な平面と実質的に直交する中心軸48を有する円の形をしている。支持棚50が、床面46を囲んでいる。支持棚50は、床面46の上にいくらか持ち上がった上向き面を有している。支持棚50は、中心軸48と同心になって床面を取り囲んでいるループの形状を有している。図示されている実施形態では、各ポケットは、約2インチ(50.8mm)の直径を有するウエハを受け入れるように構成されている。公称2インチ(5cm)のウエハ直径の場合、支持棚50の上向き面は、床面46から上方に約20μmから約100μm、望ましくは、約20−50μmの距離D46だけ離れており、支持棚の厚みW50は、約0.5−0.7mmになっている。大口径ウエハを保持することを目的とする大径ポケットの場合、これらの寸法は、通常、さらに大きくなるだろう。支持棚50の表面は、望ましくは、床面46の平面と平行の平面内に配置されている。また、支持棚50は、ウエハキャリア上面34の主部分35の下方に凹んでいる。望ましくは、上面34から支持棚の上向き面までの距離D50は、処理されることになるウエハの厚みよりも約75−175μm大きくなっている。例えば、2インチの公称直径および430nmの公称厚みを有するサファイアを処理するように構成されたウエハキャリアでは、D50は、約500−600μmであるとよい。
壁52が、支持棚50の全周、従って、ポケッ44の全周を囲んで、支持棚50からウエハキャリアの上面34に向かって上方に延在している。壁52は、中心軸48に向かって内方に角度A、典型的には、約10°傾斜している。従って、壁52は、円錐台の形状を有している。
ウエハキャリア本体32の底面36は、各ウエハ保持領域40内において、底面が非平面部を有していることを除いて、略平面である。該非平面部は、この実施形態では、底面からウエハキャリア本体32内に延在している略円錐状の凹部54である。この実施形態では、各凹部は、約120°の夾角αおよび約3−6mmの深さ、さらに典型的には、約4−5mmの深さを有している。各ウエハ保持領域40内における凹部54は、該領域における床面46の中心軸48と同軸になっており、これによって、凹部54は、床面の中心と真っ直ぐに並んでいる。従って、ウエハキャリア本体32の厚みtは、床46と真っ直ぐに並んでいる領域内において、変化していることになる。厚みは、床の中心、すなわち、軸48において、最小tminになっており、徐々に大きくなり、凹部54の外側、すなわち、床の周辺の近くで、最大tmaxになっている。前述したように、ウエハキャリア本体32は、望ましくは、実質的に均一組成を有する一体の要素である。従って、ウエハキャリア本体を構成している材料は、実質的に均一の熱伝導率を有している。垂直方向におけるウエハキャリア本体の熱伝導性は、どの箇所においても、ウエハキャリア本体の厚みと逆比例している。垂直方向に流れる熱に対するウエハキャリア本体の熱伝導抵抗は、ウエハキャリア本体の厚みtと正比例している。従って、中心軸では、熱伝導抵抗は、比較的低くなっており、床面の周辺では、ウエハキャリア本体の熱伝導抵抗は、比較的高くなっている。
運転に際して、ウエハ42がウエハキャリアに装填され、該ウエハキャリアが図示されている動作位置にあるスピンドル20(図1)上に載置される。図3に最もよく示されているように、各ウエハ42の周辺が支持棚50上に載っている。好ましくは、ウエハと支持棚との間の重なりは、例えば、最小でも約1mm以下である。各ウエハの上面43は、各ポケットを囲んでいるウエハキャリア上面34の主部分35とほぼ同一平面にある。各ウエハの底面45は、床面46に向かって下向きになっているが、該床面から上方に離間している。次いで、反応ガスを供給するために、ガス供給装置14およびガス供給要素12が作動され、ウエハキャリアをスピンドルの軸22を中心として回転させるために、スピンドル20が回転される。
スピンドルおよびウエハキャリアが回転すると、加熱要素20が、主に輻射熱伝達および加熱要素とウエハキャリアの底面との間に介在しているガスを介するいくらかの対流および伝導によって、ウエハキャリアの底面36を加熱する。輻射熱は、図3において符号56によって示されている。ウエハキャリアの底面に伝達された熱は、上面34に向かっておよび上面のポケット44内に配置されたウエハ42に向かって、上方に流れることになる。熱は、ウエハキャリアの上面からおよび各ウエハ42の露出した上向き面、すなわち、上面43から周囲に、特に、比較的冷えているガス流入構造12に連続的に伝達されることになる。
図1、3に示されている動作位置では、ウエハキャリアの底面36は、加熱要素28と直接向き合っている。この開示に用いられている「直接向き合っている(directly confronts)」という用語は、加熱要素とウエハキャリアとの底面との間に、それらの間に介在するどのような中実要素によっても遮られない直接の見通し線が存在していることを意味している。ウエハキャリアの底面36は、望ましくは、加熱要素28から上方に距離Hを隔てて配置されている。この距離は、凹部54内において大きく、各凹部54の最深点において最大Hmaxになっており、凹部の外側で最小Hminになっている。すなわち、距離Hは、厚みtとは逆に変化することになる。
他の規定がない限り、この開示に用いられている「垂直方向の熱抵抗(vertical thermal resistance)」という用語は、水平面における単位面積当たりの上向きの熱流に対する抵抗を指している。「水平(horizontal)」面は、上方向と直交する平面である。ウエハキャリア本体の底面36と上面34との間を通る熱流に対するウエハキャリア本体の垂直方向熱抵抗R36−34は、ウエハキャリア本体の厚みtと正比例して変化している。理論上は、ウエハキャリアがより厚い箇所では、距離Hがより小さいので、加熱要素28とウエハキャリア本体の底面との間の輻射熱伝達に対する抵抗は、ウエハキャリアがより厚い箇所では、いくらか小さくなっている。しかし、実際には、この差は、無視できるほど小さい。また、加熱要素28から反応チャンバ内のガスを介してウエハキャリア底面に至る対流および伝導による熱伝達に対する抵抗は、ウエハキャリアがより厚くてHがより小さい箇所では、小さい。しかし、全ての箇所において、対流および伝導による熱伝達は、輻射熱伝達と比較して小さい。従って、加熱要素28と底面36との間の垂直方向熱抵抗R28−36の変動は、本体の底面と本体の上面との間の垂直方向熱抵抗の変動と比較して、小さい。その結果、加熱要素28とウエハキャリアの上面34の特定箇所との間の熱流に対する垂直方向熱抵抗R28−34は、その箇所直下のウエハキャリアの厚みによって直接に変化することになる。例えば、ポケットの床46上の箇所(図3)の場合、中心軸48の近くで底面の凹部54と真っ直ぐに並んでいる位置では、ウエハキャリア本体の厚みtがtminと等しく、その結果として、R28−34も小さい。床46上の周辺に近い位置では、厚みt=tmaxであり、その結果として、R28−34も大きい。
堆積プロセスは、各ウエハ42の露出した上面43上に化合物半導体を堆積するようになっている。典型的には、堆積される第1の半導体層は、約1−10μm厚みの基層またはバッファ層のようなものであり、これに続いて、素子の活性層をなす極めて薄い活性層が堆積されることになる。例えば、多重量子井戸(MQW)構造を含むLEDでは、MQW構造の発光層は、約20−30Å(2−3nm)厚みである。活性層に続いて、キャリア閉じ込め、電気注入、電流分配、および物理的保護などを目的として、さらなる層が堆積されることになる。この堆積プロセス中、ウエハ42は、比較的予測可能な態様で反る傾向にある。この反りは、典型的には、堆積される半導体材料とウエハとの間の格子定数の差およびウエハを横切る方向に与えられる熱勾配によって生じるものである。図示されている例では、この反りは、ウエハを上方向に凸状にしている。すなわち、この反りは、ウエハの上面43を凸状にしている。反りの程度は、図3では、説明を明瞭にするための、大きく誇張されている。典型的には、約50mm直径のウエハの場合、このような反りDは、典型的には、約5μmである。ただし、この直径のウエハを用いるいくつかのプロセスでは、数10μm程度の大きな反りが生じることもある。所定のプロセスにおいて、反りDは、ウエハ直径の二乗に比例して生じる傾向にある。従って、もし他の因子が等しい場合、6インチの公称直径のウエハは、2インチの公称直径のウエハの反りの9倍大きい反りを呈することになる。
各ウエハの上面43に行き渡る温度は、加熱要素28(図1)とウエハ上面43との間の全熱抵抗に依存している。床46と真っ直ぐに並んでいるウエハの任意の点において、全熱抵抗は、加熱要素28とウエハキャリアの底面との間の輻射熱伝達に対する抵抗と、底面と床面46との間の伝導に関連する熱抵抗と、ウエハの底面45と床面46との間の間隙60を横切る熱伝導に対する抵抗と、ウエハ自体を通る伝導に対する抵抗と、の合計である。前述したように、加熱要素28と底面36との間の輻射熱伝達に対する抵抗は、ウエハキャリアの全体にわたって実質的に均一である。理論上は、凹部54の表面が底面36の周囲部分よりも加熱要素からいくらか大きい距離を隔てているので、凹部に関連する輻射熱伝達に対する抵抗がいくらか大きくなっている。しかし、実際には、この差は、無視できるほど小さい。ウエハ42を通る伝導に対する抵抗も、ウエハの全体にわたって実質的に均一である。しかし、床面46から間隙60を横切ってウエハの底面45に至る熱伝達に対する抵抗は、ウエハの反りのせいで変動することになる。間隙60は、典型的には、プロセスガスの停滞層で満たされている。このガスは、比較的低い熱伝達率を有しているので、間隙を横切る熱伝達に対する抵抗が、加熱要素とウエハ上面との間の熱伝達に対する全抵抗のかなりの部分をもたらすことになる。間隙60の熱抵抗は、間隙の高さに直接的に関連している。ウエハの周辺に近いウエハの部分では、間隙の高さは、単純に床面の上方の支持棚50の高さ、すなわち、D46である。しかし、中心軸48に隣接する箇所では、間隙60の高さは、ウエハの反り距離Dによって大きくなっている。従って、間隙の熱抵抗は、中心軸48の近くで最大である。
凹部54によってもたらされるウエハキャリア本体32の一様でない厚み、従って、一様でない熱抵抗が、間隙60による一様でない熱抵抗の影響を打ち消すことになる。すなわち、ウエハキャリア本体は、中心軸48に隣接する箇所では、最小の熱抵抗を有しており、中心軸48から遠く離れた箇所では、より大きい熱抵抗を有している。換言すれば、加熱要素からウエハ上面までの全熱抵抗は、R28−34(加熱要素と床46内におけるウエハ上面34上の点との間の熱抵抗)、間隙60の熱抵抗、およびウエハ自体の熱抵抗である。ここで、間隙60の熱抵抗がより大きい箇所において、熱抵抗R28−34が、より小さくなっている。
各ウエハ保持領域の床46と真っ直ぐに並んでいる領域におけるウエハキャリアの厚みの差は、素子の最も重要な層が形成されるプロセスの段階において、ウエハキャリアの様々な熱伝達率の相殺効果を最適化するように、選択されている。具体的には、反り距離Dは、ウエハ上面へのバッファ層のような第1の層の堆積中に徐々に大きくなる。tminとtmaxとの間の厚みの差を選択するのに用いられる予測反りDは、バッファ層の堆積の後でかつ作製されることになる素子における最も重要な層の堆積中に生じるDの値に対応するように、選択されるべきである。
ウエハキャリア32の中実材料の熱伝導率は、間隙60内の滞留ガスの熱伝導率よりも何倍も大きい。従って、所定の反りDの影響を打ち消すのに必要な厚みの差(tmax−tmin)は、Dよりも何倍も大きい。例えば、約5〜7μmの予想される反りを打ち消すために、厚みの差(tmax−tmin)は、望ましくは、約3mmから約6mmの範囲内にある。所定の用途に必要な正確な差(tmax−tmin)は、熱伝達の計算から導くことができる。しかし、さらに好ましくは、凹部54に対する(tmax−tmin)の最適値、従って、最適な深さは、異なる深さの凹部を有する試験ウエハキャリアを用いて、堆積の均一性に及ぼすこれらの影響を観察する実際の試験によって、決定されるとよい。例えば、GaN基LEDを形成するための一プロセスにおいて、どのような凹部54も有していない第1のキャリア上で処理されたウエハは、「ブルズアイ(bullseye)」パターンを示している。ウエハの中心から形成されたLEDは、比較的長い発光波長を有しており、ウエハの周辺から形成されたLEDは、比較的短い発光波長を示している。これは、発光波長を制御する層の堆積中、ウエハの中心におけるウエハ上面43が、ウエハの周辺におけるウエハ上面よりもかなり冷却されていることを示している。また、発光波長の分布は、比較的広くなっており、ウエハの5%を超える部分が、平均発光波長より3nmを超える発光波長を有している。比較的深い円錐凹部54を有する第2のウエハキャリアは、逆のブルズアイパターンを生じ、各ウエハの中心から形成されたLEDは、周辺から形成されたLEDよりもかなり短い発光波長を有している。また、同じように広い波長分布を有している。これは、凹部54が反りを過補償していることを示している。深さがより浅い円錐凹部を有する第3のキャリアは、第1のキャリアまたは第2のキャリアのいずれよりも狭い波長分布を生じている。従って、第2のキャリアに用いられた凹部が、製造工程におけるさらなるキャリアに用いられることとする。
比較的高い熱伝導率を有する中実材料の厚みを変化させることによって、様々な熱伝導性をもたらすことは、理想的な厚みの差(tmax−tmin)からのわずかなずれが熱伝達に取るに足らない影響しか及ぼさないという基本的な利点をもたらすことになる。例えば、凹部54の深さの許容誤差は、実際の機械加工公差による約±10μm(±0.0005インチ)とすることができる。床面46をDの予想値と等しい量だけ凸状にすることによって、ウエハの反りを完全に補償することも可能であろう。しかし、このような構成は、1μmよりも著しく小さい許容誤差を必要とし、かつ床面を意図的な凸状に形成するために複雑な機械加工プロセスを必要とするだろう。
本発明のさらに他の実施形態(図4)によるウエハキャリア132は、図1−3に関して前述した特徴と同様の床面146および支持棚150を有するポケット144を有している。この実施形態によるウエハキャリアの底面140は、各床面の中心軸148と真っ直ぐに並んだ凹部154の形態にある非平面部を有している。これらの特徴部は、図1−3に関して前述したのと実質的に同様に機能するものである。加えて、図4のウエハキャリア132は、各ウエハ保持領域の支持棚146と真っ直ぐに並んだ突起または増厚領域170の形態にあるさらに他の非平面部を有している。すなわち、各増厚領域170は、床面の中心軸148と同心、従って、支持棚150と同心のループの形態にある。運転に際して、各ポケット144内のウエハ142の周辺は、支持棚150上に載っている。これによって、ウエハの周辺への熱伝達のより直接的な経路がもたらされることになる。換言すれば、支持棚150とウエハの周辺との間の直接的な接触は、床面146とウエハの底面との間の間隙160を介する熱伝達によってもたらされる熱抵抗よりは小さい熱抵抗をもたらしている。突起170によってもたらされる増厚は、支持棚と真っ直ぐに並んでいる領域におけるウエハキャリア本体132の熱抵抗を高め、これによって、この差を打ち消すことになる。これは、ウエハ周辺と隣接領域との間の表面温度の差を最小限に抑えるのを助長することになる。
加えて、ウエハキャリア132の底面は、ポケット144間のキャリア上面の領域134aと真っ直ぐに並んださらに他の突起172を有している。この突起は、ウエハキャリアのこれらの領域の熱抵抗をさらに高めるものである。ウエハキャリアとウエハ142との間の界面は、かなりの熱抵抗をもたらすことになる。これは、ウエハ上面143の温度をウエハキャリア上面134の主部分135によって画定されている周囲領域の温度未満に低下させる傾向がある。これらの温度間の差を最小限に抑えることが望ましい。突起172によって得られたこの高められた熱抵抗は、これらの付加的な界面の影響を打消し、これによって、主部分135の温度をウエハ上面143の温度の近くに保持することになる。これに関連して、ウエハキャリアの主部分135の放射率は、典型的には、ウエハ上面143の放射率と異なっている。放射率の差は、主部分135とウエハ上面との間の温度差に影響を及ぼすことになる。主部分の放射率がウエハの放射率よりも著しく高い場合、主部分は、ウエハ上面よりも低温になる傾向がある。この場合、ウエハキャリアは、上面の主部分と真っ直ぐに並んだ底面の部分に、突起ではなく、むしろ凹部を有しているとよい。この場合(図示せず)、ウエハキャリア上面の主部分と真っ直ぐに並んだウエハキャリアの部分は、ポケットおよびウエハと真っ直ぐに並んだウエハキャリアの部分よりも薄くなっており、より低い熱抵抗を有することになる。
図5に示されているウエハキャリア232は、図1〜3のウエハキャリアと同様である。しかし、底面240の凹部254は、前述した円錐形状ではなく、略ドーム状形状を有している。
図6のウエハキャリア322では、各凹部354は、円錐台の形をしており、ポケット344の中心軸348を横切って延在している平面355を有している。
図7のウエハキャリア432は、反りが前述した反りと逆になる。従って、ウエハ442が下向きに凸状になる、すなわち、ウエハの底面445が、ポケットの中心軸448に隣接する箇所において、床面446に向かって下方に湾曲するようなプロセスに用いられるように、構成されている。この場合、キャリアとウエハとの間の間隙460は、中心軸448に隣接する箇所において、最小の厚み、従って、最小の熱抵抗を有している。従って、ウエハキャリア底面440の非平面部は、中心軸に隣接する箇所において、比較的大きい厚みtmaxをもたらし、ウエハの周辺に近い箇所において、比較的小さい厚みtminをもたらすように、構成されている。
本発明のさらに他の実施形態によるウエハキャリア532は、前述したのと実質的に同じように、各ウエハ保持領域内においてキャリアの上面532に形成されたポケット544を有している。各ポケット544は、平坦な床面546を有している。ここでも、各ポケットには、ウエハの周辺に係合し、ウエハを床面546の上方に保持するための支持棚が設けられている。しかし、この実施形態では、各ポケットの支持棚は、複数の棚領域550として設けられている。これらの棚領域550は、床の周辺に沿って、従って、ポケットの中心軸548の周りに、互いに離間している。これによって、ウエハの周辺と支持棚との間の接触が、最小限に抑えられ、従って、このような接触から生じることになるウエハキャリアとウエハとの間の熱伝達の不均一さが、最小限に抑えられることになる。
さらに、この実施形態では、各ポケットは、ポケットの内部からウエハキャリアの上面534に向かって上方に延在している壁552によって、境界が定められている。ここでも、周辺壁552は、図9に示されているように、内方に傾斜しており、その結果、周囲面は、ウエハキャリアの上面548に向かう上方向において、ポケットの中心軸548に向かって内方に傾斜している。しかし、この実施形態では、周囲壁552は、ウエハキャリアの中心軸522から最も遠いポケットの部分、言い換えれば、ウエハキャリアを全体として見たとき、ウエハキャリアをスピンドルに保持する取付け部538およびウエハキャリアの回転軸から最も遠いポケットの部分に沿って、凹部570によって中断されている。従って、周囲壁および凹部は、図8に示されているように、上から見て、非円形形状を画定している。この非円形形状は、円形の周囲壁と凹部との交点に1対の突起553を備えている。これらの突起は、互いに離間しており、ウエハキャリアの中心軸522からポケットの中心軸548を通って延在している半径線555の両側に配置されている。運転中、ウエハに作用する回転または「遠心力(centrifugal force)」による加速度が、ウエハを中心軸522から最も遠い領域内の周囲壁に向かって押す傾向にある。凹部570は、ウエハの周辺と内方に傾斜している周辺壁との間の接触力を最大にし、従って、ウエハに加えられる下向き力を最大にし、これによって、ウエハを支持棚550に係合させて保持する傾向にある。ポケット内に配置されている円形ウエハは、突起553においてウエハキャリアに係合し、他の箇所において周辺壁からいくらか離間してとどまっている。ウエハが突起においてのみ周辺壁に係合しているので、ウエハの縁を通る熱伝達が、最小限に抑えられることになる。これによって、ウエハ内の温度のバラツキが、最小限に抑えられる傾向にある。
図8、9を参照して前述したポケット構成を有するウエハキャリアは、本明細書において説明したようなその底面上の非平面特徴部を有するものとして作製されてもよいし、またはそのような非平面特徴部を有しないものとして作製されてもよい。
図1〜7を参照して前述したウエハキャリアでは、床面は、実質的に平坦である。しかし、これは、本質的な特徴ではない。何故なら、湾曲した床面を用いても、本発明を行うことができるからである。例えば、図10に示されているウエハキャリア本体732は、図1−3を参照して前述したウエハキャリア本体32と略同様である。しかし、キャリア本体632は、意図的に凹状にされている床面646を有している。ポケットの中心軸648における各床面の中心部分は、軸648から遠く離れている床面の周辺部分よりも下方に距離Cだけ凹んでいる。この凹状床面によって、ウエハと床面との間の間隙660は、ウエハの中心軸648において、ウエハの周辺におけるよりも大きくなっている。これによって、ウエハの上向き反りDにおけるのと同じように、軸648の近くの間隙660の熱抵抗が大きくなっている。換言すれば、床面の湾曲Cの影響と上向き反りDの影響とが加算されていることになる。床面の湾曲とウエハの反りとのこの複合的影響は、凹部654の形態にある非平面部によって打ち消されることになる。凹部654は、間隙660が最大の熱抵抗を有している中心軸648の近くにおいて、キャリア本体に最小厚み、従って、最小の熱抵抗をもたらすようになっている。
図11の実施形態では、床面746は、凸状である。すなわち、床面の湾曲Cは、ポケットの中心軸748の近くで間隙760の大きさを縮小している。図示されている実施形態では、ウエハは、上向きの反りを有している。この反りは、中心軸748の近くで間隙760の大きさを増加させる傾向にある。しかし、凸状湾曲Cは、反りDよりも大きいので、間隙760の大きさは、中心軸748の近くで最小になっており、この間隙の熱抵抗も、中心軸の近くで最小になっている。この反りと床面湾曲との複合的影響を打ち消すために、ウエハキャリア本体は、非平面部754を有している。非平面部754は、本体の厚み、従って、熱抵抗が、軸748の近くの箇所において、軸から遠く離れている箇所におけるよりも大きくなるように構成されている。
図12〜14に示されているウエハキャリアは、図1〜3を参照して前述したものと同様の主部分835およびポケット844を備える上面834を有している。ここでも、各ポケットは、床846と、支持棚850と、支持棚から上面の主部分835に向かって上方に突出している周辺壁852と、を備えている。ここでも、ウエハキャリアは、中心軸822を有しており、運転中、このような軸を中心として回転するように、スピンドルに取り付けられるように適合されている。各ポケットは、該ポケットの幾何学的中心に、中心軸848も有している。周辺壁852は、ポケット中心軸848をほぼ完全に取り巻いて延在している弧状部分を備えている。しかし、ウエハキャリアの中心軸822から最も遠い周辺壁の領域は、非円形形状を有している。周辺壁のこの領域は、周辺壁の弧状部分から内方に突出している1対の突起853を有している。このような1つの突起が、図14に詳細に示されている。突起853は、ウエハキャリア中心軸からポケットの中心軸848を通って延在している半径線855の両側において、互いに離間している。図12に最もよく示されているように、各突起853は、ポケット中心軸848に向かって内向きの当接面857を有している。各当接面857は、棚850から主部分835に向かう上方向において、ポケット中心軸に向かって内方に傾斜している。
運転に際して、円形ウエハ842は、各ウエハの底面を対応するポケットの棚850に係合させて、ポケット内に配置されることになる。中心軸822を中心とするウエハキャリアの回転によって生じた遠心力は、各ウエハを中心軸から外方に押す傾向にある。各ウエハは、ポケット内において、2つの突起853の当接面857に寄り掛かることになる。これらの突起は、ウエハの縁が該突起に寄り掛かったとき、ウエハがポケットの軸848と同心となるように、寸法決めされている。従って、ウエハは、ウエハの全周にわたって等しく棚850に重なることになる。換言すれば、棚850の内縁とウエハの縁との間の重なっている距離Do(図12,13)は、一定である。これは、ウエハの周囲におけるウエハの底と棚との間の熱伝達を等しくする傾向にある。さらに、ウエハの縁が互いに離間している小さい突起853としか接触していないので、ウエハの縁と周辺壁852との間の熱伝達が最小限に抑えられることになる。
本発明のさらに他の実施形態によるウエハキャリア(図15)は、図1−3を参照して前述したウエハキャリアと略同様の構成を有している。しかし、図15のウエハキャリアでは、底面における各凹部954が、水平方向においてポケットの中心948から位置ずれしている。従って、最も高い熱伝導性および最も低い熱抵抗を有するウエハキャリアの最も薄い部分が、ポケットの床946の中心から位置ずれした床の部分946aの下方に位置している。この構成を用いて、プロセスガスの流れパターンのようなプロセス条件によって生じたウエハの不均等な熱伝達の影響を打ち消すことができる。例えば、ウエハキャリアが回転している反応チャンバ内では、ウエハキャリアの回転軸に最も近い各ウエハの部分は、回転軸から最も遠い部分よりも大きく冷却される可能性がある。何故なら、プロセスガスは、回転軸から離れる運動成分を有するウエハを超えて流れるからである。また、互いに異なるウエハまたは同じウエハの互いに異なる部分を横切って流れるプロセスガスは、互いに異なる温度にある可能性がある。例えば、ウエハキャリアの主面がウエハの表面よりも冷えている場合、プロセスガスの温度は、ウエハキャリアの周辺におけるウエハに達する前の該ガスの経路に依存することになる。もしこの経路の大部分がウエハ表面に拡がっており、経路の小さい部分のみがウエハキャリアの主面に拡がっている場合、ガスは、1つの温度にある。しかし、もしこの経路の大部分がウエハキャリア主面に拡がっている場合、ガスは、より低い温度にある。本明細書において説明した技術を用いて、これらの影響を打ち消し、種々のウエハの上面を均一な温度に維持することができる。さらに他の変形態様では、不均一なウエハ表面温度を意図的にもたらすために、これらの技術が適用されてもよい。このような不均一な温度は、例えば、互いに異なる経路に沿って流れるプロセスガスにおける不均一な反応物質の濃度の影響を打ち消すために、用いられてもよい。
図15のウエハキャリアは、熱バリア970も備えている。熱バリアは、ウエハキャリア本体内の水平方向における熱伝導を阻止するものである。このようなバリアは、互いに異なる垂直方向における熱伝導性を有するウエハキャリアの部分間に熱隔離をもたらしている。このような隔離は、一様でない熱伝導性の効果を強めることになる。これらの熱バリアは、ウエハキャリアの周囲材料よりも低い熱伝導率を有する薄い垂直方向に延在している材料層を備えているとよい。代替的に、これらの熱バリアは、ウエハキャリアを個々の断片として作製し、ウエハキャリア内において垂直方向に延在している表面においてこれらの断片間に界面をもたらすことによって、形成されてもよい。例えば、図15のキャリアは、上面の主部分およびポケット床946の一部を画定している大きい部分901と、各ポケットの床の部分946を画定している小さい部分902と、を備えている。界面またはバリア970は、該小さい部分を取り巻く円筒面の形態にあるとよい。さらに他の変更形態では、この小さい部分は、各ポケットの床の全体を画定していてもよい。
本発明から逸脱することなく、前述した特徴の多くの変更または組合せが利用されてもよい。単なる例示として、本発明は、窒化ガリウム基半導体の処理に関連して説明してきたが、本質的にどのような半導体の処理に適用されてもよい。従って、ガリウム、インジウム、およびアルミニウムの一種または多種を窒素、リン、アンチモン、およびヒ素の一種または多種と組み合わせて含んでいるIII−V族半導体が形成されてもよい。また、II−VI族半導体およびシリコンおよびダイアモンド状炭素のようなIV族半導体が、同様に処理されてもよい。さらに、本発明は、堆積以外の他の処理に適用されてもよい。
前述した特徴の多くの他の変更および組合せが利用されてもよい。例えば、一様でない熱抵抗をもたらすために、ウエハキャリア本体の厚みを変化させる代わりに、本体の組成を各ウエハによって占有されている領域内において変化させてもよい。単なる例示にすぎないが、ウエハキャリアは、その底面に、キャリア本体の周囲材料よりも実質的に高いかまたは低い熱伝導率を有する金属または他の材料によって充填された凹部を有していてもよい。このような実施形態は、材料間の界面においてさらに他の熱伝導性を有することができ、このような界面は、熱抵抗に影響を与えることになる。
図1を参照して前述した実施形態は、熱が加熱要素28からキャリアの底面36に直接伝達される「サスセプタレス(susceptorless)」処理装置である。同様の原理は、熱が加熱要素から一般的に「サセプタ(susceptor)」と呼ばれる中間要素に伝達され、次いで、サセプタからキャリアに伝達される装置に適用されてもよい。しかし、このような熱伝達は、望ましくは、サセプタとウエハキャリアの底面との間の滞留プロセスガスによって満たされる空間を介する伝達を含んでいない。
本明細書において、本発明を特定の実施形態を参照して説明してきたが、これらの実施形態は、本発明の原理および用途の単なる例示にすぎないことを理解されたい。従って、例示的な実施形態に対して多くの修正形態がなされてもよく、添付の特許請求の範囲に規定されている本発明の精神および範囲から逸脱することなく、他の構成が考案されてもよいことを理解されたい。

Claims (17)

  1. (a)反応チャンバと、
    (b)前記反応チャンバに連通しているガス流入構造と、
    (c)前記反応チャンバ内に取り付けられた加熱要素と、
    (d)互いに逆向きの上面および底面を有する本体を備えているウエハキャリアと
    を備える化学蒸着装置であって、前記ウエハキャリアは、前記加熱要素に生じた熱が主に輻射によって前記加熱要素から前記本体の前記底面に伝達されるように、前記反応チャンバ内に取り付けられており、前記本体は、複数のウエハ保持領域を有しており、前記本体は、各ウエハ保持領域にウエハ支持体を画定しており、このような各ウエハ支持体は、ウエハを該ウエハの上面を前記本体の前記上面に露出させて保持するように適合されており、前記本体の前記底面は、前記本体の厚みが変化するように非平面になっており、前記加熱要素と前記ウエハキャリアの前記上面の任意の箇所との間の全熱抵抗は、該箇所における前記本体の前記厚みによって直接に変化するようになっている、ウエハキャリアと、
    を備えていることを特徴とする化学蒸着装置。
  2. 前記ウエハキャリアの前記本体は、前記本体の前記底面を前記加熱要素に直接向き合わせて、前記加熱要素の上方に配置されていることを特徴とする請求項1に記載の装置。
  3. 前記ガス流入構造は、前記ウエハキャリアの上方に配置されており、ガスを前記ウエハキャリアに向かって下方に導くように構成されていることを特徴とする請求項1に記載の装置。
  4. 前記反応チャンバ内に垂直軸を中心として回転するように取り付けられたスピンドルをさらに備えており、前記ウエハキャリアは、前記スピンドルに前記スピンドルと共に回転するように取り付けられていることを特徴とする請求項3に記載の装置。
  5. 各ウエハ支持体は、ウエハの周辺部分と係合して前記ウエハを前記ウエハの主部分が前記キャリアの前記本体から離間するように保持するように、構成されていることを特徴とする請求項1に記載の装置。
  6. 各ウエハ支持体は、床と、前記床の周辺において前記床の上方に配置された支持棚と、を備えていることを特徴とする請求項1に記載の装置。
  7. 前記底面の前記非平面部は、各ウエハ保持領域において、前記本体が、前記床と真っ直ぐに並んだ領域内において、非均一な厚みを有するように、構成されていることを特徴とする請求項6に記載の装置。
  8. 各ウエハ保持領域内において、前記本体の厚みは、前記床の中心と真っ直ぐに並んだ箇所において、局部的に最小になっていることを特徴とする請求項7に記載の装置。
  9. 各ウエハ保持領域内において、前記本体の厚みは、前記床の中心から位置ずれしている箇所において、局部的に最小になっていることを特徴とする請求項7に記載の装置。
  10. 各ウエハ保持領域内において、前記本体の厚みは、前記床の中心と真っ直ぐに並んだ箇所において、局部的に最大になっていることを特徴とする請求項7に記載の装置。
  11. 前記床の各々は、実質的に平面であることを特徴と据える請求項7に記載の装置。
  12. 前記本体の前記上面は、前記ウエハ保持領域間に延在している主部分を備えており、各ウエハ支持体は、ポケットを備えており、各ウエハ支持体の前記床および前記支持棚は、前記ポケット内において、前記床が前記ポケットの底面をなすように、前記上面の前記主部分から凹んでいることを特徴とする請求項6に記載の装置。
  13. 前記上面の前記主部分の少なくとも一部の直下の前記本体の厚みが、前記ウエハ支持体の前記床の直下の前記本体の厚みよりも大きくなっていることを特徴とする請求項12に記載の装置。
  14. 各支持棚は、実質的に連続的であり、前記床を取り囲んでいることを特徴とする請求項6〜13のいずれかに記載の装置。
  15. 各支持棚は、前記床の周辺に沿って互いに離間している複数の棚領域を備えていることを特徴とする請求項6〜13のいずれかに記載の装置。
  16. 各ウエハ保持領域内において、前記本体は、前記支持棚と真っ直ぐに並んだ領域において、該領域と直接隣接している前記床と真っ直ぐに並んだ領域におけるよりも大きい厚みを有していることを特徴とする請求項6〜13のいずれかに記載の装置。
  17. 前記本体は、被膜が施されているかまたは施されていない耐火材料の実質的に一体の平板からなっていることを特徴とする請求項1〜8のいずれかに記載の装置。
JP2014116706A 2008-08-29 2014-06-05 一様でない熱抵抗を有するウエハキャリア Ceased JP2014207465A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US19049408P 2008-08-29 2008-08-29
US61/190,494 2008-08-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013020659A Division JP5560355B2 (ja) 2008-08-29 2013-02-05 一様でない熱抵抗を有するウエハキャリア

Publications (1)

Publication Number Publication Date
JP2014207465A true JP2014207465A (ja) 2014-10-30

Family

ID=41722191

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2011525016A Expired - Fee Related JP5200171B2 (ja) 2008-08-29 2009-08-28 ウエハキャリア、化学蒸着装置、および、ウエハを処理する方法
JP2013020659A Expired - Fee Related JP5560355B2 (ja) 2008-08-29 2013-02-05 一様でない熱抵抗を有するウエハキャリア
JP2014116706A Ceased JP2014207465A (ja) 2008-08-29 2014-06-05 一様でない熱抵抗を有するウエハキャリア

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2011525016A Expired - Fee Related JP5200171B2 (ja) 2008-08-29 2009-08-28 ウエハキャリア、化学蒸着装置、および、ウエハを処理する方法
JP2013020659A Expired - Fee Related JP5560355B2 (ja) 2008-08-29 2013-02-05 一様でない熱抵抗を有するウエハキャリア

Country Status (7)

Country Link
US (1) US20100055318A1 (ja)
EP (3) EP2562290A3 (ja)
JP (3) JP5200171B2 (ja)
KR (1) KR101294129B1 (ja)
CN (2) CN102144280B (ja)
TW (1) TWI397113B (ja)
WO (1) WO2010024943A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016184631A (ja) * 2015-03-25 2016-10-20 住友化学株式会社 基板処理装置及び基板処理方法

Families Citing this family (291)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101802254B (zh) 2007-10-11 2013-11-27 瓦伦斯处理设备公司 化学气相沉积反应器
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
CN102859645B (zh) 2010-02-24 2016-05-04 威科仪器有限公司 带温度分布控制的加工方法和装置
KR20130007594A (ko) * 2010-03-03 2013-01-18 비코 인스트루먼츠 인코포레이티드 경사진 에지를 가진 웨이퍼 캐리어
CN102959140B (zh) * 2010-04-30 2016-01-20 佳能安内华股份有限公司 外延膜形成方法、真空处理设备、半导体发光元件制造方法、半导体发光元件和照明装置
US8535445B2 (en) * 2010-08-13 2013-09-17 Veeco Instruments Inc. Enhanced wafer carrier
JP5615102B2 (ja) * 2010-08-31 2014-10-29 株式会社ニューフレアテクノロジー 半導体製造方法及び半導体製造装置
US9076827B2 (en) 2010-09-14 2015-07-07 Applied Materials, Inc. Transfer chamber metrology for improved device yield
US20120227667A1 (en) * 2011-03-10 2012-09-13 Applied Materials, Inc. Substrate carrier with multiple emissivity coefficients for thin film processing
US20120234229A1 (en) * 2011-03-16 2012-09-20 Applied Materials, Inc. Substrate support assembly for thin film deposition systems
US9653340B2 (en) 2011-05-31 2017-05-16 Veeco Instruments Inc. Heated wafer carrier profiling
CN102828169A (zh) * 2011-06-13 2012-12-19 北京北方微电子基地设备工艺研究中心有限责任公司 一种载片托盘、托盘装置和结晶膜生长设备
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013033315A2 (en) * 2011-09-01 2013-03-07 Veeco Instruments Inc. Wafer carrier with thermal features
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor
JP2013131614A (ja) * 2011-12-21 2013-07-04 Bridgestone Corp ウエハホルダ
KR101928356B1 (ko) * 2012-02-16 2018-12-12 엘지이노텍 주식회사 반도체 제조 장치
CN103074607A (zh) * 2012-02-22 2013-05-01 光达光电设备科技(嘉兴)有限公司 石墨盘、具有上述石墨盘的反应腔室
US9816184B2 (en) * 2012-03-20 2017-11-14 Veeco Instruments Inc. Keyed wafer carrier
KR20130111029A (ko) * 2012-03-30 2013-10-10 삼성전자주식회사 화학 기상 증착 장치용 서셉터 및 이를 구비하는 화학 기상 증착 장치
US10316412B2 (en) * 2012-04-18 2019-06-11 Veeco Instruments Inc. Wafter carrier for chemical vapor deposition systems
JP5794194B2 (ja) * 2012-04-19 2015-10-14 東京エレクトロン株式会社 基板処理装置
US20140084529A1 (en) * 2012-09-26 2014-03-27 Chae Hon KIM Wafer carrier with pocket
US20140102372A1 (en) * 2012-10-11 2014-04-17 Epistar Corporation Wafer carrier
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2016015353A (ja) * 2012-11-20 2016-01-28 サンケン電気株式会社 半導体製造装置、半導体装置、および、半導体装置の製造方法
CN102983093B (zh) * 2012-12-03 2016-04-20 安徽三安光电有限公司 一种用于led外延晶圆制程的石墨承载盘
KR102017744B1 (ko) 2012-12-12 2019-10-15 삼성디스플레이 주식회사 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
CN103938186B (zh) * 2013-01-23 2016-12-07 北京北方微电子基地设备工艺研究中心有限责任公司 托盘、mocvd反应腔和mocvd设备
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9929310B2 (en) 2013-03-14 2018-03-27 Applied Materials, Inc. Oxygen controlled PVD aluminum nitride buffer for gallium nitride-based optoelectronic and electronic devices
US9273413B2 (en) 2013-03-14 2016-03-01 Veeco Instruments Inc. Wafer carrier with temperature distribution control
US10167571B2 (en) * 2013-03-15 2019-01-01 Veeco Instruments Inc. Wafer carrier having provisions for improving heating uniformity in chemical vapor deposition systems
CN104183532B (zh) * 2013-05-24 2017-04-12 理想晶延半导体设备(上海)有限公司 用于承载基片的基座及其基片处理的方法
US9814099B2 (en) * 2013-08-02 2017-11-07 Applied Materials, Inc. Substrate support with surface feature for reduced reflection and manufacturing techniques for producing same
KR101477142B1 (ko) * 2013-09-13 2014-12-29 (주)티티에스 기판 지지대 및 이를 구비하는 기판 지지 장치.
CN103614709B (zh) * 2013-12-12 2015-10-07 济南大学 用于mocvd反应室的组合基座式电磁加热装置
TWI650832B (zh) * 2013-12-26 2019-02-11 維克儀器公司 用於化學氣相沉積系統之具有隔熱蓋的晶圓載具
CN103824796B (zh) * 2014-01-07 2017-04-12 苏州新纳晶光电有限公司 用于led外延制程的石墨承载盘及其配套衬底
TWI654666B (zh) 2014-01-27 2019-03-21 Veeco Instruments, Inc. 用於化學氣相沉積系統之具有複合半徑容置腔的晶圓載具
KR102181390B1 (ko) * 2014-02-07 2020-11-20 엘지이노텍 주식회사 반도체 제조 장치
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
DE102014114947A1 (de) * 2014-05-16 2015-11-19 Aixtron Se Vorrichtung zum Abscheiden von Halbleiterschichten sowie einen Suszeptor zur Verwendung in einer derartigen Vorrichtung
JP2015222802A (ja) * 2014-05-23 2015-12-10 株式会社東芝 ウエハホルダおよび蒸着装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10438795B2 (en) 2015-06-22 2019-10-08 Veeco Instruments, Inc. Self-centering wafer carrier system for chemical vapor deposition
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US20170032992A1 (en) 2015-07-31 2017-02-02 Infineon Technologies Ag Substrate carrier, a method and a processing device
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
DE102016209012A1 (de) * 2015-12-18 2017-06-22 E.G.O. Elektro-Gerätebau GmbH Heizeinrichtung
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
JP6924196B2 (ja) * 2016-01-19 2021-08-25 インテヴァック インコーポレイテッド 基板製造用のパターンチャック
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10629416B2 (en) 2017-01-23 2020-04-21 Infineon Technologies Ag Wafer chuck and processing arrangement
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
WO2018158348A1 (en) * 2017-02-28 2018-09-07 Sgl Carbon Se Substrate-carrier structure
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10829866B2 (en) 2017-04-03 2020-11-10 Infineon Technologies Americas Corp. Wafer carrier and method
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
USD860146S1 (en) 2017-11-30 2019-09-17 Veeco Instruments Inc. Wafer carrier with a 33-pocket configuration
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
USD863239S1 (en) 2018-03-26 2019-10-15 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD858469S1 (en) 2018-03-26 2019-09-03 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD854506S1 (en) 2018-03-26 2019-07-23 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD860147S1 (en) 2018-03-26 2019-09-17 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
USD866491S1 (en) 2018-03-26 2019-11-12 Veeco Instruments Inc. Chemical vapor deposition wafer carrier with thermal cover
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN108642477A (zh) * 2018-05-24 2018-10-12 济南大学 一种用于电磁加热mocvd反应室的加热装置
JP6826554B2 (ja) * 2018-05-25 2021-02-03 日機装株式会社 サセプタ、半導体の製造方法、及び半導体の製造装置
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108987539A (zh) * 2018-05-31 2018-12-11 华灿光电(浙江)有限公司 一种适用于发光二极管外延片生长的石墨基座
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3863043A4 (en) * 2018-10-04 2021-11-03 Toyo Tanso Co., Ltd. SUSCEPTOR
JP1648519S (ja) 2018-10-04 2019-12-23
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN109666922B (zh) * 2018-11-23 2021-04-27 华灿光电(浙江)有限公司 一种石墨基座
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
EP3689543B1 (de) * 2019-01-30 2022-09-21 Carl Zeiss Vision International GmbH Vorrichtung und verfahren zur einbringung einer optischen linse in eine wendeeinrichtung
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN114761615A (zh) * 2019-12-20 2022-07-15 苏州晶湛半导体有限公司 一种晶圆承载盘及化学气相淀积设备
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
DE102020120449A1 (de) * 2020-08-03 2022-02-03 OSRAM Opto Semiconductors Gesellschaft mit beschränkter Haftung Wafer-carrier und system für eine epitaxievorrichtung
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN113278953B (zh) * 2021-03-26 2022-06-17 华灿光电(苏州)有限公司 石墨基板
CN113278952B (zh) * 2021-03-26 2022-12-06 华灿光电(苏州)有限公司 石墨基板
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117089926B (zh) * 2023-10-20 2024-01-16 杭州海乾半导体有限公司 一种用于提高碳化硅外延片均匀性的载具及其使用方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000355766A (ja) * 1999-06-15 2000-12-26 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP2001126995A (ja) * 1999-10-29 2001-05-11 Applied Materials Inc 半導体製造装置
US6391804B1 (en) * 2000-06-09 2002-05-21 Primaxx, Inc. Method and apparatus for uniform direct radiant heating in a rapid thermal processing reactor
US20020185062A1 (en) * 1998-11-19 2002-12-12 Halpin Michael W. Compact process chamber for improved process uniformity
US20040011780A1 (en) * 2002-07-22 2004-01-22 Applied Materials, Inc. Method for achieving a desired process uniformity by modifying surface topography of substrate heater
WO2005081298A1 (ja) * 2004-02-25 2005-09-01 Nippon Mining & Metals Co., Ltd. 気相成長装置
JP2007251078A (ja) * 2006-03-20 2007-09-27 Nuflare Technology Inc 気相成長装置
WO2007122147A1 (de) * 2006-04-21 2007-11-01 Aixtron Ag Vorrichtung und verfahren zur steuerung der oberflächentemperatur eines substrates in einer prozesskammer

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL7103019A (ja) * 1971-03-06 1972-09-08
NL7209297A (ja) * 1972-07-01 1974-01-03
US3993018A (en) * 1975-11-12 1976-11-23 International Business Machines Corporation Centrifugal support for workpieces
US5242501A (en) * 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS60173852A (ja) * 1984-02-20 1985-09-07 Wakomu:Kk ウエ−ハ処理用基板ホルダ
US4512841A (en) * 1984-04-02 1985-04-23 International Business Machines Corporation RF Coupling techniques
JPS6396912A (ja) * 1986-10-14 1988-04-27 Toshiba Ceramics Co Ltd 基板ホルダ−
JPS63186422A (ja) * 1987-01-28 1988-08-02 Tadahiro Omi ウエハサセプタ装置
JPH01256118A (ja) * 1988-04-05 1989-10-12 Sumitomo Metal Ind Ltd 気相反応装置
JPH04123265U (ja) * 1991-04-15 1992-11-06 昭和電工株式会社 気相成長装置のウエーハ載置台
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5195729A (en) * 1991-05-17 1993-03-23 National Semiconductor Corporation Wafer carrier
JPH05275355A (ja) * 1992-03-27 1993-10-22 Toshiba Corp 気相成長装置
JPH05335253A (ja) * 1992-06-01 1993-12-17 Toshiba Corp 気相成長装置
JPH0610140A (ja) * 1992-06-24 1994-01-18 Fuji Film Micro Device Kk 薄膜堆積装置
NL9300389A (nl) * 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
JP2652759B2 (ja) * 1993-09-03 1997-09-10 コマツ電子金属株式会社 気相成長装置用バレル型サセプタのウエハポケット
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US6074696A (en) * 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
JPH0936049A (ja) * 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) * 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US5837058A (en) * 1996-07-12 1998-11-17 Applied Materials, Inc. High temperature susceptor
JPH1060674A (ja) * 1996-08-23 1998-03-03 Shibaura Eng Works Co Ltd 真空処理装置
JP3596710B2 (ja) * 1996-09-10 2004-12-02 信越半導体株式会社 気相成長装置用サセプタ
KR100660416B1 (ko) * 1997-11-03 2006-12-22 에이에스엠 아메리카, 인코포레이티드 개량된 저질량 웨이퍼 지지 시스템
US20020018506A1 (en) * 2000-06-19 2002-02-14 Lambda Physik Ag Line selection of molecular fluorine laser emission
US6634882B2 (en) * 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
US6506252B2 (en) * 2001-02-07 2003-01-14 Emcore Corporation Susceptorless reactor for growing epitaxial layers on wafers by chemical vapor deposition
US6902623B2 (en) * 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
JP2003037071A (ja) * 2001-07-25 2003-02-07 Shin Etsu Handotai Co Ltd サセプタ、気相成長装置および気相成長方法
US20030089457A1 (en) * 2001-11-13 2003-05-15 Applied Materials, Inc. Apparatus for controlling a thermal conductivity profile for a pedestal in a semiconductor wafer processing chamber
ITMI20020306A1 (it) * 2002-02-15 2003-08-18 Lpe Spa Suscettore dotato di rientranze e reattore epitassiale che utilizza lo stesso
US7070660B2 (en) * 2002-05-03 2006-07-04 Asm America, Inc. Wafer holder with stiffening rib
US7381276B2 (en) * 2002-07-16 2008-06-03 International Business Machines Corporation Susceptor pocket with beveled projection sidewall
JP2004128271A (ja) * 2002-10-03 2004-04-22 Toyo Tanso Kk サセプタ
DE10260672A1 (de) * 2002-12-23 2004-07-15 Mattson Thermal Products Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von scheibenförmigen Substraten
DE10261362B8 (de) * 2002-12-30 2008-08-28 Osram Opto Semiconductors Gmbh Substrat-Halter
JPWO2004090967A1 (ja) * 2003-04-02 2006-07-06 株式会社Sumco 半導体ウェーハ用熱処理治具
JP2004327761A (ja) * 2003-04-25 2004-11-18 Sumitomo Mitsubishi Silicon Corp エピタキシャル成長用サセプタ
JP4599816B2 (ja) * 2003-08-01 2010-12-15 信越半導体株式会社 シリコンエピタキシャルウェーハの製造方法
JP2005136025A (ja) * 2003-10-29 2005-05-26 Trecenti Technologies Inc 半導体製造装置、半導体装置の製造方法及びウエハステージ
US20050217585A1 (en) * 2004-04-01 2005-10-06 Blomiley Eric R Substrate susceptor for receiving a substrate to be deposited upon
KR20060023220A (ko) * 2004-09-09 2006-03-14 삼성전자주식회사 반도체 제조용 베이크 장치
US7544251B2 (en) * 2004-10-07 2009-06-09 Applied Materials, Inc. Method and apparatus for controlling temperature of a substrate
WO2006088448A1 (en) * 2005-02-16 2006-08-24 Veeco Instruments Inc. Wafer carrier for growing gan wafers
TWI327339B (en) * 2005-07-29 2010-07-11 Nuflare Technology Inc Vapor phase growing apparatus and vapor phase growing method
US8603248B2 (en) * 2006-02-10 2013-12-10 Veeco Instruments Inc. System and method for varying wafer surface temperature via wafer-carrier temperature offset
KR20070093493A (ko) * 2006-03-14 2007-09-19 엘지이노텍 주식회사 서셉터 및 반도체 제조장치
US8021487B2 (en) * 2007-12-12 2011-09-20 Veeco Instruments Inc. Wafer carrier with hub
US20110049779A1 (en) * 2009-08-28 2011-03-03 Applied Materials, Inc. Substrate carrier design for improved photoluminescence uniformity
DE102011055061A1 (de) * 2011-11-04 2013-05-08 Aixtron Se CVD-Reaktor bzw. Substrathalter für einen CVD-Reaktor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020185062A1 (en) * 1998-11-19 2002-12-12 Halpin Michael W. Compact process chamber for improved process uniformity
JP2000355766A (ja) * 1999-06-15 2000-12-26 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP2001126995A (ja) * 1999-10-29 2001-05-11 Applied Materials Inc 半導体製造装置
US6391804B1 (en) * 2000-06-09 2002-05-21 Primaxx, Inc. Method and apparatus for uniform direct radiant heating in a rapid thermal processing reactor
US20040011780A1 (en) * 2002-07-22 2004-01-22 Applied Materials, Inc. Method for achieving a desired process uniformity by modifying surface topography of substrate heater
WO2005081298A1 (ja) * 2004-02-25 2005-09-01 Nippon Mining & Metals Co., Ltd. 気相成長装置
JP2007251078A (ja) * 2006-03-20 2007-09-27 Nuflare Technology Inc 気相成長装置
WO2007122147A1 (de) * 2006-04-21 2007-11-01 Aixtron Ag Vorrichtung und verfahren zur steuerung der oberflächentemperatur eines substrates in einer prozesskammer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016184631A (ja) * 2015-03-25 2016-10-20 住友化学株式会社 基板処理装置及び基板処理方法
US10294566B2 (en) 2015-03-25 2019-05-21 Sumitomo Chemical Company, Limited Substrate processing apparatus and substrate processing method

Also Published As

Publication number Publication date
JP5560355B2 (ja) 2014-07-23
EP2562290A2 (en) 2013-02-27
KR101294129B1 (ko) 2013-08-07
KR20110042225A (ko) 2011-04-25
JP2013138224A (ja) 2013-07-11
JP2012501541A (ja) 2012-01-19
EP2562290A3 (en) 2016-10-19
EP2562291A1 (en) 2013-02-27
US20100055318A1 (en) 2010-03-04
WO2010024943A2 (en) 2010-03-04
TWI397113B (zh) 2013-05-21
TW201017728A (en) 2010-05-01
EP2338164A4 (en) 2012-05-16
CN105810630A (zh) 2016-07-27
EP2338164A2 (en) 2011-06-29
CN102144280A (zh) 2011-08-03
CN102144280B (zh) 2016-05-04
JP5200171B2 (ja) 2013-05-15
WO2010024943A3 (en) 2010-06-17

Similar Documents

Publication Publication Date Title
JP5200171B2 (ja) ウエハキャリア、化学蒸着装置、および、ウエハを処理する方法
JP5926730B2 (ja) 改良されたウェハキャリア
EP2037485B1 (en) Fabrication apparatus and fabrication method of semiconductor device produced by heating a substrate
TWI619843B (zh) 在化學氣相沉積系統內具有供改善加熱一致性之設計的晶圓舟盒
KR20130037688A (ko) 열 특징부를 갖는 웨이퍼 캐리어
US8562746B2 (en) Sectional wafer carrier
JP2016526303A (ja) 熱均一性を増大する特徴部を有する改良型ウェハキャリア
KR20160004541U (ko) 화학 기상 증착을 위한 자기중심조정 웨이퍼 캐리어 시스템
JP5042966B2 (ja) トレイ、気相成長装置及び気相成長方法
JP2018037537A (ja) 気相成長装置
KR20190001370U (ko) 33-포켓 구성을 갖는 웨이퍼 캐리어
TW201316445A (zh) 具有熱特性的晶圓承載物
JP2023165612A (ja) 気相成長装置、及びリフレクタ
TW201711130A (zh) 晶圓保持器及半導體製造裝置
KR20090047625A (ko) 화학 기상 증착 장치용 서셉터
KR20120051968A (ko) 서셉터 및 이를 구비한 화학 기상 증착 장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150417

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150714

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150814

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150916

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160324

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20160624

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160704

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161208

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20170328