KR20060050488A - 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치 - Google Patents

각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치 Download PDF

Info

Publication number
KR20060050488A
KR20060050488A KR1020050074825A KR20050074825A KR20060050488A KR 20060050488 A KR20060050488 A KR 20060050488A KR 1020050074825 A KR1020050074825 A KR 1020050074825A KR 20050074825 A KR20050074825 A KR 20050074825A KR 20060050488 A KR20060050488 A KR 20060050488A
Authority
KR
South Korea
Prior art keywords
substrate
scatterometer
radiation
reflected
numerical aperture
Prior art date
Application number
KR1020050074825A
Other languages
English (en)
Other versions
KR100697277B1 (ko
Inventor
보에프 아리에 예프레이 덴
아르노 얀 블리커
돔멜렌 유리 요한네스 로렌티우스 마리아 반
머시 두사
안토이네 가스톤 마리에 키에르스
폴 프랑크 루어맨
헨리쿠스 페트루스 마리아 펠레만스
데르 샤르 마우리츠 반
체드릭 데지레 그로우브스트라
크라이요 마르쿠스 게라르두스 마르티누스 반
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20060050488A publication Critical patent/KR20060050488A/ko
Application granted granted Critical
Publication of KR100697277B1 publication Critical patent/KR100697277B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7034Leveling

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

본 발명에 따르면, 높은 개구수 렌즈의 퓨필 평면에서, 방사선이 기판에서 반사된 결과로서, 각도-분해 스펙트럼을 측정함으로써, 기판의 특성을 결정하는 장치 및 방법이 개시된다. 상기 특성은, 각도 및 파장에 의존적일 수 있으며, TM- 및 TE-편광된 광의 세기 및 그들의 관련 위상차를 포함할 수도 있다.

Description

각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치{METHOD AND APPARATUS FOR ANGULAR-RESOLVED SPECTROSCOPIC LITHOGRAPHY CHARACTERIZATION}
이하, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만, 본 발명의 실시예들을 설명한다.
도 1은 본 발명의 일 실시예에 따른 방법을 수행하는데 사용될 수 있는 리소그래피 투영장치를 도시하는 도면;
도 2는 스캐터로미터(scatterometer)를 도시하는 도면;
도 3은 본 발명의 일 실시예에 따른, 높은 NA 렌즈의 퓨필 평면에서, 각도 분해 스펙트럼(angle resolved spectrum)을 측정하는 일반적인 작동 원리를 도시하는 도면;
도 4a 및 도 4b는 오버레이를 결정하는데 있어서 본 발명의 일 실시예의 사용을 도시하는 도면;
도 5는 본 발명의 일 실시예에 따른, 방사선 빔의 일부분을 커플링(coupling off)하는 비-편광 빔 분할기(non-polarizing beam splitter)의 사용을 도시하는 도면;
도 6은 본 발명의 일 실시예에 따른 파장 다중화기(wavelength multiplexer)를 도시하는 도면;
도 7은 본 발명의 일 실시예에 따른 파장 비-다중화기(wavelength demultiplexer)를 도시하는 도면;
도 8은 본 발명의 일 실시예에 따른, 중간 대물 평면에 있는 나이프 에지(knife edge)를 도시하는 도면;
도 9a 및 도 9b는 본 발명의 일 실시예에 따른, 검사 빔내의 형상화된 차폐부(shaped obscuration)를 도시하는 도면;
도 10은 본 발명의 일 실시예에 따른, 스캐터링된 스펙트럼들의 상이한 회절 차수들의 검출된 이미지를 도시하는 도면;
도 11은 본 발명의 일 실시예에 따른, 2개의 조명 스폿들을 갖는 스캐터로미터를 도시하는 도면;
도 12는 본 발명의 일 실시예에 따른 엘립소미터(ellipsometer)를 도시하는 도면;
도 13은 본 발명의 일 실시예에 따른, 퓨필 평면 및 이미지 평면에서의 이미지들을 검출하는 스캐터로미터를 도시하는 도면; 및
도 14는 격자의 피치(pitch)가 두배인 격자 오버레이(grating overlay)를 도시하는 도면이다.
본 발명은, 리소그래피 기술들을 이용하여 예를 들어 디바이스들의 제조시에 사용될 수 있는 검사 방법, 및 리소그래피 기술을 이용하여 디바이스들을 제조하는 방법에 관한 것이다.
리소그래피 투영장치를 이용하는 제조 공정에서는, 레지스트의 광학 특성들 또는 표면 물리적 특성들 중 어느 하나의 변화들에 의해서, 방사선 감응재(레지스트)층에 의해 적어도 부분적으로 덮인 기판상에 (예를 들어, 마스크의) 패턴이 이미징(imaging)된다. 대안적으로, 이미징 단계는 에칭된 격자 또는 나노-임프린트 기술(nano-imprint technology)과 같은 레지스트없는 공정(resistless process)을 이용할 수도 있다. 이 이미징 단계에 앞서, 기판은, 전처리(priming), 레지스트 코팅 및 소프트 베이크와 같은 여러가지 과정들을 거치게 될 수도 있다. 노광 후, 상기 기판은, 노광 후 베이크(post-exposure bake; PEB), 현상, 하드 베이크 및 이미징된 피처들의 측정/검사와 같은 다른 과정들을 거치게 될 수도 있다. 이러한 일련의 과정들은, 디바이스, 예컨대 IC의 개별층을 패터닝하는 것에 대한 기초로서 사용된다. 그 후, 이러한 패터닝된 층은, 개별층을 마무르기(finish off) 위해서, 에칭, 이온-주입(도핑), 금속화(metallization), 산화, 화학적-기계적 폴리싱 등과 같은 다양한 공정들을 거치게 될 수도 있다. 여러 개의 층들이 요구되는 경우, 각각의 새로운 층마다 전체 과정 또는 그 변형이 반복어야만 할 것이다. 최종적으로, 기판(웨이퍼)상에는 디바이스들의 어레이가 존재할 것이다. 그 후, 이들 디바이스들은 다이싱(dicing) 또는 소잉(sawing)과 같은 기술을 이용하여 서로 분리되므로, 개개의 디바이스들이 캐리어들 위에 장착되고 핀들에 연결될 수 있다.
레지스트(또는, 에칭의 경우에는 기판면) 현상 후의 측정 및 검사 단계(인- 라인(in-line)이라고도 함)는, 생산 기판(production substrate)들을 처리하는 정상적인 과정에서 수행되기 때문에, 통상적으로 두가지 목적을 제공한다. 첫번째로, 현상된 레지스트내의 패턴은 결함이 있는 여하한의 타겟 영역들을 검출하는 것이 바람직하다. 상당한 수의 타겟 영역들이 결함이 있는 경우, 결함이 있는 패턴을 가지고 그 결함을 지속시키기 보다는, 에칭과 같은 공정 단계를 수행함으로써 기판으로부터 패터닝된 레지스트를 벗겨내고 기판이 올바르게 재-노광(re-expose)될 수 있는 것이 바람직하다. 두번째로, 그 측정들이 후속되는 노광들을 위하여, 리소그래피 장치, 예컨대 조명 세팅들 또는 노광 도즈의 오차들이 검출되고 보정될 수 있다. 하지만, 리소그래피 장치내의 많은 오차들은 레지스트에 프린트된 패턴으로부터 쉽게 검출될 수 없거나 정량화(quantify)될 수 없다. 결함을 검출한다고 해도, 항상 그 원인을 곧 바로 찾아낼 수 있는 것은 아니다. 따라서, 리소그래피 장치내의 오차들을 검출하고 측정하기 위한 다양한 오프-라인 과정들이 알려져 있다. 이들은 기판을 측정 디바이스와 교체하거나, 예를 들어 다양하고 상이한 기계 세팅들에서 특정 테스트 패턴들의 노광들을 수행하는 것을 수반할 수도 있다. 이러한 오프-라인 기술들은, 거의 대부분 많은 시간이 소요되며, 그 시간 동안에 상기 장치의 최종 생산물들은, 측정 결과들이 이용가능하게 될 때까지 품질의 상태를 알 수 없을 것이다. 그러므로, 리소그래피 장치내의 오차들을 검출하고 측정하기 위해서는, 통상적으로, 인-라인 기술들, 즉 생산 노광(production exposure)들과 동시에 수행될 수 있는 기술들이 바람직하다.
스캐터로메트리(scatterometry)는 CD 및 오버레이의 인-라인 측정들에 사용 될 수 있는 광학 메트롤로지 기술(optical metrology technique)의 일례이다. 크게 2개의 스캐터로메트리 기술이 존재한다:
(1) 분광적 스캐터로메트리(spectroscopic scatterometry)는, 통상적으로 제논, 듀테늄 또는 할로겐-계 광원, 예컨대 제논 아크 램프(xenon arc lamp)와 같은 광대역 광원을 이용하여, 파장의 함수로서, 고정된 각도(fixed angle)로 스캐터링된 광의 특성들을 측정한다. 상기 고정된 각도는 수직으로 입사(normally incident)되거나 사선으로 입사될 수 있다.
(2) 각도-분해 스캐터로메트리는, 통상적으로 단 파장 광원인 레이저를 이용하여, 입사각의 함수로서, 고정된 파장에서 스캐터링된 광의 특성들을 측정한다.
반사된 스펙트럼으로 인해 생성된 구조체는, 예를 들어 실시간 회귀(real-time regression)를 이용하여, 또는 시뮬레이션에 의해 유도된 패턴들의 라이브러리와 비교하여 재구성(reconstruct)된다. 재구성은 비용 함수(cost function)의 최소화를 수반한다. 두가지 접근법은 주기적인 구조체들에 의한 광의 스캐터링을 계산한다. FDTD(Finite Difference Time Domain) 또는 적분 방정식 기술(Intergral Equation technique)들과 같은 여타의 기술들에 의해서도 광 스캐너링이 계산될 수 있지만, 가장 많이 통용되는 기술은 RCWA(Rigorous Coupled-Wave Analysis)이다.
기존의 각도 분해 스캐터로메트리 기술들의 문제점은, 소정 시간에 오직 하나의 파장만을 검출함에 따라, 1이상의 파장을 갖는 스펙트럼들의 파장들이 시간-다중화(time-multiplexing)되므로, 상기 스펙트럼을 검출하고 처리하는데 걸리는 전체 소요 시간이 증가된다는 것에 있다. 분광적 스캐터로메트리에서는, 큰 너비 (etendue)를 갖는 연장된 광원이 사용된다. 작은 격자들이 입사각내에 있는 작은 스프레드(spread)로 조명되어야 하기 때문에, 이 연장된 광원으로부터 다량의 광이 낭비된다. 이는, 스루풋에 부정적인 영향을 주는 긴 획득 시간(acquisition time)을 초래하는, 검출기상에 낮은 광 레벨들을 유도하게 된다. 획득 시간을 짧게 선택한 경우, 측정 결과값들이 안정하지 않을 수도 있다.
따라서, 예를 들어, 리소그래피 기술들을 이용한 디바이스들의 제조 시에, 오버레이 및 격자형 파라미터들(예컨대, 격자 비대칭 및 정렬)을 측정하고, 또한 높은 NA(개구수) 렌즈의 퓨필 평면(또는 후방 촛점 평면)에서, 각도 분해 스펙트럼을 측정하는 방법을 제공하는 것이 바람직할 수 있다. 또한, 투영시스템 수차(aberration)들 등이 측정되어 보정되거나 보상될 수 있다.
본 발명의 실시예들은, 다 파장들에서 각도-분해 스펙트럼들을 동시에 측정할 수 있고, 각도 분해 스캐터로미터용 포커스 측정 방법 및 침지 스캐터로메트리(immersion scatterometry)를 수행할 수 있으며, 또한 2-D 검출기 어레이를 이용하여 방사선 소스의 세기 잡음(intensity noise)을 측정할 수 있는 하드웨어를 포함할 수도 있다. 더욱이, 본 발명의 실시예들은, 스캐터링된 광의 비대칭성 측정을 통해 오버레이를 측정하는 것과, 스캐터링된 광의 높은 회절 차수들 및 레일리 이형들(Rayleigh anomalies)을 통해, 작은 라인형 변화들을 측정하는 것을 포함하는 하드웨어의 응용예들을 포함할 수 있다.
비록, 본 명세서에서는 IC의 제조에 있어서 본 발명에 따른 장치의 특정 사 용예에 대하여 언급되지만, 이러한 장치는 여타의 가능한 응용예들을 가질 수도 있음을 명확히 이해하여야 한다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기 도메인 메모리용 유도 및 검출 패턴, 액정 디스플레이 패널, 박막 자기 헤드 등의 제조시에 채택될 수도 있다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서에서의 "레티클", "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "마스크", "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어로 대체된 것으로 간주될 수도 있음을 이해하여야 한다.
본 명세서에서, "방사선" 및 "빔"이란 용어는 (예를 들어, 파장이 365, 248, 193, 157 또는 126㎚인) 자외선, 및 EUV(예를 들어, 파장이 5 내지 20㎚인 극자외선)를 포함하는 모든 형태의 전자기방사선 뿐만 아니라, 이온 빔 또는 전자 빔과 같은 입자 빔을 포괄하는데 사용된다.
도 1은 본 발명의 일 실시예에 따른 방법에서 이용될 수 있는 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는;
ㆍ 방사선(예를 들어, DUV 방사선)의 투영빔(PB)을 공급하는 방사선시스템(Ex, IL)(특별히 이 경우에는, 방사선 소스(LA)도 포함한다);
ㆍ 마스크(MA)(예를 들어, 레티클)를 잡아주는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제 1 위치설정 디바이스에 연결된 제 1 대물테이블(마스크테이블)(MT);
ㆍ 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 잡아주는 기판 홀 더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제 2 위치설정 디바이스에 연결된 제 2 대물테이블(기판테이블)(WT); 및
ㆍ 기판(W)의 타겟부(C)(1이상의 다이를 포함)에 마스크(MA)의 조사된 부분을 이미징하는 투영시스템("투영 렌즈")(PL)(예를 들어, 굴절 렌즈 시스템)을 포함한다.
도시된 바와 같이, 상기 장치는 (투과 마스크를 구비한) 투과형으로 구성되어 있다. 하지만, 일반적으로는, 예를 들어 (반사 마스크를 구비한) 반사형으로 구성될 수도 있다. 대안적으로, 상기 장치는 또 다른 종류의 패터닝 디바이스, 예컨대 위에서 언급한 바와 같은 형태의 프로그램가능한 거울 어레이를 채택할 수도 있다.
방사선 소스(LA)(예를 들어, 엑시머 레이저)은 방사선의 빔을 생성한다. 이 빔은 곧 바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스팬더(beam expander)(Ex)와 같은 컨디셔닝 수단을 거친 이후에 조명시스템(일루미네이터)(IL)으로 들어간다. 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라 함)를 설정하는 조정 수단(AM)을 포함할 수도 있다. 또한, 이는 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소들을 포함할 것이다. 이러한 방식으로, 마스크(MA)에 도달하는 빔(PB)은 그 단면에 원하는 균일성과 세기 분포를 갖게 된다.
도 1과 관련하여, 상기 소스(LA)는 리소그패피 투영장치의 하우징내에 놓일 수도 있지만(상기 소스(LA)가 예컨대 수은 램프인 경우에 흔함), 리소그래피 투영 장치로부터 멀리 떨어져 있을 수도 있으며, 그것이 생성한 방사선 빔은 (가령, 적절한 지향 거울들에 의해) 상기 장치 내부로 들어오게 할 수도 있다; 후자의 시나리오는 방사선 소스(LA)가 엑시머 레이저인 경우에 흔하다. 본 발명과 청구 범위는 이들 시나리오 둘 모두를 포함한다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 통과한다(intercept). 마스크(MA)를 가로질렀으면, 상기 빔(PB)은 투영 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)을 포커스한다. 제 2 위치설정 디바이스(및 간섭계 측정 디바이스(IF))에 의하여, 기판테이블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정 디바이스는, 예를 들어 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 상기 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 1에 명확히 도시되지는 않았지만, 긴-행정 모듈(long-stroke module)(개략 위치설정) 및 짧은-행정 모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔 장치와는 대조적으로) 스테퍼의 경우, 마스크테이블(MT)은 단지 짧은 행정 액츄에이터에만 연결되거나 고정될 수도 있다.
상술된 장치는 두가지 상이한 모드로 사용될 수 있다.
1. 스텝 모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크 이미지가 한번에(즉, 단일 "섬광(flash")) 타겟부(C)상으로 투영된다. 그 후, 기판테이블(WT)은 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있도록 X 및/또는 Y 방향으로 시프트된다.
2. 스캔 모드에서는, 주어진 타겟부(C)가 단일 "섬광"으로 노광되지 않는다는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 주어진 방향(소위 "스캐닝 방향", 예를 들어 y 방향)으로 이동 가능해서, 투영빔(PB)이 전체 마스크 이미지를 스캐닝하도록 되고; 동시발생적으로, 기판테이블(WT)은 속도 V=Mv로, 동일한 방향 또는 그 반대 방향으로 동시에 이동하는 데, 이 때 M은 투영시스템(PL)의 배율(통상 M = 1/4 또는 1/5)이다. 이러한 방식으로, 분해능(resolution)을 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
기판(6) 표면의 1이상의 특성들은 도 2에 도시된 것과 같은 스캐터로미터를 이용하여 결정될 수도 있다. 일 실시예에서, 스캐터로미터는 기판(6)상으로 방사선을 지향시키는 광대역(백색 광) 방사선 소스(2)를 포함한다. 연장된 광대역 방사선 소스는 기판면에 대해 적어도 50nm의 파장을 가진 방사선 빔을 제공하도록 구성될 수도 있다. 반사된 방사선은 정반사된 방사선(specular reflected radiation)의 스펙트럼(10)(파장의 함수로서 세기)을 측정하는 분광계 검출기(4)로 통과된다. 이 데이터로부터, 검출된 스펙트럼에 의해 생성된 프로파일 또는 구조체는, 예를 들어 RCWA 및 비-선형 회귀(non-linear regression)에 의해, 또는 도 2의 저부에 도시된 바와 같은 시뮬레이션된 스펙트럼들의 라이브러리와 비교함으로써, 재구성될 수도 있다. 일반적으로, 재구성을 위해서, 상기 구조체의 일반적인 형태가 공지되며, 상기 구조체가 만들어진 공정의 정보(knowledge)로부터 몇몇 파라미터들이 가정되므 로, 스캐터로메트리 데이터로부터 결정될 구조체의 몇몇 파라미터들만이 남게 된다.
스캐터로미터는 수직-입사 스캐터로미터 또는 사선-입사 스캐터로미터일 수도 있다. 또한, 파장들의 소정 범위의 단일 각도에서 반사가 측정되기 보다는, 단 파장의 각도들의 소정 범위에서 반사가 측정되는 스캐터로메트리의 변형예들이 사용될 수도 있다.
하기에 서술된 1이상의 실시예들에서는, 도 3에 도시된 바와 같이, 높은 NA 렌즈의 퓨필 평면(40)에서, 복수의 각도들 및 파장들에서 기판면(6)으로부터 반사된 각도-분해 스펙트럼의 특성을 측정함으로써, 기판의 특성을 측정하도록 구성된 스캐터로미터가 사용된다. 스캐터로미터는 기판상으로 방사선을 투영시키도록 구성된 방사선 소스(2) 및 그 반사된 스펙트럼들을 검출하도록 구성된 검출기(32)를 포함한다. 퓨필 평면은, 방사선의 방사 위치(radial position)가 입사각을 정의하고 그 각도 위치가 방사선의 방위각(azimuth angle)과 어떤 실질적인 켤레면(conjugate plane)을 정의하는 평면이다. 검출기(32)는 높은 NA 렌즈의 퓨필 평면에 배치된다. NA는 높으며, 일 실시예에서는 0.9이상 또는 0.95이상이다. 침지 스캐터로미터들은, 심지어 NA가 1이 넘는 렌즈들을 구비할 수도 있다.
이전의 각도-분해 스캐터로미터들은 스캐터링된 광의 세기만을 측정하였다. 본 발명의 일 실시예는, 여러개의 파장들이 소정 각도들의 범위에서 동시에 측정될 수 있다. 상이한 파장들 및 각도들에 대해서, 스캐터로미터에 의해 측정된 특성들은, 횡자기적(transverse magnetic; TM) 및 횡전기적(transverse electric; TE) 편 광된 광의 세기 및 TM 및 TE 편광된 광간의 위상차를 포함할 수도 있다.
광대역 광원(즉, 광 주파수들 또는 파장들, 따라서 컬러들이 광범위한 광원)을 이용하는 것이 가능하며, 이는 넓은 너비를 제공하여 다 파장들의 믹싱(mixing)을 허용한다. 일 실시예에서, 광대역 광의 복수의 파장들은, 각각 소위 δλ의 대역폭을 가지며, 그에 따라 2δλ(즉, 파장의 두배)이상의 간격을 가진다. 방사선의 여러개의 "소스"들은, 소위 말하는 섬유 다발(fiber bundle)을 이용하여 분할(split)된 연장된 방사선 소스의 상이한 부분들일 수 있다. 이러한 방식으로, 각도 분해 스캐터 스펙트럼들은 다 파장들에서 병렬로(in parallel) 측정될 수 있다. 2-D 스펙트럼보다 더 많은 정보를 포함하는 3-D 스펙트럼(파장 및 2개의 상이한 각도들)이 측정될 수도 있다. 이는 메트롤로지 프로세스 로버스트니스(metrology process robustness)를 증가시키는 보다 많은 정보가 측정될 수 있게 한다.
도 3에는, 본 발명의 일 실시예의 스캐터로미터가 도시되어 있다. 광원(2)은 렌즈 시스템(L2)를 이용하여 간섭 필터(interference filter; 30)를 통해 포커스되고 현미경 대물 렌즈(L1)를 통해 기판(6)상으로 포커스된다. 그 후, 방사선은 스캐터 스펙트럼(scatter spectrum)을 검출하기 위해서, 부분 반사면(34)을 통해 역-투영(back projected) 퓨필 평면(40)에서 CCD 검출기로 반사된다. 퓨필 평면(40)은 렌즈 시스템(L1)의 초점 길이에 존재한다. 검출기 및 높은 NA 렌즈는 퓨필 평면에 배치된다. 통상적으로, 높은 NA 렌즈의 퓨필 평면이 상기 렌즈 내부에 위치되기 때문에, 상기 퓨필 평면은 보조 광학기를 이용하여 재-이미징(re-image)될 수도 있다.
반사기 광의 퓨필 평면은, 예를 들어, 프레임당 40 밀리초(millisecond)의 통합 시간(integration time)에 걸쳐 CCD 검출기상에 이미징된다. 이러한 방식으로, 기판 타겟의 2차원 각도 스캐터 스펙트럼이 검출기상에 이미징된다. 검출기는, 예를 들어, CCD 검출기들 또는 CMOS 검출기들의 어레이일 수도 있다. 스펙트럼의 처리는 대칭적 검출 구성(symmetrical detection configuration)을 제공하므로, 센서들이 회전적으로 대칭되게 할 수 있다. 이는, 기판상의 소정 타겟이 상기 센서에 대해 여하한의 회전 방위로 측정될 수 있기 때문에, 콤팩트한 기판테이블(a compact substrate table)이 사용될 수 있다. 기판상의 모든 타겟부들은 기판의 회전 및 병진(translation)의 조합에 의해 측정될 수 있다.
소위 405 내지 790nm의 범위, 또는 그보다 훨씬 낮은, 예컨대 200 내지 300nm의 범위에 해당하는 파장을 선택하기 위해, 간섭 필터(30)들의 일 세트가 이용될 수도 있다. 간섭 필터는 상이한 필터들의 일 세트를 포함한다기 보다는 튜닝될(tunable) 수도 있다. 1이상의 간섭 필터들 대신에 격자가 사용될 수도 있다.
상기 기판(6)(또는 심지어는 반사면(34))이 격자일 수도 있다. 상기 격자는, 현상 후, 일련의 바아(bar)들이 실선의 레지스트 라인(solid resist line)들로 형성되도록 프린트될 수도 있다. 대안적으로, 상기 바아들은 기판 안으로 에칭될 수도 있다. 이 패턴은 리소그래피 투영장치, 특히 투영시스템(PL)내의 코마 수차(comatic aberration)들에 민감하며, 이러한 수차들의 존재 및 조명 대칭성은 프린트된 격자의 변화에 수차들을 나타낼 것이다. 이에 따라, 프린트된 격자들의 스캐터로메트리 데이터는 상기 격자들을 재구성하는데 사용된다. 상기 격자들의 1이상 의 파라미터들, 예컨대 라인 폭들 및 형상들은 프린팅 단계 및/또는 여타의 스캐터로메트리 공정들의 정보로부터 재구성 공정으로 입력될 수도 있다.
직사각형 슬릿들을 갖는 투과 금속성 격자(transmission metallic grating)들에는, 우드-레일리 이형들(Wood-Rayleigh anomalies)상에 위치되는 강한 불연속부(strong discontinuity)들을 나타내고 2가지 타입의 공진(resonance)(수평 및 수직 표면-플라즈몬(surface-plasmon) 공진이라고도 함)을 나타내기 위해서, 복잡한 광자 띠 구조체(complex photonic band structure; CPBS)가 도시되어 있다. 상기 스펙트럼내의 피크들의 정 위치와 폭(spectral position and width)은, 수평과 수직 공진들 둘 모두에 대해 CPBS로부터 바로 추출(extract)될 수 있다. 이러한 방식으로, 투과 금속성 격자로 들어오는 방사선은 그 스펙트럼이 분석되게 할 수 있으며, 상기 격자의 1이상의 특성들이 우드-레일리 이형들상에 위치된 강한 불연속부들에 의해서 결정될 수 있게 한다. 우드-레일리 이형들은, 파장 또는 입사각의 변화에 따라 생성되므로, 더 많은 전파 회절 차수(propagating diffraction order)가 생기게 한다. 빔의 폭이 클수록 상기 빔의 가로방향 변위(lateral displacement)도 커진다.
본 발명의 일 실시예는, 스펙트럼을 검출하고, 불연속부들이 측정될 수 있으며, 따라서 1이상의 격자 특성들이 계산될 수 있는 수 있는 대칭 퓨필 평면 이미지를 생성한다.
본 발명의 일 실시예에 따르면, 스캐터로미터는 반사된 스펙트럼의 비대칭성을 측정함으로써, 2개의 오정렬된 주기적 구조체들의 오버레이를 측정하도록 순응 (adapt)될 수도 있으며, 이 비대칭성은 오버레이의 크기(extent)와 관련된다.
일 실시예에서, 스캐터로미터는 검출 구성(detection configuration) 및/또는 반사된 스펙트럼의 비대칭성을 측정함으로써, 2개의 오정렬된 격자들 또는 주기적 구조체들의 오버레이를 측정하도록 순응되며, 이 비대칭성은 오버레이의 크기와 관련된다. 대칭적 검출 구성으로 인해, 여하한의 비대칭성이 명확히 구별될 수 있다. 이는, 상기 격자들내의 오정렬을 측정하는 가장 좋은 방법을 제공한다.
도 4에는, 사용되는 기판 패턴의 일 형태가 도시되어 있다. 제 1 격자(14)는 그 최상부상에 프린트된 제 2 격자(12)를 가진다. 제 1 격자(14)에 대해 상기 제 2 격자가 오프셋(offset)되는 양이 오버레이(22)로서 알려져 있다.
도 4a에 도시된 실시예에서는, 방사선 소스(2)가 수직한 표면에 대해 물체를 대칭적으로 조명하고, 스캐터로메트리 검출기가 스캐터 방사선을 여러 각도로 측정하지만, 사선의 각도로 상기 물체를 조명하는 소스도 가능함을 유의한다.
오버레이 메트롤로지는 각도 스캐터 스펙트럼의 비대칭성의 측정에 기초한다. 대칭 구조체들은 대칭 각도 스펙트럼들을 생기게 하며, 타겟의 비대칭성은 각도 스캐터 스펙트럼의 비대칭성으로서 나타난다. 이러한 특성은 각도-분해 스캐터로메트리를 이용한 오버레이 메트롤로지에 기초한다.
오버랩(overlap)되나 오정렬된, 폭(20)을 갖는 바아들로 만들어진 2개의 격자(12, 14)들은 1개의 합성 비대칭 타겟(composite asymmetric target)을 형성한다. 각도 스캐터 스펙트럼의 그 결과적인 비대칭성은, 도 3에 도시된 각도-분해 스캐터로미터(4)로 검출되며, 다음과 같은 방식으로 오버레이(22)를 유도하는데 사용 된다:
2개의 격자 쌍들, 각각 제 1 쌍 및 제 2 쌍은 +d 및 -d의 의도적인 바이어스(deliberate bias)와 함께 사용된다. 다시 말해, 상기 격자(12)는 하나의 쌍의 소정 방향(도 4에 도시됨)으로 그리고 다른 하나의 쌍의 반대 방향(미도시됨)으로 시프트된다. 그러므로, 각 쌍의 격자들간의 실제 횡방향 시프트(transverse shift)는 X 1 = OV + dX 2 = OV - d이며, 여기서 OV는 오버레이(22)이다.
격자 쌍들이 정렬되는 경우에는, 오버레이가 0이고, 상기 격자들상에 입사되는 조명의 세기가 I ill 이며, 상기 격자들에서 반사된 방사선의 세기가 제1방향으로는 I +1이고, 방대 방향이나 동일한 평면에서는 I -1이라 한다면,
OV(오버레이) = 0인 경우,
Figure 112005044889103-PAT00001
이다.
하지만,
OV ≠ 0이면,
Figure 112005044889103-PAT00002
이다.
작은 오버레이의 경우, 세기차는 그 오버레이에 비례한다:
Figure 112005044889103-PAT00003
.
K는 상수이고, 공정에 의존하며, 따라서 미지수이다.
본 발명의 일 실시예에 따른 스캐터로미터를 이용하여 오버레이 메트롤로지를 캘리브레이션하기 위해서, 2개의 격자 타겟들이 사용된다; 하나는 도 4b에 도시된 오버레이를 이용하며, 다른 하나는 정확한 리버스 오버레이(exact reverse overlay)를 이용하므로, 상부 격자(12)는 저부 격자(14)에 대해 우측 보다는 좌측으로 변위(displace)된다. 제 1 셋-업에서의 오버레이는 OV + d(도 4b에서의 거리(22))이고 제 2 셋-업에서의 오버레이는 OV - d이다.
그러므로, OV + d인 경우,
비대칭은,
Figure 112005044889103-PAT00004
이며,
OV - d인 경우,
비대칭은,
Figure 112005044889103-PAT00005
이다.
스케일링 팩터(scaling factor; K)는 소거될 수 있다:
Figure 112005044889103-PAT00006
.
그러므로, 오버레이는 각도 분해 스캐터 스펙트럼의 비대칭의 측정값들을 이 용하여 계산될 수 있다.
이전에 공지된 방법들에 비해 본 발명의 장점은, 2개의 격자만이 요구된다는 사실이다. 더욱이, 일반적으로, 상기 방법은 2-D 격자들에 대해서도 사용할 수 있다: 이 경우, 완전한 (x, y) 오버레이 측정에 대해 오직 2개의 격자들만이 요구된다. 이는, 분광적 스캐터로메트리 방법들을 이용하는, 소위 6개의 격자들에 비해 상당한 개선이다.
2-D 격자들을 이용하는 xy 오버레이 메트롤로지의 분석은 다음과 같다:
2개의 격자들은 f(x, y)g(x, y)의 진폭 투과율(amplitude transmission)을 가진다. 이들 격자들은 2개의 방향들로 주기성이 있으며, 그러므로 그들의 투과율은 푸리에 급수로 표현될 수 있다:
Figure 112005044889103-PAT00007
.
두 격자들은 주기가 같으며, 간단히 하기 위해서, 상기 격자들의 주기들은 다음의 계산들에 대해 2π로 정규화(normalize)되었다. 상수(F n,m G p,q )들은, 격자 형상, 파장 및 편광(polarization)에 의존하는 회절 효율성들(diffraction efficienceis)로 해석될 수 있다. 2개의 격자들은, 각각 x 0 y 0 의 상대 오버레이(relative overlay)를 가지고, xy 방향들로 오버랩된다. 총 투과율(t)은 다음과 같이 나타낼 수 있다:
Figure 112005044889103-PAT00008
여기서,
Figure 112005044889103-PAT00009
이다.
상기 변수들은 다음과 같이 조정될 수 있다:
p + n = ap = a - n
q + m = bq = b - m.
푸리에 급수(t(x, y))에 이들 식을 치환하면, 다음과 같은 식을 얻게 된다:
Figure 112005044889103-PAT00010
여기서,
Figure 112005044889103-PAT00011
이다.
T a,b 는 회절 차수(a, b)의 진폭으로서 해석될 수 있다. 이 진폭은, 일반적으로 xy 방향으로의 오버레이에 의존한다는 것을 알 수 있다.
간단히 하기 위해서, x-방향으로 진행되는 회절 차수들만을 고려한다. 또한, 후속되는 분석은 y-방향으로의 회절 차수들에 대해 행해질 수 있다. 이는 단지 변수들의 조정만을 요구 할 것이다.
x-방향으로 진행되는 회절 차수들의 경우에는, b=0이므로, 2개의 회절 차수(a-a)의 진폭에 대해서는:
Figure 112005044889103-PAT00012
이며,
그 합(summation) 앞에 팩터(
Figure 112005044889103-PAT00013
)를 취하면, 다음과 같은 식을 얻게 된다:
Figure 112005044889103-PAT00014
.
두 격자들이 x-방향으로 대칭이라고 가정하면:
Figure 112005044889103-PAT00015
.
이 특성을 이용하면, 회절된 진폭들에 대해 다음과 같은 식을 얻게 된다:
Figure 112005044889103-PAT00016
.
스캐터로미터는 회절된 필드들의 세기를 측정하며, 상기 세기는 다음과 같이 주어진다:
Figure 112005044889103-PAT00017
.
상기 식의 값은, 상기 세기가 다음과 같은 형태로 표현될 수 있다는 것을 나타낸다:
Figure 112005044889103-PAT00018
,
여기서,
진폭들(B n,m ) 및 위상들(ε n,m )은 회절 형상, 조명 파장 및 조명 편광에 따라 좌우된다. +1 및 -1 차수의 차이를 취하면, x-방향으로 진행되는 비대칭(A x )은 다음과 같이 표현된다:
Figure 112005044889103-PAT00019
.
실제로, 오버레이는 격자들의 피치(pitch)에 비해 작다. 예를 들어, 피치는 대체적으로 1㎛급으로 되어 있으며, 최대 오버레이는 60nm급으로 되어 있다. 그러 므로, 상기 식은 선형화(linearize)될 수 있으며, 따라서 x 0 y 0 의 선형 항들(linear terms)만을 보유할 수 있다:
Figure 112005044889103-PAT00020
여기서,
Figure 112005044889103-PAT00021
이다.
결합 항(coupling term)이 존재한다는 것을 알 수 있다: 또한, x-방향으로의 비대칭성은 결합 항(K xy )을 매개로 하는 y-오버레이의 함수이다. 2-D 격자가 90° 회전 대칭을 가지는 경우와, 광이 45°로 편광된 경우에는, xy 방향으로의 비대칭성을 다음과 같이 표현할 수 있다:
Figure 112005044889103-PAT00022
.
이들 식은 2개의 2-D 격자 쌍들을 갖는 xy 오버레이 메트롤로지에 대한 기초이다. 제 1 격자 쌍에서는, +d의 바이어스가 상부 격자에 도입되며, 제 2 격자 쌍 에서는, -d의 바이어스가 도입된다. 이 바이어스는 x 방향과 y 방향에 모두 인가된다. 이후, 4개의 비대칭 항들이 측정될 수 있다: 제 1 격자 쌍의 xy 비대칭성과, 제 2 격자 쌍의 xy 비대칭성은 다음과 같이 나타내어진다:
Figure 112005044889103-PAT00023
.
이는, 오버레이를 제공하기 위해 해결될 수 있는 4개의 미지수(K 0 , K xy , OV x OV y )들을 갖는 비-선형 방정식들을 제공한다.
일 실시예에서는, 격자 패턴(들)이 생성되었을 때에, 리소그래피 노광 조건들과 흡사(mimic)하도록 1이상의 어퍼처들이 스캐터로미터에 제공될 수도 있다. 그 후, 상기 상기 어퍼처들은 스캐터로미터를 이용한 상기 격자 패턴(들)의 각도-분해 분광 이미지의 생성에 사용될 수도 있다.
일 실시예에서, 기판과 검출기 사이의 공간, 더욱 상세하게는, 도 3에 도시된 바와 같이 렌즈(L1)와 기판(6) 사이의 공간의 적어도 일부분을 액체내에 침지시킬 수 있다. 상기 액체는 물일수도 있다. 이는, 기판(6)과 렌즈(L1) 사이에 있는 매질의 공간 대역폭을 증가시키는 장점을 가진다. 이는, 예를 들어 공기내에서는 무한소(evanescent)일 수 있는 소정 회절이 전파되고 렌즈에 의해 캡처(capture)될 수 있다는 것을 의미한다. 그러므로, 상기 공간을 침지시키면, 상기 공간이 공기로 채워져 있을 때보다, 조사(investigation) 시, 격자에 관한 보다 상세한 정보를 포함하는 더 높은 회절 차수를 검출할 수 있게 된다.
스캐터로미터의 개구수(NA)는 0.9이상, 심지어는 0.95이상 또는 1이상인 것이 바람직하다.
L1과 물체 사이의 공간을 높은 굴절률을 갖는 유체로 채우면, 매질의 공간 대역폭이 증가하고, 보다 작은 피치들에 보다 높은 회절 차수가 전파된다. 전파되는 1차 스펙트럼을 생성하는 가장 작은 피치는
Figure 112005044889103-PAT00024
이다. NA가 1.3이고 λ가 400nm라고 가정한다면, 이는 154nm의 최소 피치를 갖게 된다. 이는 임계 치수(CD) 또는 20 내지 80nm 정도의 재구성된 격자 폭에 대응한다. 도 2에 도시된 프로파일을 보면, 임계 치수는 피크의 평균 폭이며, 피치는 소정 피크에서 다음 피크까지의 거리이다.
침지 유체는, 예컨대 기판(6)상에 존재하는 레지스트에 대해 큰 인덱스 스텝(index step)을 가져야 한다. 이는 검출기 이미지의 최대 콘트라스트를 허용할 수도 있다. 이러한 요건들을 만족시킬 수 있는 액체는 물이다.
본 발명의 일 실시예에 따른 도 5는, 스캐터링된 방사선의 세기 및 소스 출력 세기를 모니터링하기 위해 동일한 검출기의 사용을 도시하며, 이는 동기화 문제들(synchronization problem)을 회피하고 소스 출력 변화들에 대한 실시간 보상을 허용한다.
스캐터로미터는, 동일한 검출기를 이용한 별도의 측정을 위해, 방사선 소스 로부터 방출된 방사선 빔의 일부분을 커플링(coupling off)하도록 경사진 거울 및 비-편광 빔 분할기를 포함할 수도 있다. 일 실시예에서, 방사선 빔의 일부분은 방사선 빔의 세기를 측정하는데 사용되며, 스캐터로미터는 방사선 빔의 세기의 변동들(fluctuation)을 보상하기 위해서 순응될 수도 있다. 메인 측정과 함께, 세기 측정 빔에 대해 동일한 CCD 검출기를 이용하는 장점들은, 여분의 검출기가 필요 없고, 또한 기준 센서와 메트롤로지 센서 간의 광학적 열적 특성들의 차이가 존재하지 않으며; 기준 신호를 트리거링(trigger)하고, 판독하며, 저장하기 위한 여분의 일렉트로닉스(electronics)가 요구되지 않는다는 것이다. 여하한의 세기 변화들이 측정되고 보상될 수 있다.
방사선 경로내의 비-편광 빔 분할기(50)는 2차원 검출기(32)상에 스캐터링된 방사선을 이미징한다. 여분의 렌즈는 CCD 검출기상으로 퓨필 평면을 재-이미징한다. 검출기상에 입사된 세기는 이미지(36)으로서 도시되어 있다. 또한, 비-편광 빔 분할기(50)는, 세기 잡음을 모니터링하기 위해서, 그것을 이용하도록 방사선 빔의 일부분을 커플링한다. 별도의 검출기로 상기 방사선의 일부분을 측정하는 대신에, 경사진 거울(52)을 이용하여 광이 역-반사(retro-reflect)되고 동일한 검출기(32)의 별도의 부분들로 전달(transmit)된다. 선택적 퓨필 스톱(pupil stop; 54)은 방사선의 일부분의 크기를 제한하며, 거울 경사는 메인 방사선 빔과 함께 방사선의 일부분이 투영되는 것을 보장한다. 스펙트럼은 L1의 퓨필 평면에서 검출기(32)상으로 이미징된다.
이전의 방법들에서, 각도-분해 스캐터로메트리는 단 파장에서 수행되었다. 상이한 파장들에서의 측정들은 순차대로(sequentially) 행해졌으며 그 상이한 파장들은 시간 다중화되었을 것이다. 하지만, 파장들의 시간 다중화는 스루풋을 저하시킬 수도 있다.
일 실시예에서, 스캐터로미터는 방사선 소스와 기판 사이에 있는 파장 다중화기 및 기판과 검출기 사이에 있는 비-다중화기를 포함한다. 이는, 여러개의 상이한 파장들(또는 컬러들)이 동시에 측정되도록 하므로, 보다 짧은 시간에 보다 많은 정보를 제공하고, 따라서 상술된 로버스트니스를 제공한다. 파장 다중화기는 역-투영 대물 평면에 배치된 분산 요소(dispersive element) 또는 퓨필 평면에 배치된 분산 요소를 포함할 수도 있다.
방사선 소스의 표면적은, 파장 다중화기에 각각 커플링되는 N개의 부분들로 분할되는 것이 바람직하며, 여기서 N은 이산 파장(discrete wavelength)들의 개수이다. 이 분할은, 예를 들어 섬유 다발 등을 이용하여 행해질 수 있다.
일 실시예에서, 상기 다중화기는 역-투영 대물 평면에 배치되는 분산 요소를 포함한다. 상기 분산 요소는, 각각 대역폭이 δλ이고 간격이 상기 대역폭의 2배, 즉 2δλ이상인 N개의 이산 파장들을 수용하도록 순응된 격자 또는 프리즘일 수도 있다. 이는 연장된 광원의 용도를 최대화할 수도 있다. 상이한 파장들의 측정이 동시에 행해질 수 있기 때문에, 더이상 시간-다중화될 될 필요가 없으므로, 스루풋이 증가되는 것이 가장 큰 장점이다.
일 실시예에서, 비-다중화기는 퓨필 평면에 배치된 분산 요소를 포함한다. 퓨필 평면내의 각도-분해 스펙트럼들의 양호하게 정의된 거리(well-defined separation)를 얻기 위해서, 1이상의 광학 웨지(optical wedge)들이 대물 평면내에 삽입될 수 있다.
일 실시예에서, 연장된 광대역 방사선 소스, 예컨대 제논, 듀테늄 또는 쿼츠 텅스텐 할로겐 광원이 사용된다. 이들 광원들은, 이산 파장들로 분할될 수 있는 소정 표면적을 제공하는 넓은 너비를 가지며, 상술된 바와 같이 보다 많은 정보를 제공한다. 이들 파장들은 193nm 내지 800nm 사이의 범위내에 있을 수 있다.
일 실시예에서는, 상기 파장들을 공간적으로 분리시키기 위해서, 조명 브랜치(illumination branch)(또는, 도 2의 소스(2)와 기판(2) 사이의 방사선 경로)내에는, N개의 이산 파장들을 조합하는 분산 프리즘 또는 격자가 사용되며, 검출 브랜치(또는, 기판(6)과 검출기(4) 사이의 방사선 경로 사이의 공간)내에는, 격자 또는 프리즘이 사용된다.
도 6에는, 다중화 격자의 일례가 도시되어 있다. 2개의 광원(S1, S2)들은, 렌즈 시스템(L2)을 통해 전달되고, 대물 평면(42)내에 존재하는 리트로 장착 격자(Littrow mounted grating)에 부딪히며, 렌즈 시스템(L1)을 통해 또 다른 대물 평면(42)으로, 또한 선택적으로는, 조명 섬유(60)내로 전달되기 이전에, 퓨필 평면(40)상에 포커스된다. 퓨필 평면은 적절한 치수(격자상에 입사되는 광의 각도 범위를 결정하는 폭)로 된 직사각형 어퍼처들을 포함한다. 이 각도 범위 및 격자 피치는, 어퍼처를 통해 퓨필 평면으로 전달되는 복귀 광(returning light)의 대역폭을 결정한다. 예를 들어, 밀리미터당 1200개의 라인들을 갖는 격자는, 약 1.2mrad/nm의 분산 간격(dispersion)을 가진다. 4nm의 유효 대역폭은 3.33mrad의 조명 빔의 전체 각도 폭에 대응한다. 기판(6)의 스폿 크기는 약 40㎛ 정도이며, 그 NA는 0.95이다. 그러므로, 격자상의 빔 직경은 약 23mm이다. 렌즈(L1)의 초점 길이가 100mm인 경우, 퓨필 평면내의 어퍼처 홀들의 폭은 333㎛이어야 한다. 조명 섬유가 사용되는 경우, 조명 NA는 약 0.22이어야 한다.
(상이한 파장들을 갖는) 2이상의 방사선 소스들이 동시에 사용될 수 있음은 분명하다.
도 7은 검출 브랜치내의 파장 비-다중화기의 일례를 도시한다. 간명함을 위해서, 오직 2개의 파장들의 거리만이 도시되어 있다. 비-다중화기는, 격자가 퓨필 평면에 배치되고 대물 평면에는 배치되지 않는 것을 제외하고는 다중화기와 유사하다. 리트로 장착 격자(16)내의 격자에 의해 회절되는 광은, 파장(λ1, λ2)들로 대물 평면내에 2개의 대물 이미지를 생성하는 렌즈(L2)로 전달된다. 이 평면은 n개(이 경우, n=2)의 홀들을 갖는 필드 스톱(field stop)을 포함할 수도 있으며, 이는 공간 필터링을 회피하여 스펙트럼을 교란(disturb)시키는 것을 회피하기 위해서 충분히 넓어야 한다. 또한, 필드 스톱(40)의 각각의 홀은 독특한 웨지 각도를 갖는 웨지(62)를 가진다. 이 웨지(62)는, 각각의 파장에 대한 각도 분해 스캐터 스펙트럼이 CCD 검출기(32)의 상이한 부분상에 이미징되는 것을 보장한다. CCD 검출기는 제 2 퓨필 평면(40)에 기초한다.
웨지(62)가 2개의 방향들로 광을 편향시키기 때문에, 다수의 각도 분해 스펙트럼을 갖는 CCD 검출기의 효율적인 필링(filling)을 실현할 수 있다.
재현가능한 결과(reproducible result)들을 얻기 위해서, 타겟들이 양호하게 포커스되어야 한다. 본 발명의 일 실시예에 따르면, 이를 달성하기 위해서, 높은 NA 대물 렌즈(objective)의 퓨필 평면(40)은, 도 8에 도시된 바와 같은 더블 텔레센트릭 시스템(double telecentric system)을 이용하여 검출기상에 이미징된다. 모든 실시예에서, NA가 높으며; 0.9이상인 것이 바람직하다.
중간 대물 평면(42)내의 나이프 에지(knife edge; 70)는 중간 대물 이미지의 절반을 차단한다. 상기 에지는 푸코 나이프-에지(Foucault knife edge)일 수도 있다.
상기 나이프-에지는 기판상으로 방사선의 이미지를 포커스하는 것을 돕는다. 각각의 방위에 대해, 퓨필 평면(40)의 외측 영역들(또는, 실제적으로 말하면, 2개의 절반부들)내의 세기가 샘플링된다. 디포커스(defocus)의 경우, I1 및 I2의 세기차가 생성된다. 포커스(F)는 다음과 같이 주어진다:
Figure 112005044889103-PAT00025
.
비례 팩터(proportionality factor; k)는, 이미지와 무관하며, 통합 피드백 루프(integrating feedback loop)에 포커스 센서가 사용될 수 있기 때문에, k의 정확한 값이 중요한 것은 아니지만, 꼭 한번은 결정될 필요가 있다.
조명 소스들은, 항상 균질(homogeneous)하지는 않으며, 기판들의 정확한 노광을 보증하기 위해서 캘리브레이션(calibrate)되고 보정되어야만 한다. 비균질성은 조명 소스들 자체에 의해, 또는 조명 경로내에 있는 반사기들의 표면 코팅의 거 침성(roughness)으로 인해 유도될 수 있다. 이전에는, 알루미늄 거울을 이용하여 조명 빔의 정규화가 행해졌었다. 하지만, 이 정규화는, 측정될 물체가 보다 높은 회절 차수들을 생성하는 경우에 수행될 수 없다. 이들은 오버레이 적용들에 툴 유도된 시프트 오차들(tool induced shift errors)이 유발시킨다.
그러므로, 일 실시예에서, 스캐터로메트리 시스템은 조명 빔내에 1이상의 거울들을 더 포함한다. 더욱 상세하게는, 상기 거울들은 기판테이블상의 일 기점(fiducial)일 수도 있으며 알루미늄으로 만들어질 수도 있다. 이들 거울들은, 상이한 각도들로 반사된 2개 이상의 이미지들을 생성하기 위해서, 경사지거나 상이한 경사 각도들에 존재한다. 각각의 격사 각도에 대해서, 검출된 스펙트럼은 경사 방향과 동일한 방향으로 시프트된다. 이들 이미지가 검출되며, 또한 미분 방정식으로 조합되는데, 이로부터 방사선 빔의 조명 프로파일이 결정될 수도 있다. 그 결과적인 조명 프로파일은, 보다 높은 회절 차수들에서의 반사된 스펙트럼의 특성의 측정들을 보장하기 위해서 사용된다.
측정된 신호(M 0 (k))는 다음과 같이 표현된다:
Figure 112005044889103-PAT00026
여기서,
A(k)는 퓨필 평면내의 소정 위치(k)에서의 미지의 조명 세기이고;
B(k)는 센서의 검출 브랜치에서의 미지의 광학 손실이며;
R ±N 은 격자 물체(grating object)의 NTH 차수의 회절 효율성이다.
실제로, 서서히 변하는 비균질한 조명 및 그 조명 경로내의 광학기와 코팅들의 표면 거칠기로 인해, 조명 세기가 변화된다. 일반적으로, 광학 코팅들의 표면 거칠기는 퓨필 평면내의 조명 빔의 입자형 겉보기(grainy appearance)를 생기게 한다.
기준 측정은 고반사 알루미늄 거울상에서 수행될 수도 있으며, 이는 다음의 측정된 신호를 제공한다:
Figure 112005044889103-PAT00027
.
그 기준을 이용하여 상기 물체의 측정을 정규화하면 다음과 같다:
Figure 112005044889103-PAT00028
.
검출 브랜치내의 손실들이 이 정규화에 의해 제거된다는 것을 알 수 있다.
하지만, 조명내의 비균질성들은 0의 회절 차수(즉, 정반사)에 대해서만 제거된다. 그 보다 높은 회절 차수들은 다음 수식내에 미지의 오차 항을 가지고 있다:
Figure 112005044889103-PAT00029
.
이 항을 제거하기 위해서는, 하기에 서술되는 바와 같이 조명 프로파일 (A(k))이 캘리브레이션되어야만 한다.
거울은 1개의 볼록 또는 오목 거울일 수도 있거나, 검출 시, 각도들의 범위에 걸쳐 능동적으로(actively) 경사지는 평면 거울일 수도 있다. 대안적으로, 상이한 경사 각도들에서 거울들의 범위가 존재할 수도 있다. 방사 방향(경사의 크기를 변화시킴)으로 또는 방위각 방향(azimuthal direction)(경사의 방향을 변화시킴)으로 측정된 반사 각도가 존재할 수도 있다.
이후, 미분 방정식을 결정하는데 사용되는 방법은 1-차원으로 설명될 것이다. 2 차원으로의 확장이 통상적이다(trivial).
기준 거울은 1mrad 급의 2개의 작은 대향 거울 경사들(±θ M )에 대해 측정된다. 이 경사의 결과로, 측정된 퓨필 이미지가 시프트될 것이다. 그러므로, 약간 시프트된 2개의 이미지들이 측정된다:
Figure 112005044889103-PAT00030
.
여기서, Δ는 퓨필 평면내의 시프트이며, 이는 일반적으로 퓨필 평면내의 위치(k)에 의존한다. 구면 수차가 없는 시스템(aplanatic system)에 대해서는,
Figure 112005044889103-PAT00031
.
수학식 28에서의 C는, 반사된 세기의 재분포 및 구면 수차가 없는 시스템을 설명한다:
Figure 112005044889103-PAT00032
Figure 112005044889103-PAT00033
여기서, N 및 M는 각각 작은 양의 경사 및 작은 음의 경사에서 측정된 스펙트럼들이다.
여기서, Q의 아래첨자 'M'은 그것이 측정된 데이터와 관계가 있다는 것을 강조하기 위해서 사용된다. 작은 경사에 대해서는, 다음과 같은 근사값이 존재할 수도 있다:
Figure 112005044889103-PAT00034
.
이 선형화를 이용하면 Q에 대한 미분 방정식을 얻게 된다:
Figure 112005044889103-PAT00035
.
이 방정식을 풀면 다음과 같이 된다;
Figure 112005044889103-PAT00036
.
상기의 유도는 2차원에 대해서도 쉽게 확장될 수 있다. 실제로, 측정된 데이터는 연속적인 것이 아니라 디지털화된 샘플링 데이터(digitized sampled data)이다. 하지만, 이는 상기 유도된 개념(concept)을 변화시키지 않는다.
실제로, 액추에이터들을 이용하여 기계적으로 경사지는 평면 거울이 채택될 수도 있다. 보다 멋있고 간단한 접근법은, 곡률 반경(R) 및 가로방향 위치(x)를 갖는 오목 또는 볼록 거울을 사용하는 것이다. 곡면 거울의 국부적 높이(z)는 다음과 같이 표현된다:
Figure 112005044889103-PAT00037
.
표면의 국부적 기울기(θ)는 가로방향 위치(x)를 이용하여 선형으로 스케일링(scale)된다:
Figure 112005044889103-PAT00038
.
그러므로, 기판 스테이지상의 오목한 또는 볼록한 구면 알루미늄 기점은 캘리브레이션이 곧 바로 되게 하는데, 그 이유는 검출기 하의 적절한 위치에 대해 상기 기점을 이동함으로써, 적절한 경사가 쉽게 얻어지기 때문이다. 본 발명의 일 실시예는 기판과의 켤레면에서 고리형 세기 분포(annular intensity distribution)를 갖는 방사선 빔을 이용한다. 고리형 세기 분포를 생성하기 위해서, 방사선 소스는 기계적 블레이드(mechanical blade)들, 공간 광 변조기들 또는 공간 간섭성 광대역 레이저(spatially coherent broadband laser), 및 줌-액시콘(zoom-axicon)(즉, 레이저 광의 링을 형성하기 위함)을 포함할 수도 있다. 고리형 방사선 빔은 작은-φ 조명을 포함하는 것이 바람직하다.
이른바 블레이드를 삽입함으로써 고리형 방사선을 구현하게 되면, 거의 모든 광자들이 "사용"되기 때문에, 광 손실이 존재하지 않으므로 여러가지 장점을 가지게 된다. 이는, UV 또는 DUV와 같은 광원들이 사용되는 경우에 특히 유용한데, 그 이유는 상기 광원들이 보다 풍부한 광원들(more abundant light sources)보다 더 적은 광자들을 방출하며, 따라서 그들 광자들의 많은 수를 손실시키는 것이 보다 더 눈에 띌 수 있기 때문이다. 특히, 낮은 광 세기가 존재하는 경우, 리소그래피 툴은 약간의의 딜레이를 겪기 때문에, 이는 신호 수집시 두드러질 수 있다. 고리형 광원들은, 블레이들에서처럼 내부 반사들을 유도하지 않는 또 다른 장점을 가진다. 내부 반사들은 광 인공물들(light artefacts)을 회피하도록 차단될 것을 요구한다. 동일한 장점들을 제공하는 여타의 조명 기술들, 예컨대 4극 조명(quadrupole illumination)이 사용될 수도 있음은 물론이다.
고리형 방사선의 고리가 높은 NA 렌즈의 퓨필 평면내에 배치되는 것이 이상적이다. 하지만, 퓨필 평면은 직접 접근할 수 없으며, 실제로는, 스캐터로미터의 조명 브랜치내의 퓨필 평면의 역-투영 이미지내에 고리가 배치된다. 고리형 조명의 장점은, λ/NA의 급의 작은 피치를 갖는 소정 격자의 +1/-1 회절 차수의 세기가 별도로 측정될 수도 있다는 것에 있다.
이 실시예는, 방사선 빔내에 형상화된 차폐부를 배치하고, 기판 경사의 변화들로 인해 유도된 기판상에 존재하는 상기 형상화된 차폐부의 형상 및 폭의 변화들을 검출함으로써 기판 경사의 변화들을 계산하는데 사용될 수 있다. 형상화된 차폐부는, 예를 들어 도 9a 및 도 9b에 도시된 바와 같은 십자형(cross-hair)일 수도 있다. 이는, 여하한의 다른 형상일 수도 있음은 물론이며, 또한 반드시 퓨필 평면의 중심에 존재할 필요는 없다.
웨이퍼 경사를 측정하는 개념은, 웨이퍼 평면내의 경사가 퓨필 평면내에서 소정 시프트를 유발한다는 근본적인 관계(fundamental relation)에 기초한다. 본 실시예에서는, 조명 빔의 중심에 십자형 차폐부가 배치되어 있다. 도 9a에 도시된 바와 같이, 이는 퓨필 평면내의 스캐터링된 광에 검은색 십자형을 생성한다.
이 십자형의 위치는 기판 경사가 변화함에 따라 변화될 것이다. 그 결과로, 도 9b에 도시된 바와 같은 이미지를 얻기 위해서, (0의 경사에서의) 이 패턴과 미지의 경사에서의 실제 측정간의 차이가 측정될 수도 있다. 기판의 작은 경사는, 광의 고리의 실질적인 형상 변화를 유도한다기 보다는, 퓨필 평면 이미지의 시프트를 유도한다. 이 시프트는 일반적으로 작으며 0.1 픽셀 정도이다. 이러한 작은 시프트를 검출할 수 있기 위해서, 픽셀들간의 값들은, 예를 들어 곡선 근사화(curve fitting)에 의해서 보간(interpolate)될 수도 있다. 고리의 에지에서 생기는 어두운-광 전이(dark-light transition)를 통해 곡선을 근사화함으로써, 고리의 서브-픽셀 변위들이 측정될 수 있다.
이들 전이들의 폭 및 표시(sign)는 2차원에서의 기판 경사를 계산하고 보정 하는데 사용될 수 있다. 이러한 방식으로, 기판은 일정한 (0의) 경사에서 측정될 수 있다.
도 10은 기판과의 켤레면에서 고리형 세기 분포를 갖는 방사선을 이용하여 검출된 작은 피치화된 격자(small pitched grating)의 회절 차수들을 도시한다. 고리형 세기 분포를 이용하면, 도 10에 도시된 바와 같은 이미지들의 형상을 허용하며, 따라서 기판 경사의 보다 명확하고 보다 정확한 측정을 허용한다. 0으로 표시된 이미지는 검출기내에 이미징된 중심 0차 회절 차수이다. -2, -1, 1 및 2로 표시된 이미지들은 보다 높은 회절 차수들이다. 이들 보다 높은 회절 차수들은 보다 낮은 회절차수에 대해 시프트되며, 따라서 고립된(isolated) 1-D 및 2-D 피처들의 오버레이 메트롤로지의 측정을 보다 쉽게 한다.
계산 시간을 가속화하기 위해서, 퓨필 평면내의 단일 위치에서마다의 시뮬레이션된 신호를 계산할 필요는 없는 경우들, 특히 평활한 변화들(smooth variation)이 예상되는 경우가 존재한다. 이들 경우들에서, 개략적 그리드(coarse grid)가 측정될 수도 있으며, 퓨필 평면에서의 전체 형상을 보간하기 위해 픽셀 보간 기술이 사용될 수도 있다. 또한, 이 경우에는, 오직 1차 회절로부터 광을 수용하는 퓨필 평면내의 영역들이 존재하기 때문에 고리형 빔이 더욱 유익하다. 블록 빔(block beam)이 사용된다면, 퓨필 평면내의 각각의 지점은, 0차 또는 0차와 1차의 조합 중 어느 하나로부터 광을 수용하므로, 퓨필 평면에서의 측정에 오차들을 유발하게 될 것이다.
스캐터로미터를 이용하는 통상적인 측정들(normal measurement)은, 단일 편 광을 이용하여 단일 기판상의 단일 타겟부의 특성을 한번에 측정한다. 이는 스캐터로메트리를 통해 기판들의 스루풋을 제한하며; 잠재적으로는 노광 단계들을 제한한다. 본 발명의 일 실시예는 기판상으로 복수의 조명 스폿들을 투영하기 위해 조명 소스를 사용한다. 스캐터로미터의 검출기는, 기판의 표면으로부터 반사된 복수의 조명 스폿들의 각도-분해 스펙트럼을 동시에 검출한다. 복수의 조명 스폿들은, 2개의 직교 편광된 조명 스폿들을 생성하기 위해, 이중 조명 섬유(double illumination fiber) 또는 Wollaston 프리즘을 이용하여 생성될 수도 있다.
도 11은 스캐터로미터 하드웨어의 일부분을 도시한다. 2개의 조명 스폿들(70)은, 퓨필 평면(40)내에 위치된 높은 개구수 대물 렌즈를 통해 아래의 기판(6)상으로 전달되기 이전에 빔 분할기(50)에서 분할된다. 반사된 빔은 퓨필 평면에서 각도 분해된 2개의 스펙트럼을 분리하는 2개의 웨지(62)들을 통해 위로 전달되며, 상기 웨지들 자체는 중간 이미지 평면(42)내에 위치된다. 그 후, 조명 빔들은 CCD에 의해 도 11의 최상부의 재-이미징된 퓨필 평면(40)상에서 검출된다. 따라서, 2개, 또는 그 보다 많은 수의 평행한 측정들; 예를 들어, 단일 편광에 대해 수평 및 수직 라인들의 측정들; 심지어는 TE 및 TM 편광 양자 모두에 대한 수평 및 수직 라인들의 측정들이 행해질 수도 있다.
본 발명의 일 실시예는 스캐터로미터를 엘립소미터로 컨버트한다. 이를 행하기 위해서, 조명 브랜치는 방사선 빔을 선형으로 편광시키도록 구성된 제 1 편광기; 방사선 빔을 2개의 직교 성분들(ETE 및 ETH)로 분할시키도록 구성된 빔 분할기; 스캐터링된 빔을 편광하도록 구성된 제 2 편광기; 상기 제 1 편광기와 상기 제 2 편광기 사이에 위치된 가변 보상기(Pockells Cell, Wollaston 프리즘 쌍, 또는 Soleil-Babinet 보상기)를 더 포함하되, 상기 가변 보상기는 직교 편광된 성분들간의(및 선택적으로는, 빔 분할기와 높은 개구수 렌즈간의) 광학 경로차를 변화시키도록 구성되고; 및 그 결과적인 빔 성분들의 사인곡선 세기 변화를 검출하는 2차원 검출기를 더 포함한다. 상기 보상기는 스캐터로미터의 메인 조명 브랜치내에 존재하는 것이 가장 일반적이지만, 제 2 조명 브랜치내에도 존재할 수 있음은 물론이다.
상보적 금속 산화물 반도체(CMOS) 검출기와 같은 2차원 검출기는 높은 프레임 속도, 즉 초당 1000개의 프레임들의 영역내에 존재할 수 있는 속도를 가진다.
도 12는 각도-분해 분광적 개념이 각도-분해 분광적 엘립소미터로 변환되는 방법을 도시한다. 2개의 파장들(λ1 및 λ2)을 갖는 조명 빔은 45°편광기(72)를 통해 전달되고, 기판(6)에서 반사되며, 다시 편광되기 이전에 가변 보상기(74)를 통해 전달된다. 빔 분할기와 가변 보상기(74) 사이에서, 조명 빔은 TE와 TM 편광된 빔들간의 위상차(Δ)를 갖는 2개의 빔들로 분할된다. 도 12에서의 그리드(36)는 2-D 검출기 어레이 및 상기 어레이 중 1개의 픽셀에서의 시간-의존적 세기 변화를 도시한다. 다른 픽셀들은 그에 필적할만한 변화(comparable variation)를 도시할 것이다. 상기 빔들은, λ1 및 λ2의 조명 프로파일을 얻기 위해서, 2개의 대역 통과 필터(76)를 통해 통과된다. 그 결과적인 엘립소메트릭 파라미터들(cos(Δ), sin( Δ) 및 tan(ψ))은 내부 센서 스캐터링(internal sensor scattering)에 대해 실제로 민감하지 않으며, 따라서 신호 대 잡음 비(signal to noise ratio)가 개선될 수 있다. 이 작업은, 수학적 모델들에 광학 성분들의 불완전성들을 포함할 수 있게 하는 Mueller 행렬들을 이용하여 모델링될 수도 있지만, Jones 벡터 및 아래의 행렬들을 이용하여 모델링될 수 있다 .
제 1 편광기 이후의 조명 필드는 45°편광되며 Jones 벡터에 의해 다음과 같이 표현된다:
Figure 112005044889103-PAT00039
.
기본 벡터(basis vector)들은 샘플의 타겟부상에 입사되는 TE 및 TM 편광된 광에 대응한다. 상기 샘플에서 반사됨으로써, 스캐터링된 TE 및 TM 성분들의 진폭 및 위상이 변화되게 된다. 이는 Jones 행렬로 표현될 수 있다:
Figure 112005044889103-PAT00040
여기서, Δ는 스캐터링된 필드들의 TE 성분과 TM 성분간의 위상차이며, R TE R TM 은 각각 스캐터링된 TE 및 TM 필드들의 진폭이다.
이들 파라미터들은 입사각과 파장의 함수이다. 높은-NA 렌즈 및 빔 분할기에 의해 도입된 여하한의 위상 및 진폭 변화들을 무시하면, 보상기상의 입사 필드는;
Figure 112005044889103-PAT00041
이다.
상기 보상기는 TE 성분과 TM 성분간의 시간-변동적 광학 경로차(OPD)-변위를 도입한다. 광의 파장이 λ이면, 보상기의 Jones 행렬에 대해서는:
Figure 112005044889103-PAT00042
이고,
따라서, 보상기 이후의 필드는 다음과 같다:
Figure 112005044889103-PAT00043
.
편광기는 45°로 방위가 잡혀져 있으며 Jones 행렬을 가진다:
Figure 112005044889103-PAT00044
그러므로, 편광기 이후의 필드는 다음과 같다:
Figure 112005044889103-PAT00045
.
검출기 어레이상에 입사된 세기는 다음과 같다:
Figure 112005044889103-PAT00046
.
측정 시간 간격에 걸쳐 OPD가 선형으로 증가하는 경우에는,
OPD = Kt.
이로 인해, 시간-조화 세기 변화(time-harmonic intensity variation)가 산출된다:
Figure 112005044889103-PAT00047
여기서,
Figure 112005044889103-PAT00048
이다.
세기 변화의 콘트라스트는 엘립소메트릭 파라미터(tan(ψ))와 직접적으로 관련되고, 사인곡선 변화의 위상은 엘립소메트릭 파라미터들(cos(Δ) 및 sin(Δ))을 직접적으로 제공한다. 표준 엘립소메트릭 스캐터로미터에서, tan(ψ) 및 cos(Δ)은 프로파일 정보를 얻기 위해서 측정되고 시뮬레이션된 신호들이다. 그 경우에, tan(ψ) 및 cos(Δ)은 파장으로 함수로서 표현된다. 본 발명에서, tan(ψ) 및 cos(Δ)은 퓨필 평면에서의 위치의 함수로서 얻어지며 유사한 분석들에도 이용될 수 있다. 특히, 엘립소메트릭 파라미터들은 인버스 스캐터링 문제(inverse scattering problem)를 해결함으로써 층 두께를 측정하는데 사용되는데, 다시 말해, 측정된 파라미터들을 모델링된 파라미터들과 비교하고, 그 측정된 파라미터와 모델링된 파라미터간의 (또는 여타의 적절한 메트릭(metric)간의) 평균제곱근 차이(root-mean-square difference)를 최소화함으로써 스택 파라미터(stack parameter)들이 결정된다.
그 변화의 주파수가 파장에 의존하기 때문에, 대역 통과 필터를 이용하여 다양한 파장들이 분리될 수 있다. 이는 신호 처리를 통해, 예컨대 이산 푸리에 변환 기술(discrete Fourier Transform technique)을 이용하여 용이하게 실현될 수 있다.
또한, 보상기는 조명 브랜치내에 배치될 수 있다. 더욱이, 이는 빔 분할기와 높은 개구수 대물 렌즈 사이에 배치될 수 있다. 이는 OPD가 배가되는 장점을 가진다.
2-D 검출기는 본 개념의 중요한 부분이며; 충분히 짧은 측정 시간들을 보장하기 위해서, 이는 높은 프레임 속도를 가져야만 한다. CMOS 검출기들은 매우 높은 프레임 속도들, 예컨대 초당 1000개의 프레임들을 달성할 수 있다.
상기에 서술된 오버레이를 측정하는 것은, 큰 오버레이 오차들, 특히 정수와 격자 피치의 곱과 같은 오버레이 오차들의 측정을 허용하지 않는다. 분명하게는, 격자 라인들이 서로 일렬로 되어 있으나, 여러개의 격자 피치 폭들에 의해 시프트된다는 것을 의미하는 오버레이 오차가 존재하는 경우, 격자 피치보다 작은 오버레 이 오차들만을 검출할 수 있는 능력은 아무런 쓸모가 없다.
그러므로, 본 발명의 일 실시예는, 개략적 오버레이 측정들을 수행하여, 개략적 오차들이 존재하는지, 예컨대 격자 오버레이 오차가 격자의 피치보다 실제로 더 큰지를 결정하기 위해서, 스캐터로미터에 이미 존재하는(또한, 상술된) 제 2 검출기 브랜치를 이용한다. 개략적 오버레이 측정은 이미징-기반 기술이며, 제 2 카메라가 2개의 오버랩된 격자들의 소정 이미지를 바라보고, 기판상의 마커들의 에지들의 위치들을 비교함으로써 큰 변위들이 존재하는지를 결정한다. 완벽한 오버레이는 마커 에지들을 완벽히 정렬했을 것이다. 패턴 인식 알고리즘들은 프로세스 층내의 격자의 에지 및 레지스트 층내의 격자의 에지를 결정하기 위해 사용된다. 이 측정은 격자 쌍의 4개의 측면 또는 코너들상에서 행해진다. 그 측정된 에지 위치들은 프로세스 층내의 격자의 위치에 대해 레지스트 격자의 위치를 계산함으로써 사용된다.
본 측정 원리는 격자 피치와 주기적으로 변화되는 격자 커플링에 기초하기 때문에, 스캐터로메트리가 단독으로 다수의 격자 피치와 같은 오버레이를 측정할 수 없다는 사실이 근본적인 한계이다. 다시 말해, 0의 오버레이 및 피치와 같은 오버레이는 동일한 결과들을 갖게 된다.
본 발명의 스캐터로미터는 매우 간단한 해결책을 제공한다. 스캐터로미터는 CCD 카메라상에 기판면을 이미징하는 별도의 이미징 브랜치를 포함한다. 이 제 2 카메라는 정렬 및 패턴 인식 단계를 통해 기판의 위치를 측정하기 위해 필요하다. 도 13에는, 제2브랜치가 개략적으로 도시되어 있다.
퓨필 평면(40) 측정(실제 각도 분해 측정)은 대물 평면(42)에서 타겟을 언더필(underfill)하는 조명 소스를 필요로 한다(즉, 측정 스폿이 측정 타겟보다 작다). 퓨필 평면 이미징 조명 빔들은 도 13에 실선으로 도시되어 있다. 이 경우, 타겟의 일 부분만이 측정되며, 그 타겟 외부의 구조체들은 조명되지 않는다. 측정 스폿이 측정 타겟을 필(fill) 또는 오버필(overfill)하는 경우, 측정 신호는 타겟을 둘러싸는 영역에 의해 교란되며, 데이터 해석 및 신호 분석이 불필요하게 복잡해지게 된다.
한편, 이미지 평면 측정은, 완전한 퓨필 평면 및 타겟의 외형(contour)들이 샘플링되어야만 하기 때문에, 정렬을 검출하기 위해서는 그 타겟을 오버필해야만 한다. 이미지 평면 측정들을 위한 광선들은 점선으로 도시되어 있다. 대물 평면의 이미지는 제 1 CCD 카메라(80)상에 형성되며, 퓨필 평면의 이미지는 제 2 CCD 카메라(82)상에 형성된다.
도 14는 0의 오버레이(좌측 도면) 및 격자 피치의 두배인 X-오버레이(우측 도면)에 대한 오버레이 타겟의 가능한 일례를 도시한다. 퓨필 평면 측정은, 신뢰성없는 측정이 되게 하는 두 상황 모두에 대해 동일하게 측정된 0의 오버레이를 얻게 될 수도 있다. 하지만, 이미지 평면 측정은 이들 2가지 상황들 간의 차이를 명확히 구별할 수 있다. 이러한 방식으로, 로버스트한 2-스테이지 메트롤로지 방법(robust two-stage metrology scheme)이 다음과 같이 수행될 수 있다:
(1) 2개의 이미지 평면 측정들은 큰 오버레이 오차들이 존재하지 않는다는 것을 입증하기 위해 수행된다.
(2) 이전의 측정에서, 오버레이가 약 200nm보다 작다는 것을 알 수 있는 경우에는, 퓨필 평면 측정들이 수행된다.
200nm 기준(criterion)은 일례이다. 이는 여하한의 분별 있는 임계값(sensible threshold)에 대해 행해질 수도 있다. 이미지 평면 CCD가 1000 × 1000 픽셀들을 가진다고 가정하고, 또한 기판 레벨에서 픽셀 피치가 100nm이라고 가정한다면, 총 필드 오브 뷰(field of view)는, 20 내지 50nm 급의 정확성을 갖는 개략적 오버레이 측정들을 여전히 허용하면서, 패턴 인식 및 정렬에 적당한 100 × 100㎛2이 될 것이다.
개략적 오버레이는 전체 정렬 마커가 CCD에 가시(visible)되는 경우에만 측정될 수 있다. 예를 들어, 마커의 중심부만이 가시되는 경우, 개략적 오버레이의 측정을 가능하게 하기 위해서, 기판테이블은 마커의 에지로 이동될 필요가 있다. 이는 상기 테이블의 추가 이동을 요구하며, 따라서 측정 수행 프로세스(measurement taking process)를 느려지게 한다. 보다 큰 필드 오브 뷰는, 제 2 카메라가 퓨필 평면상의 이미지를 캡쳐하고 상세화된 오버레이 정보를 얻을 수 있게 하면서 일 "행정(stroke)"으로의 마커의 캡처 및 개략적 측정이 신속하게 수행되도록 한다.
심지어는, 노광 툴에서의 에지 예비-정렬(edge pre-alignment) 및 개략적 웨이퍼 정렬의 결과들이 사용되는 경우에도, 그 관련 이미지를 캡처하는데 요구되는 필드 오브 뷰가 감소될 수 있다. 이들 데이터를 이용하면, 오버레이 메트롤로지 모 듈내의 에지 예비-정렬이 완료된 후, ㎛ 정확성을 가지고 기판상의 마커들의 위치를 예측할 수 있다.
본 발명의 일 실시예는, 격자들 또는 여타의 주기적 구조체들상의 CD 메트롤로지를 위해 배치된 스캐터로미터를 이용하여, 오버레이 오차들 뿐만 아니라 손상된 격자들을 검출한다. 통상적으로, 스캐터로미터는 정입사 광(specular light), 즉 격자에 곧 바로 반사된 가장 낮은 차수의 광을 검출한다. 격자내의 국부적 왜곡들은 그 격자의 주기성을 파괴하여 정방향이 아닌 방향(non-specular direction)으로 스캐터링되게 한다. 스캐터로미터는 정방향 이외의 다양한 각도들에서의 스캐터링된 빔의 각도-분해 스펙트럼을 검출하는데 사용될 수 있다. 고리형 세기 분포를 갖는 방사선, 또는 작은-φ 조명은, 보다 큰 정확성과 판독을 쉽게 하는 이미지들을 위해 사용될 수도 있다.
본 발명의 또 다른 실시예는, 상술된 바와 같이 투영시스템과 기판사이에 액체가 도입된 침지 리소그래피 장치내의 버블 결함(bubble defect)들을 검출하는데 사용될 수도 있다. 이전에는, 오프-라인 결함 검사 툴들을 이용하여 버블 결함들이 측정되었다. 오프-라인 툴들은, 결과들을 생성하기 위해서, 생산 라인으로부터 기판들을 가져 오고 또한 대기(queued)시켜야 하기 때문에, 온-라인 툴들보다 더 많은 시간이 소요된다. 액체내의 버블들은 기판상에 표면 결함을 유도하며, 이는 그 표면이 광에 노출되는 때에 광이 스캐터링되게 할 것이다. 이 스캐터링된 방사선은 본 발명의 스캐터로미터를 이용하여 측정되며, 따라서 버블 결함들에 다시 외삽(extrapolate)되는 스캐터링의 원인을 밝힐 수 있다.
이상, 특정 실시예들이 서술되었지만, 본 발명은 서술된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다. 상기 서술내용은 본 발명을 제한하려는 것이 아니다. 상세히 설명된 실시예들은 일반적인 작동 원리에 대해 확장될 수 있으며, 서로 배타적일 필요는 없다; 상기 실시예들은, 상술된 바와 같은 검출기에서 얻어지는 결과들에 기초하여 그 유효성을 증가시키도록 하나의 메트롤로지 툴에서 조합될 수 있다. 또한, 본 명세서에 설명된 실시예들은, 리소그래피의 적용예에 관한 것지만, 하드웨어 및 적용예들은 이것으로 제한되지 아니한다. 상기 실시예들은 에칭 공정 단계들 등과 같은 다른 적용예들에도 사용될 수 있다.
본 발명에 따르면, 다 파장들에서 각도-분해 스펙트럼들을 동시에 측정할 수 있고, 각도 분해 스캐터로미터용 포커스 측정 방법 및 침지 스캐터로메트리를 수행할 수 있으며, 또한 2-D 검출기 어레이를 이용하여 방사선 소스의 세기 잡음을 측정할 수 있는 하드웨어가 제공된다.

Claims (56)

  1. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    상기 기판의 표면으로부터 반사된 방사선 빔의 각도-분해 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있는 것을 특징으로 하는 스캐터로미터.
  2. 제1항에 있어서,
    상기 렌즈의 상기 개구수는 0.9 이상인 것을 특징으로 하는 스캐터로미터.
  3. 제1항에 있어서,
    상기 반사된 스펙트럼의 특성은, (a) 횡자기적 및 횡전기적 편광된 광의 세기, (b) 횡자기적 편광된 광과 횡전기적 편광된 광간의 위상차, 또는 (a)와 (b) 양자 모두를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  4. 제1항에 있어서,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 파장들에서의 반사된 스펙 트럼의 특성을 측정함으로써, 상기 기판의 특성이 측정되는 것을 특징으로 하는 스캐터로미터.
  5. 제4항에 있어서,
    상기 복수의 파장들 각각은 δλ의 대역폭 및 2δλ이상의 간격을 가지는 것을 특징으로 하는 스캐터로미터.
  6. 제1항에 있어서,
    반사된 스펙트럼내의 비대칭성을 측정함으로써, 오정렬된 2개의 주기적 구조체들의 오버레이가 측정될 수 있으며, 상기 비대칭성은 상기 오버레이의 크기와 관련되는 것을 특징으로 하는 스캐터로미터.
  7. 제1항에 있어서,
    방사선 빔을 제공하도록 구성된 방사선 소스와 상기 기판 사이의 파장 다중화기; 및
    상기 기판과 상기 검출기 사이의 비-다중화기를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  8. 제7항에 있어서,
    상기 파장 다중화기는, 각각 대역폭이 δλ이고 간격이 2δλ인 N개의 이산 파장들을 수용하도록 순응된 분산 요소, 예컨대 격자 또는 프리즘인 것을 특징으로 하는 스캐터로미터.
  9. 제6항에 있어서,
    상기 방사선 빔을 제공하도록 구성된 방사선 소스의 표면적이, 상기 파장 다중화기에 각각 커플링된 N개의 부분들로 분할되며, 여기서 N은 이산 파장들의 개수인 것을 특징으로 하는 스캐터로미터.
  10. 제1항에 있어서,
    퓨필 평면에서의 각도-분해 스펙트럼들의 정의된 거리를 얻기 위해서, 대물 평면에 광학 에지를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  11. 제1항에 있어서,
    상기 검출기를 이용한 별도의 측정을 위해, 방사선 소스로부터 방출된 방사선 빔의 일부분을 커플링하도록 구성된 경사진 거울 및 비-편광 빔 분할기를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  12. 제11항에 있어서,
    상기 방사선 빔의 일부분은, 상기 방사선 빔의 세기를 측정하고, 상기 방사선 빔의 세기의 변동들을 보상하기 위해서 사용되는 것을 특징으로 하는 스캐터로 미터.
  13. 제11항에 있어서,
    상기 방사선 빔의 일부분의 크기를 제한하고 상기 방사선 빔의 일부분이 상기 방사선 빔의 나머지 부분에 대해 평행한 것을 보장하도록 구성된 퓨필 스톱을 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  14. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    상기 기판과 상기 높은 개구수 렌즈 사이에 액체를 포함하는 공간을 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들 및 복수의 파장들에서, 상기 기판의 표면으로부터 반사된 방사선 빔의 각도-분해 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있는 것을 특징으로 하는 스캐터로미터.
  15. 제14항에 있어서,
    상기 반사된 스펙트럼의 특성은, (a) 횡자기적 및 횡전기적 편광된 광의 세기, (b) 횡자기적 편광된 광과 횡전기적 편광된 광간의 위상차, 또는 (a)와 (b) 양자 모두를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  16. 제14항에 있어서,
    방사선 빔을 제공하도록 구성된 방사선 소스와 상기 기판 사이의 파장 다중화기; 및
    반사된 스펙트럼의 특성을 측정하도록 구성된 검출기와 상기 기판 사이의 비-다중화기를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  17. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    중간 대물 평면의 반대 절반부들(opposite halves) 중 하나에 배치되도록 순응된 에지를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들 및 복수의 파장들에서, 상기 기판의 표면으로부터 반사된 방사선 빔의 각도-분해 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있는 것을 특징으로 하는 스캐터로미터.
  18. 제17항에 있어서,
    상기 반사된 스펙트럼의 특성은, (a) 횡자기적 및 횡전기적 편광된 광의 세기, (b) 횡자기적 편광된 광과 횡전기적 편광된 광간의 위상차, 또는 (a)와 (b) 양자 모두를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  19. 제17항에 있어서,
    방사선 빔을 제공하도록 구성된 방사선 소스와 상기 기판 사이의 파장 다중화기; 및
    반사된 스펙트럼의 특성을 측정하도록 구성된 검출기와 상기 기판 사이의 비-다중화기를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  20. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    상기 기판의 표면으로부터 반사된 각도-분해 방사선 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들 및 파장들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써 기판의 특성이 측정될 수 있는 것을 특징으로 하는 스캐터로미터.
  21. 제20항에 있어서,
    상기 반사된 스펙트럼의 특성은, (a) 횡자기적 및 횡전기적 편광된 광의 세기, (b) 횡자기적 편광된 광과 횡전기적 편광된 광간의 위상차, 또는 (a)와 (b) 양자 모두를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  22. 제20항에 있어서,
    방사선 빔을 제공하도록 구성된 방사선 소스와 상기 기판 사이의 파장 다중화기; 및
    상기 기판과 상기 검출기 사이의 비-다중화기를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  23. 검사 방법에 있어서,
    기판상으로 패턴을 프린트하는 단계; 및
    높은 개구수 렌즈의 퓨필 평면에서 상기 패턴의 반사된 스펙트럼을 측정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  24. 제23항에 있어서,
    상기 반사된 스펙트럼을 측정하는 단계는, (a) 복수의 각도들에서의 상기 반사된 스펙트럼의 특성, (b) 복수의 파장들에서의 상기 반사된 스펙트럼의 특성, 또는 (a)와 (b)를 동시에 측정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  25. 제23항에 있어서,
    상기 반사된 스펙트럼의 특성은, (i) 횡자기적 및 횡전기적 편광된 광의 세기, (ii) 횡자기적 편광된 광과 횡전기적 편광된 광간의 위상차, 또는 (i)와 (ii) 양자 모두를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  26. 검사 방법에 있어서,
    평행하게 층을 이루지만(layered in parallel) 오정렬된 2개의 격자들을 제공하여, 하나의 격자에 대해 다른 하나의 격자의 오버레이를 생성하는 단계;
    스캐터로미터를 이용하여 상기 격자들의 반사된 스펙트럼을 측정하는 단계;
    상기 반사된 스펙트럼의 비대칭성으로부터 상기 오버레이의 크기를 유도하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  27. 제26항에 있어서,
    상기 반사된 스펙트럼을 측정하는 단계는, (a) 복수의 각도들에서의 상기 반사된 스펙트럼의 특성, (b) 복수의 파장들에서의 상기 반사된 스펙트럼의 특성, 또는 (a)와 (b)를 동시에 측정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  28. 제27항에 있어서,
    상기 반사된 스펙트럼의 특성은, (i) 횡자기적 및 횡전기적 편광된 광의 세기, (ii) 횡자기적 편광된 광과 횡전기적 편광된 광간의 위상차, 또는 (i)와 (ii) 양자 모두를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  29. 디바이스 제조방법에 있어서,
    기판의 타겟부상에 방사선의 빔을 투영하는 단계,
    스캐터로미터를 이용하여, 높은 개구수 렌즈의 퓨필 평면에서, 사전설정된 범위의 각도들 및 파장들을 통해 상기 빔의 반사된 스펙트럼을 동시에 측정하는 것을 특징으로 하는 디바이즈 제조방법.
  30. 격자 패턴의 각도-분해 분광적 이미지를 생성하는 방법에 있어서,
    상기 격자 패턴이 생성되는 때에, 리소그래피 노광 조건들과 흡사한 어퍼처들을 이용하는 단계를 포함하여 이루어지는 것을 특징으로 하는 방법.
  31. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    상기 기판의 표면으로부터 반사된 방사선 빔의 각도-분해 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있으며,
    1이상의 반사기;
    1이상의 거울으로부터 반사되는 2개 이상의 이미지들을 검출하고 조합하도록 구성된 검출기; 및
    방사선 빔의 조명 프로파일이 검출될 수 있는 상기 이미지들에 기초하여 미 분 방정식을 생성하도록 구성된 프로세서를 더 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  32. 제31항에 있어서,
    상기 조명 프로파일은, 보다 높은 회절 차수들에서의 반사된 스펙트럼의 특성의 측정들을 보정하는데 사용되는 것을 특징으로 하는 스캐터로미터.
  33. 제31항에 있어서,
    상기 반사기는 오목 거울을 포함하여 이루어지고, 상기 스캐터로미터는 상기 거울의 표면에 걸쳐 방사선 빔을 이동시키는 기구를 포함하여, 복수의 반사 각도들을 얻는 것을 특징으로 하는 스캐터로미터.
  34. 제31항에 있어서,
    상기 반사기는 볼록 거울을 포함하여 이루어지고, 상기 스캐터로미터는 상기 거울의 표면에 걸쳐 방사선 빔을 이동시키는 기구를 포함하여, 복수의 반사 각도들을 얻는 것을 특징으로 하는 스캐터로미터.
  35. 제31항에 있어서,
    상기 반사기들은 평탄한 거울을 포함하여 이루어지고, 상기 스캐터로미터는 복수의 각도들을 통해 상기 거울을 경사지게 하는 기구를 포함하여 이루어지는 것 을 특징으로 하는 스캐터로미터.
  36. 제31항에 있어서,
    상이한 경사 각도들을 갖는 복수의 거울들을 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  37. 제31항에 있어서,
    측정된 반사 각도는 방사 방향내에 있는 것을 특징으로 하는 스캐터로미터.
  38. 제31항에 있어서,
    측정된 반사 각도는 방위각 방향내에 있는 것을 특징으로 하는 스캐터로미터.
  39. 검사 방법에 있어서,
    패터닝된 방사선 빔을 이용하여 기판상에 패턴을 프린트하는 단계;
    높은 개구수 렌즈의 퓨필 평면에서, 상기 패턴의 반사된 스펙트럼을 측정하는 단계;
    그것을 1이상의 거울들로 반사시켜 상기 방사선 빔을 정규화하는 단계;
    상기 1이상의 거울들로의 상기 방사선 빔의 상이한 반사 각도들로부터 생성된 2이상의 이미지들을 측정하고 조합하는 단계; 및
    미분 방정식을 생성하여, 상기 방사선 빔의 조명 프로파일을 재구성하는 단계를 특징으로 하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  40. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    상기 기판의 표면으로부터 반사된 방사선 빔의 각도-분해 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면으로부터, 복수의 각도들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있으며,
    상기 방사선 빔을 선형으로 편광시키도록 구성된 제 1 편광기;
    상기 방사선 빔을 2개의 직교 성분들(ETE 및 ETH)로 분할시키도록 구성된 빔 분할기;
    스캐터링된 광을 편광시키도록 구성된 제 2 편광기;
    상기 제 1 편광기와 상기 제 2 편광기 사이에 위치되며, 직교 편광된 성분들간의 광학 경로차를 변화시키도록 구성된 가변 보상기; 및
    그 결과적인 빔 성분들의 사인곡선 세기 변화를 검출하는 2차원 검출기를 더 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  41. 제40항에 있어서,
    상기 보상기는 스캐터로미터의 조명 브랜치내에 위치되는 것을 특징으로 하는 스캐터로미터.
  42. 제40항에 있어서,
    상기 보상기는 상기 빔 분할기와 상기 높은 개구수 렌즈 사이에 위치되는 것을 특징으로 하는 스캐터로미터.
  43. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈; 및
    상기 기판의 표면으로부터 반사된 방사선의 빔의 각도-세기 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있으며,
    개략적 오버레이 측정들을 수행하는 제 2 검출기 브랜치를 더 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  44. 제43항에 있어서,
    제 2 검출기 브랜치는 상기 기판의 이미지 평면내에 존재하는 것을 특징으로 하는 스캐터로미터.
  45. 제43항에 있어서,
    상기 제 2 검출기 브랜치는 기판상의 오버레이 오차들을 측정하도록 구성되며, 상기 오차들은 정수와 기판 격자의 피치의 곱과 같은 것을 특징으로 하는 스캐터로미터.
  46. 검사 방법에 있어서,
    평행하게 층을 이루지만 오정렬된 2개의 격자들을 제공하여, 하나의 격자에 대해 다른 하나의 격자의 오버레이를 생성하는 단계;
    스캐터로미터를 이용하여 상기 격자들의 반사된 스펙트럼을 측정하는 단계;
    상기 반사된 스펙트럼의 비대칭성으로부터 상기 오버레이의 크기를 유도하는 단계;
    상기 격자들의 개략적 오버레이 측정을 수행하여, 상기 오버레이가 격자 피치 폭보다 더 큰지를 결정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  47. 제46항에 있어서,
    i) 방사선 빔의 2개의 이미지 평면 측정들을 수행하여, 격자 피치보다 더 큰 오버레이 오차의 존재를 결정하는 단계; 및
    ii) 결정된 오버레이가 사전설정된 임계값 이하인 경우, 방사선 빔의 퓨필 평면 측정을 수행하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  48. 격자의 국부적 왜곡들을 검출하도록 구성된 스캐터로미터에 있어서,
    방사선 빔을 생성하는 조명 수단;
    높은 개구수 렌즈;
    상기 빔을 정방향으로 스캐터링하는 격자; 및
    상기 정방향 이외의 다양한 각도들에서의 스캐터링된 빔의 각도-분해 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 정반사 이외의 복수의 각도들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써, 상기 격자의 왜곡들이 측정될 수 있는 것을 특징으로 하는 스캐터로미터.
  49. 제48항에 있어서,
    상기 방사선 빔은 고리형 조명을 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  50. 기판상의 다수의 타겟들의 특성을 동시에 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈;
    기판상으로 복수의 조명 스폿들을 투영시키도록 구성된 투영기; 및
    상기 기판의 표면으로부터 반사된 복수의 방사선 스폿들의 각도-분해 스펙트 럼을 동시에 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들에서의 반사된 스펙트럼의 특성을 동시에 측정함으로써, 상기 기판의 특성이 측정될 수 있는 것을 특징으로 하는 스캐터로미터.
  51. 제50항에 있어서,
    동일하게 편광된 2개의 조명 스폿들을 생성하는 분리기(divider)를 포함하여 이루어지는 것을 특징으로 하는 스캐터로미터.
  52. 디바이스 제조방법에 있어서,
    기판의 타겟부상으로 방사선의 빔을 투영시키는 단계;
    상기 빔이 상기 기판에 도달하기 이전에, 상기 빔을 복수의 빔들로 분리하는 단계; 및
    스캐터로미터를 이용하여, 높은 개구수 렌즈의 퓨필 평면에서, 사전설정된 범위의 각도들 및 파장들을 통해 상기 복수의 빔들의 반사된 스펙트럼을 동시에 측정하여, 상기 기판상의 다수의 타겟들을 동시에 측정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 디바이스 제조방법.
  53. 기판의 특성을 측정하도록 구성된 스캐터로미터에 있어서,
    높은 개구수 렌즈;
    방사선 빔을 생성하는 방사선 소스; 및
    상기 기판의 표면으로부터 반사된 방사선 빔의 각도-분해 스펙트럼을 검출하도록 구성된 검출기를 포함하여 이루어지고,
    상기 높은 개구수 렌즈의 퓨필 평면에서, 복수의 각도들에서의 반사된 스펙트럼의 특성을 측정함으로써, 상기 기판의 특성이 측정될 수 있으며,
    상기 방사선 빔은 상기 기판과의 켤레면에서 고리형 세기 분포를 가지는 것을 특징으로 하는 스캐터로미터.
  54. 검사 방법에 있어서,
    상기 기판과의 켤레면에서의 고리형 세기 분포를 포함하는 방사선을 이용하여, 기판상에 패턴을 프린트하는 단계; 및
    높은 개구수 렌즈의 퓨필 평면에서, 상기 패턴의 반사된 스펙트럼을 측정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 검사 방법.
  55. 기판 경사의 변화들을 계산하는 장치에 있어서,
    상기 기판과의 켤레면에서 고리형 분포를 갖는 방사선 빔을 생성하도록 구성된 방사선 소스;
    방사선 빔내의 형상화된 차폐부;
    상기 기판 경사의 변동들로 인한 상기 기판상의 형상화된 차폐부의 폭 및 형상의 변화들을 검출하도록 구성된 검출기;
    상기 검출기에 의해 검출된 변화들에 기초하여 상기 기판 경사의 변화들을 계산하는 프로세서를 포함하여 이루어지는 것을 특징으로 하는 장치.
  56. 침지 리소그래피 장치의 버블 결함들을 검출하는 방법에 있어서,
    기판상에 패턴을 프린트하는 단계;
    높은 개구수 렌즈의 퓨필 평면내에, 상기 패턴의 반사된 스펙트럼을 측정하는 단계;
    상기 반사된 스펙트럼으로부터, 상기 침지 리소그래피 장치에 포함된 액체내의 버블들로 인한 결함들이 상기 패턴내에 존재하는지를 결정하는 단계를 포함하여 이루어지는 것을 특징으로 하는 방법.
KR1020050074825A 2004-08-16 2005-08-16 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치 KR100697277B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/918,742 2004-08-16
US10/918,742 US7791727B2 (en) 2004-08-16 2004-08-16 Method and apparatus for angular-resolved spectroscopic lithography characterization

Publications (2)

Publication Number Publication Date
KR20060050488A true KR20060050488A (ko) 2006-05-19
KR100697277B1 KR100697277B1 (ko) 2007-03-20

Family

ID=35197995

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050074825A KR100697277B1 (ko) 2004-08-16 2005-08-16 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치

Country Status (8)

Country Link
US (8) US7791727B2 (ko)
EP (2) EP2239632B1 (ko)
JP (2) JP4357464B2 (ko)
KR (1) KR100697277B1 (ko)
CN (1) CN1916603B (ko)
DE (1) DE602005023946D1 (ko)
SG (4) SG139763A1 (ko)
TW (1) TWI294518B (ko)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100903831B1 (ko) * 2006-06-22 2009-06-25 에이에스엠엘 네델란즈 비.브이. 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치
KR100923543B1 (ko) * 2006-09-25 2009-10-27 에이에스엠엘 네델란즈 비.브이. 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치
KR100930654B1 (ko) * 2007-01-22 2009-12-09 에이에스엠엘 네델란즈 비.브이. 측정 방법, 검사 장치 및 리소그래피 장치
KR20100083744A (ko) * 2009-01-13 2010-07-22 세미컨덕터 테크놀로지스 앤드 인스트루먼츠 피티이 엘티디 웨이퍼 검사 시스템 및 방법
KR20110015624A (ko) * 2008-05-12 2011-02-16 에이에스엠엘 네델란즈 비.브이. 리소그래피용 검사 장치
WO2013027900A1 (ko) * 2011-08-23 2013-02-28 한국과학기술원 헤테로다인 간섭 리소그래피 장치, 그 장치를 이용한 미세패턴 형성방법, 웨이퍼 및 반도체 소자
KR20150036795A (ko) * 2007-12-17 2015-04-07 에이에스엠엘 네델란즈 비.브이. 회절 기반 오버레이 메트롤로지 툴 및 방법
KR20160054606A (ko) * 2008-04-09 2016-05-16 에이에스엠엘 네델란즈 비.브이. 기판의 모델을 평가하는 방법, 검사 장치 및 리소그래피 장치
KR20190040316A (ko) * 2016-08-23 2019-04-17 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
KR20200053604A (ko) * 2017-10-24 2020-05-18 에이에스엠엘 네델란즈 비.브이. 마크, 오버레이 타겟, 및 정렬 및 오버레이 방법

Families Citing this family (863)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
DE102004034953A1 (de) * 2004-07-16 2006-02-02 Carl Zeiss Jena Gmbh Beleuchtungsvorrichtung und Verwendung
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US20060204204A1 (en) * 2004-12-20 2006-09-14 Markus Zenzinger Method for improving the optical polarization properties of a microlithographic projection exposure apparatus
WO2006091913A1 (en) 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
WO2006127538A2 (en) * 2005-05-20 2006-11-30 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
CN101258498B (zh) 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US7440113B2 (en) * 2005-12-23 2008-10-21 Agilent Technologies, Inc. Littrow interferometer
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
CN101109903B (zh) * 2006-07-18 2010-05-12 上海华虹Nec电子有限公司 在线监控透镜散光的方法
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
DE102006034776B3 (de) * 2006-07-27 2008-03-06 Infineon Technologies Ag Verfahren und Messvorrichtung zur ellipsometrischen Vermessung von Strukturelementen sowie Verwendung des Verfahrens
FR2904690B1 (fr) * 2006-08-02 2009-04-03 Commissariat Energie Atomique Dispositif de caracterisation d'objets uniques
US7352439B2 (en) 2006-08-02 2008-04-01 Asml Netherlands B.V. Lithography system, control system and device manufacturing method
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US7643666B2 (en) 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8294907B2 (en) * 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US8248579B2 (en) 2006-12-01 2012-08-21 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device for correcting overlay errors between overlapping patterns
US8237914B2 (en) 2006-12-01 2012-08-07 Asml Netherlands B.V. Process, apparatus, and device for determining intra-field correction to correct overlay errors between overlapping patterns
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US20080148875A1 (en) * 2006-12-20 2008-06-26 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7889991B2 (en) * 2007-02-12 2011-02-15 Jds Uniphase Corporation Planar lightwave circuit based tunable 3 port filter
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7580131B2 (en) * 2007-04-17 2009-08-25 Asml Netherlands B.V. Angularly resolved scatterometer and inspection method
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1992905A1 (en) * 2007-05-16 2008-11-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical sensor with tilt error correction
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808638B2 (en) * 2007-07-13 2010-10-05 Kla-Tencor Corporation Scatterometry target and method
US7633689B2 (en) * 2007-07-18 2009-12-15 Asml Holding N.V. Catadioptric optical system for scatterometry
US7869022B2 (en) 2007-07-18 2011-01-11 Asml Netherlands B.V. Inspection method and apparatus lithographic apparatus, lithographic processing cell, device manufacturing method and distance measuring system
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20090073448A1 (en) * 2007-09-18 2009-03-19 Asml Netherlands B.V. Method of measuring the overlay error, an inspection apparatus and a lithographic apparatus
IL194580A0 (en) * 2007-10-09 2009-08-03 Asml Netherlands Bv Alignment method and apparatus, lithographic apparatus, metrology apparatus and device manufacturing method
EP2048543B1 (en) * 2007-10-09 2013-12-04 ASML Netherlands B.V. An optical focus sensor, an inspection apparatus and a lithographic apparatus
NL1036018A1 (nl) 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
IL194839A0 (en) 2007-10-25 2009-08-03 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036098A1 (nl) 2007-11-08 2009-05-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus lithographic, processing cell and device manufacturing method.
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8045170B2 (en) * 2007-12-05 2011-10-25 Canon Kabushiki Kaisha Light scattering property measurement method
NL1036184A1 (nl) * 2007-12-05 2009-06-08 Asml Netherlands Bv Inspection apparatus, lithographic apparatus and method of measuring a property of a substrate.
NL1036188A1 (nl) 2007-12-05 2009-06-08 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036331A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Metrology apparatus, lithographic apparatus and method of measuring a property of a substrate.
NL1036333A1 (nl) * 2008-01-02 2009-07-07 Asml Netherlands Bv Immersion lithography.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036468A1 (nl) 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036684A1 (nl) * 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036632A1 (nl) * 2008-03-26 2009-09-29 Asml Netherlands Bv Inspection Apparatus, Lithographic Apparatus and Method of Measuring a Property of a Substrate.
NL1036772A1 (nl) * 2008-04-15 2009-10-19 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036859A1 (nl) 2008-04-29 2009-10-30 Asml Netherlands Bv Support structure, inspection apparatus, lithographic apparatus and methods for loading and unloading substrates.
US8773640B2 (en) 2008-05-29 2014-07-08 Asml Netherlands B.V. Inspection method and apparatus
NL2002925A1 (nl) 2008-05-29 2009-12-01 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2002932A1 (nl) 2008-06-02 2009-12-03 Asml Netherlands Bv Sub-wavelength segmentation in measurement targets on substrates.
NL2002962A1 (nl) 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101357081B1 (ko) 2008-06-26 2014-02-03 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 장치, 리소그래피 장치, 및 이러한 오버레이 측정 장치를 이용하는 디바이스 제조 방법
NL2003179A1 (nl) 2008-07-18 2010-01-19 Asml Netherlands Bv Lithographic apparatus and device manufacturing method and scatterometry method and measurement system used therein.
US9201310B2 (en) 2008-08-19 2015-12-01 Asml Netherlands B.V. Method of measuring overlay error and a device manufacturing method
NL2003254A (en) * 2008-08-21 2010-03-10 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2003331A (en) 2008-09-02 2010-03-12 Asml Netherlands Bv Device manufacturing method, control system, computer program and computer-readable medium.
US9229338B2 (en) 2008-09-08 2016-01-05 Asml Netherlands B.V. Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
US9081304B2 (en) 2008-09-08 2015-07-14 Asml Netherlands B.V. Substrate, an inspection apparatus, and a lithographic apparatus
NL2003497A (en) 2008-09-23 2010-03-24 Asml Netherlands Bv Lithographic system, lithographic method and device manufacturing method.
CN102171618B (zh) 2008-10-06 2014-03-19 Asml荷兰有限公司 使用二维目标的光刻聚焦和剂量测量
US8848195B2 (en) 2008-10-31 2014-09-30 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method for determining a property of a substrate
CN102203676B (zh) 2008-11-07 2013-12-04 Asml荷兰有限公司 散射仪和光刻设备
NL2003890A (en) 2008-12-16 2010-06-17 Asml Netherlands Bv Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell.
US8502955B2 (en) 2008-12-30 2013-08-06 Asml Netherlands B.V. Method of determining a characteristic
NL2003990A (en) 2008-12-30 2010-07-01 Asml Netherlands Bv A method of determining a characteristic.
JP5412528B2 (ja) 2008-12-30 2014-02-12 エーエスエムエル ネザーランズ ビー.ブイ. 検査方法、検査システム、基板、およびマスク
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
TW201100787A (en) * 2009-02-18 2011-01-01 Nikon Corp Surface examining device and surface examining method
NL2004276A (en) 2009-03-04 2010-09-06 Asml Netherlands Bv A method of measuring a characteristic.
NL2004405A (en) 2009-04-07 2010-10-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101654599B1 (ko) * 2009-05-11 2016-09-06 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 방법 및 디바이스 제조 방법
SG175246A1 (en) * 2009-05-12 2011-12-29 Asml Netherlands Bv Inspection method for lithography
WO2010130673A1 (en) * 2009-05-15 2010-11-18 Asml Netherlands B.V. Inspection method for lithography
NL2004803A (en) 2009-06-11 2010-12-15 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2010145951A2 (en) * 2009-06-17 2010-12-23 Asml Netherlands B.V. Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
NL2004946A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP2013500586A (ja) * 2009-07-22 2013-01-07 ケーエルエー−テンカー・コーポレーション 角度分解逆対称光波散乱計測
NL2005044A (en) 2009-07-30 2011-01-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
NL2005325A (en) 2009-09-24 2011-03-28 Asml Netherlands Bv Methods and apparatus for modeling electromagnetic scattering properties of microscopic structures and methods and apparatus for reconstruction of microscopic structures.
NL2005259A (en) * 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
WO2011045132A1 (en) 2009-10-12 2011-04-21 Asml Netherlands B.V. Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate
NL2005332A (en) 2009-10-13 2011-04-14 Asml Netherlands Bv Inspection method and apparatus.
NL2005521A (en) 2009-10-22 2011-04-26 Asml Netherlands Bv Methods and apparatus for calculating electromagnetic scattering properties of a structure using a normal-vector field and for reconstruction of approximate structures.
NL2005733A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Methods and apparatus for determining electromagnetic scattering properties and structural parameters of periodic structures.
NL2005459A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
NL2005719A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv Method of measuring properties of dynamic positioning errors in a lithographic apparatus, data processing apparatus, and computer program product.
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
NL2006024A (en) 2010-02-17 2011-08-18 Asml Netherlands Bv Method and apparatus for estimating model parameters of and controlling a lithographic apparatus.
NL2006078A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Calibration of lithographic apparatus.
NL2005996A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
IL210832A (en) 2010-02-19 2016-11-30 Asml Netherlands Bv Lithographic facility and method of manufacturing facility
NL2006099A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Calibration of lithographic apparatus.
JP5583791B2 (ja) 2010-02-19 2014-09-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
EP2392970A3 (en) 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
NL2005997A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
NL2006321A (en) 2010-03-19 2011-09-20 Asml Netherlands Bv Control method and apparatus.
KR101725529B1 (ko) 2010-03-30 2017-04-10 지고 코포레이션 간섭계 인코더 시스템
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2006935A (en) 2010-06-28 2011-12-29 Asml Netherlands Bv Inspection apparatus and method.
CN103003754B (zh) * 2010-07-19 2015-03-11 Asml荷兰有限公司 用于确定重叠误差的方法和设备
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007127A (en) 2010-08-06 2012-02-07 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
NL2007361A (en) 2010-09-29 2012-04-02 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
US9239522B2 (en) * 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
US8593644B2 (en) * 2010-12-13 2013-11-26 Southwest Research Institute White light optical profilometer for measuring complex surfaces
CN102540752B (zh) * 2010-12-28 2014-02-19 上海微电子装备有限公司 一种光刻照明系统
US8867041B2 (en) * 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
IL217843A (en) 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
NL2008110A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Measuring method, measuring apparatus, lithographic apparatus and device manufacturing method.
NL2008285A (en) 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
EP2515168B1 (en) 2011-03-23 2021-01-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
WO2012126684A1 (en) 2011-03-24 2012-09-27 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
CN103502863A (zh) * 2011-05-10 2014-01-08 住友电气工业株式会社 光学组件和制造光学组件的方法
WO2012171687A1 (en) 2011-06-14 2012-12-20 Asml Netherlands B.V. Inspection for lithography
US9310296B2 (en) 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
NL2008807A (en) 2011-06-21 2012-12-28 Asml Netherlands Bv Inspection method and apparatus.
US8681413B2 (en) 2011-06-27 2014-03-25 Kla-Tencor Corporation Illumination control
NL2008928A (en) * 2011-07-06 2013-01-08 Asml Netherlands Bv Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures.
NL2009001A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and patterning devices for measuring phase aberration.
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
NL2008936A (en) 2011-07-28 2013-01-29 Asml Netherlands Bv Illumination source for use in inspection methods and/or lithography inspection and lithographic apparatus and inspection method.
NL2009066A (en) 2011-08-03 2013-02-05 Asml Netherlands Bv Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus.
CN203129697U (zh) * 2013-02-05 2013-08-14 客贝利(厦门)休闲用品有限公司 一种帐篷架杆
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
NL2009294A (en) 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
JP5864752B2 (ja) 2011-08-31 2016-02-17 エーエスエムエル ネザーランズ ビー.ブイ. 焦点補正を決定する方法、リソグラフィ処理セル及びデバイス製造方法
CN104114999B (zh) * 2011-09-27 2017-06-09 科磊股份有限公司 高吞吐量薄膜特性化及缺陷检测
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US9400246B2 (en) 2011-10-11 2016-07-26 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
KR101765814B1 (ko) 2011-11-30 2017-08-08 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치
US9163935B2 (en) 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
US9127927B2 (en) * 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US8762100B1 (en) * 2012-02-10 2014-06-24 Tokyo Electron Limited Numerical aperture integration for optical critical dimension (OCD) metrology
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
CN105549341A (zh) * 2012-02-21 2016-05-04 Asml荷兰有限公司 检查设备和方法
KR101761735B1 (ko) 2012-03-27 2017-07-26 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
US8817273B2 (en) 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
US8860941B2 (en) * 2012-04-27 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tool induced shift reduction determination for overlay metrology
CN103454861B (zh) * 2012-06-05 2015-08-26 上海微电子装备有限公司 一种套刻测量的标记和方法
EP2859410B1 (en) 2012-06-12 2019-11-20 ASML Netherlands B.V. Photon source, metrology apparatus, lithographic system and device manufacturing method
JP6312664B2 (ja) * 2012-06-26 2018-04-18 ケーエルエー−テンカー コーポレイション 近接場計測
KR102330743B1 (ko) * 2012-06-26 2021-11-23 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
CN103529643B (zh) * 2012-07-05 2017-01-18 中国科学院物理研究所 一种纳米图形化系统及其光响应特性检测装置
WO2014006935A1 (ja) * 2012-07-06 2014-01-09 株式会社ニコン 位置計測装置、ステージ装置、露光装置、およびデバイス製造方法
WO2014016056A1 (en) 2012-07-23 2014-01-30 Asml Netherlands B.V. Inspection method and apparatus, lithographic system and device manufacturing method
NL2011276A (en) 2012-09-06 2014-03-10 Asml Netherlands Bv Inspection method and apparatus and lithographic processing cell.
NL2011476A (en) 2012-10-02 2014-04-07 Asml Netherlands Bv Position measuring apparatus, position measuring method, lithographic apparatus and device manufacturing method.
NL2011477A (en) 2012-10-10 2014-04-14 Asml Netherlands Bv Mark position measuring apparatus and method, lithographic apparatus and device manufacturing method.
WO2014082813A2 (en) 2012-11-30 2014-06-05 Asml Netherlands B.V. Method and apparatus for determining lithographic quality of a structure
WO2014102792A1 (en) * 2012-12-27 2014-07-03 Nova Measuring Instruments Ltd. Optical method and system for critical dimensions and thickness characterization
US8976423B2 (en) * 2013-03-15 2015-03-10 Lexmark International, Inc. Optical scanning system and imaging apparatus for using same
CN104062859B (zh) * 2013-03-21 2016-08-10 上海微电子装备有限公司 一种光刻设备对准系统
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10481088B2 (en) * 2013-06-04 2019-11-19 Kla-Tencor Corporation Automatic determination of fourier harmonic order for computation of spectral information for diffraction structures
NL2012872A (en) 2013-06-12 2014-12-15 Asml Netherlands Bv Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method.
US9904181B2 (en) 2013-07-03 2018-02-27 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US9719920B2 (en) 2013-07-18 2017-08-01 Kla-Tencor Corporation Scatterometry system and method for generating non-overlapping and non-truncated diffraction images
NL2013210A (en) * 2013-08-07 2015-02-10 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
CN105452963B (zh) 2013-08-13 2017-08-22 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
KR101794828B1 (ko) * 2013-08-28 2017-11-09 에이피시스템 주식회사 막 분리 장치
US9766552B2 (en) 2013-09-09 2017-09-19 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
US9958791B2 (en) 2013-10-30 2018-05-01 Asml Netherlands B.V. Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method
CN105765463B (zh) 2013-11-26 2018-06-22 Asml荷兰有限公司 用于计算结构的电磁散射性质及用于估计其几何和材料参数的方法和装置
WO2015082158A1 (en) 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
CN105814492B (zh) 2013-12-13 2018-06-15 Asml荷兰有限公司 检查设备和方法、光刻系统和器件制造方法
NL2013809A (en) 2013-12-13 2015-06-16 Asml Netherlands Bv Radiation source, metrology apparatus, lithographic system and device manufacturing method.
NL2013811A (en) 2013-12-13 2015-06-16 Asml Netherlands Bv Radiation source, metrology apparatus, lithographic system and device manufacturing method.
CN108931891B (zh) 2013-12-17 2020-11-03 Asml荷兰有限公司 检查方法、光刻设备、掩模以及衬底
KR102170119B1 (ko) 2013-12-18 2020-10-27 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 리소그래피 장치
NL2013837A (en) 2013-12-19 2015-06-22 Asml Netherlands Bv Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method.
CN105874389B (zh) 2013-12-30 2018-06-26 Asml荷兰有限公司 用于设计量测目标的方法和设备
KR20190057429A (ko) * 2013-12-30 2019-05-28 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
CN105874388B (zh) * 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
US10025193B2 (en) 2014-01-10 2018-07-17 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
WO2015110210A1 (en) 2014-01-24 2015-07-30 Asml Netherlands B.V. Apparatus operable to perform a measurement operation on a substrate, lithographic apparatus, and method of performing a measurement operation on a substrate
KR102006316B1 (ko) 2014-02-17 2019-08-01 에이에스엠엘 네델란즈 비.브이. 에지 배치 오차를 결정하는 방법, 검사 장치, 패터닝 디바이스, 기판 및 디바이스 제조 방법
US10073357B2 (en) 2014-02-21 2018-09-11 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
US10331043B2 (en) 2014-02-21 2019-06-25 Asml Netherlands B.V. Optimization of target arrangement and associated target
EP3149544B1 (en) 2014-06-02 2018-10-10 ASML Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
CN106471613B (zh) * 2014-06-24 2020-12-29 科磊股份有限公司 光阑及目标的旋转边界
WO2016005167A1 (en) 2014-07-09 2016-01-14 Asml Netherlands B.V. Inspection apparatus, inspection method and device manufacturing method
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
US10133191B2 (en) 2014-07-21 2018-11-20 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
WO2016015987A1 (en) 2014-07-28 2016-02-04 Asml Netherlands B.V. Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method
US9392188B2 (en) * 2014-08-10 2016-07-12 Corephotonics Ltd. Zoom dual-aperture camera with folded lens
WO2016030485A1 (en) 2014-08-28 2016-03-03 Asml Netherlands B.V. Laser-driven photon source and inspection apparatus including such a laser-driven photon source
KR101960403B1 (ko) * 2014-08-28 2019-03-20 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
NL2015269A (en) 2014-08-29 2016-07-08 Asml Holding Nv Method and apparatus for spectrally broadening radiation.
KR101991762B1 (ko) 2014-09-01 2019-06-21 에이에스엠엘 네델란즈 비.브이. 타겟 구조체의 속성을 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
WO2016036790A2 (en) * 2014-09-02 2016-03-10 Nikon Corporation Pattern edge placement predictor and monitor for lithographic exposure tool
US10883924B2 (en) * 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
WO2016045945A1 (en) 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
CN105527794B (zh) * 2014-09-28 2018-05-01 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
CN107076966B (zh) 2014-09-29 2022-05-24 Asml控股股份有限公司 高数值孔径物镜系统
WO2016050453A1 (en) 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
KR102299921B1 (ko) 2014-10-07 2021-09-09 삼성전자주식회사 광학 장치
KR102355347B1 (ko) 2014-11-26 2022-01-24 에이에스엠엘 네델란즈 비.브이. 계측 방법, 컴퓨터 제품 및 시스템
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
NL2015776A (en) 2014-12-12 2016-09-20 Asml Netherlands Bv Methods and apparatus for calculating substrate model parameters and controlling lithographic processing.
KR101982694B1 (ko) 2014-12-15 2019-05-27 에이에스엠엘 홀딩 엔.브이. 광학적 퓨필 대칭화를 위한 방법 및 장치
US9885656B2 (en) * 2014-12-17 2018-02-06 Kla-Tencor Corporation Line scan knife edge height sensor for semiconductor inspection and metrology
CN107111245B (zh) 2014-12-19 2019-10-18 Asml荷兰有限公司 测量非对称性的方法、检查设备、光刻系统及器件制造方法
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
WO2016124399A1 (en) 2015-02-06 2016-08-11 Asml Netherlands B.V. A method and apparatus for improving measurement accuracy
WO2016128190A1 (en) 2015-02-12 2016-08-18 Asml Netherlands B.V. Method and apparatus for reticle optimization
WO2016135166A2 (en) 2015-02-25 2016-09-01 Asml Netherlands B.V. Method and apparatus for inspection and metrology
KR102030100B1 (ko) 2015-03-05 2019-10-08 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
WO2016142214A2 (en) 2015-03-11 2016-09-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
KR102269301B1 (ko) 2015-03-13 2021-06-25 에이에스엠엘 네델란즈 비.브이. 리소그래피 방법 및 리소그래피 장치
NL2016472A (en) * 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
WO2016156360A1 (en) 2015-04-03 2016-10-06 Asml Netherlands B.V. Inspection apparatus for measuring properties of a target structure
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107438795A (zh) 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
WO2016162228A1 (en) 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology
NL2016543A (en) 2015-04-16 2016-10-19 Asml Holding Nv Method and apparatus for optical fiber connection.
JP6524256B2 (ja) * 2015-04-21 2019-06-05 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
KR102076021B1 (ko) 2015-05-04 2020-03-02 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
JP6618551B2 (ja) 2015-06-12 2019-12-11 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置、検査方法、リソグラフィ装置、パターニングデバイス及び製造方法
NL2016925A (en) 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
KR102084017B1 (ko) 2015-07-17 2020-03-03 에이에스엠엘 네델란즈 비.브이. 검사 및 메트롤로지를 위한 장치 및 방법
WO2017016839A1 (en) 2015-07-24 2017-02-02 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US9807294B2 (en) * 2015-08-05 2017-10-31 Omnivision Technologies, Inc. Image sensor with symmetric multi-pixel phase-difference detectors, and associated methods
NL2017269A (en) 2015-08-12 2017-02-16 Asml Netherlands Bv Inspection apparatus, inspection method and manufacturing method
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
WO2017041047A1 (en) 2015-09-04 2017-03-09 Kla-Tencor Corporation Method of improving lateral resolution for height sensor using differential detection technology for semiconductor inspection and metrology
TWI656409B (zh) * 2015-09-09 2019-04-11 美商克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
US10101676B2 (en) * 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
JP6782769B2 (ja) 2015-09-28 2020-11-11 エーエスエムエル ネザーランズ ビー.ブイ. 2次元又は3次元の形状の階層的表現
WO2017055086A1 (en) 2015-09-30 2017-04-06 Asml Netherlands B.V. Metrology method for process window definition
WO2017055072A1 (en) 2015-10-02 2017-04-06 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
NL2017505A (en) 2015-10-09 2017-04-11 Asml Netherlands Bv Method and apparatus for inspection and metrology
KR102059018B1 (ko) 2015-10-19 2019-12-24 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
KR102136796B1 (ko) 2015-10-19 2020-07-23 에이에스엠엘 네델란즈 비.브이. 비선형 거동의 영향을 저감시키는 방법 및 장치
KR20180072768A (ko) 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
US10691863B2 (en) 2015-10-19 2020-06-23 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US20180314149A1 (en) 2015-10-19 2018-11-01 Aslm Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067765A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
DE102015221773A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
WO2017102327A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Polarization tuning in scatterometry
KR102146434B1 (ko) 2015-12-17 2020-08-21 에이에스엠엘 네델란즈 비.브이. 측정을 향상시키기 위한 비대칭 서브 해상도 피처를 사용하는 리소그래피 공정의 광학적 메트롤로지
NL2017933A (en) 2015-12-18 2017-06-26 Asml Netherlands Bv Focus monitoring arrangement and inspection apparatus including such an arrangement
NL2017928A (en) * 2015-12-18 2017-06-28 Univ Amsterdam Inspection apparatus and method
WO2017108395A1 (en) 2015-12-21 2017-06-29 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
CN108604065B (zh) * 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
CN108700819B (zh) 2015-12-23 2020-11-10 Asml荷兰有限公司 光刻设备和用于执行测量的方法
NL2017943A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology methods, metrology apparatus and device manufacturing method
CN106959587B (zh) * 2016-01-11 2019-07-02 中芯国际集成电路制造(上海)有限公司 基于衍射的套刻测量标记、套刻测量方法和测量装置
KR102106937B1 (ko) 2016-02-19 2020-05-07 에이에스엠엘 네델란즈 비.브이. 구조체 측정 방법, 검사 장치, 리소그래피 시스템, 디바이스 제조 방법 및 그 안에 사용되는 파장-선택 필터
CN112255892B (zh) 2016-02-22 2023-07-18 Asml荷兰有限公司 对量测数据的贡献的分离
WO2017144270A1 (en) 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2017148665A1 (en) * 2016-03-01 2017-09-08 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure and lithographic apparatus
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2017153133A1 (en) 2016-03-08 2017-09-14 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, method of manufacturing devices and computer program
CN108713166B (zh) 2016-03-11 2021-03-09 Asml荷兰有限公司 计算用于控制制造工艺的校正的方法、计量设备、器件制造方法和建模方法
KR102153482B1 (ko) 2016-04-15 2020-09-09 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 작동을 조절하는 방법
US10802208B2 (en) 2016-04-19 2020-10-13 Asml Holding N.V. Broad spectrum radiation by supercontinuum generation using a tapered optical fiber
JP6895985B2 (ja) * 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法
CN107329373B (zh) * 2016-04-29 2019-01-18 上海微电子装备(集团)股份有限公司 一种套刻误差测量装置及方法
WO2017186483A1 (en) 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
CN109074000B (zh) 2016-05-04 2020-12-25 Asml荷兰有限公司 用于生成照射辐射的方法和设备
WO2017202665A1 (en) 2016-05-25 2017-11-30 Asml Netherlands B.V. Focus and overlay improvement by modifying a patterning device
WO2017215944A1 (en) 2016-06-15 2017-12-21 Asml Netherlands B.V. Substrate measurement recipe configuration to improve device matching
US10542201B2 (en) 2016-06-29 2020-01-21 Microsoft Technology Licensing, Llc Split-camera autoalignment
US10488186B2 (en) * 2016-06-29 2019-11-26 Microsoft Technology Licensing, Llc Alignment detection for split camera
WO2018001747A1 (en) 2016-07-01 2018-01-04 Asml Netherlands B.V. Illumination system for a lithographic or inspection apparatus
EP3276419A1 (en) 2016-07-28 2018-01-31 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
CN109478019A (zh) 2016-07-05 2019-03-15 Asml荷兰有限公司 用于检查设备的照射源、检查设备和检查方法
IL263765B2 (en) 2016-07-15 2023-04-01 Asml Netherlands Bv Method and device for designing a target field for metrology
JP6716779B2 (ja) 2016-07-21 2020-07-01 エーエスエムエル ネザーランズ ビー.ブイ. ターゲットの測定方法、基板、計測装置およびリソグラフィ装置
EP3279736A1 (en) 2016-08-01 2018-02-07 ASML Netherlands B.V. Device and method for processing a radiation beam with coherence
WO2018033342A1 (en) 2016-08-17 2018-02-22 Asml Netherlands B.V. Substrate measurement recipe design of, or for, a target including a latent image
EP3290911A1 (en) 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
EP3291008A1 (en) 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
KR102323045B1 (ko) 2016-09-12 2021-11-08 에이에스엠엘 네델란즈 비.브이. 구조체의 속성 결정 방법, 검사 장치 및 디바이스 제조 방법
CN109690410B (zh) 2016-09-12 2021-08-17 Asml荷兰有限公司 用于导出校正的方法和设备、用于确定结构性质的方法和设备、器件制造方法
EP3296723A1 (en) 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3299890A1 (en) 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
KR102265164B1 (ko) 2016-09-27 2021-06-15 에이에스엠엘 네델란즈 비.브이. 계측 레시피 선택
EP3309616A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Method of inspecting a substrate, metrology apparatus, and lithographic system
EP3318927A1 (en) 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
EP3321737A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
EP3321738A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
EP3333633A1 (en) * 2016-12-09 2018-06-13 ASML Netherlands B.V. Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
WO2018108503A1 (en) 2016-12-13 2018-06-21 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336607A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
EP3336606A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
EP3796088A1 (en) 2019-09-23 2021-03-24 ASML Netherlands B.V. Method and apparatus for lithographic process performance determination
EP3343294A1 (en) 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
FR3062516B1 (fr) 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure du desalignement entre une premiere et une seconde zones de gravure
KR102271283B1 (ko) 2017-01-31 2021-07-02 에이에스엠엘 네델란즈 비.브이. 패턴 위치설정 정확도 증가 방법 및 시스템
EP3361315A1 (en) 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
CN113946105A (zh) 2017-02-22 2022-01-18 Asml荷兰有限公司 计算量测法
EP3367165A1 (en) 2017-02-23 2018-08-29 ASML Netherlands B.V. Methods of aligning a diffractive optical system and diffractive optical element
EP3376288A1 (en) 2017-03-15 2018-09-19 ASML Netherlands B.V. Apparatus for delivering gas
CN115793401A (zh) 2017-03-15 2023-03-14 Asml荷兰有限公司 用于输送气体的设备及用于产生高谐波辐射的照射源
EP3376289A1 (en) 2017-03-17 2018-09-19 ASML Netherlands B.V. Stage system and metrology tool
CN110462523B (zh) 2017-03-23 2022-02-11 Asml荷兰有限公司 结构的不对称性监视
US9966725B1 (en) * 2017-03-24 2018-05-08 Cymer, Llc Pulsed light beam spectral feature control
NL2020574A (en) 2017-04-04 2018-10-10 Asml Holding Nv Anti-reflection coating
EP3385685A1 (en) 2017-04-06 2018-10-10 ASML Netherlands B.V. Radiation receiving system
CN110546577B (zh) 2017-04-28 2022-05-24 Asml荷兰有限公司 计量方法和设备以及相关联的计算机程序
CN110603490B (zh) * 2017-05-03 2022-12-30 Asml荷兰有限公司 量测参数确定和量测选配方案选择
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
NL2020776A (en) 2017-05-04 2018-11-09 Asml Holding Nv Method, substrate and apparatus to measure performance of optical metrology
EP3399371A1 (en) * 2017-05-05 2018-11-07 ASML Netherlands B.V. Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system
EP3401733A1 (en) 2017-05-08 2018-11-14 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
CN110612481A (zh) 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
WO2018215177A1 (en) 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
WO2018233947A1 (en) 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
WO2018233951A1 (en) 2017-06-21 2018-12-27 Asml Netherlands B.V. METHOD AND APPARATUS FOR DETECTING SUBSTRATE SURFACE VARIATIONS
EP3422102A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3422103A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
EP3422105A1 (en) 2017-06-30 2019-01-02 ASML Netherlands B.V. Metrology parameter determination and metrology recipe selection
WO2019010325A1 (en) * 2017-07-06 2019-01-10 Kla-Tencor Corporation ESTIMATION OF AMPLITUDE AND PHASE ASYMMETRY IN IMAGING TECHNOLOGY TO OBTAIN HIGH PRECISION IN RECOVERY METROLOGY
DE102017115262B9 (de) * 2017-07-07 2021-05-27 Carl Zeiss Smt Gmbh Verfahren zur Charakterisierung einer Maske für die Mikrolithographie
WO2019012495A1 (ja) 2017-07-14 2019-01-17 エーエスエムエル ネザーランズ ビー.ブイ. 計測装置
WO2019015995A1 (en) 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
EP3432072A1 (en) 2017-07-18 2019-01-23 ASML Netherlands B.V. Methods and apparatus for measurement of a parameter of a feature fabricated on a semiconductor substrate
KR102374949B1 (ko) 2017-07-25 2022-03-15 에이에스엠엘 네델란즈 비.브이. 파라미터 결정 방법 및 그 장치
US11067902B2 (en) 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
WO2019038054A1 (en) 2017-08-23 2019-02-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF A PATTERN TRANSFER PROCESS, DEVICE MANUFACTURING METHOD
EP3451060A1 (en) 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
IL312300A (en) 2017-09-01 2024-06-01 Asml Netherlands Bv Optical systems, metrology instruments and related methods
EP3451061A1 (en) 2017-09-04 2019-03-06 ASML Netherlands B.V. Method for monitoring a manufacturing process
EP3454123A1 (en) 2017-09-06 2019-03-13 ASML Netherlands B.V. Metrology method and apparatus
EP3454124A1 (en) 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
EP3454127A1 (en) 2017-09-11 2019-03-13 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
WO2019048145A1 (en) 2017-09-11 2019-03-14 Asml Netherlands B.V. METROLOGY IN LITHOGRAPHIC PROCESSES
US11314174B2 (en) 2017-09-11 2022-04-26 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3462239A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
US20200278295A1 (en) 2017-09-12 2020-09-03 Asml Holding N.V. Beam Pointing Monitor and Compensation Systems
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3457212A1 (en) 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
EP3460574A1 (en) 2017-09-22 2019-03-27 ASML Netherlands B.V. Method to determine a patterning process parameter
JP7050150B2 (ja) 2017-09-22 2022-04-07 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスパラメータを決定する方法
WO2019063314A1 (en) 2017-09-28 2019-04-04 Asml Holding N.V. OPTICAL ARRANGEMENT FOR INSPECTION APPARATUS
CN111149062B (zh) 2017-09-28 2022-11-04 Asml控股股份有限公司 量测方法和装置
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR102408833B1 (ko) 2017-10-05 2022-06-13 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 시스템 및 방법
TW201923332A (zh) 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
EP3470923A1 (en) * 2017-10-10 2019-04-17 ASML Netherlands B.V. Metrology method
EP3470924A1 (en) 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3477389A1 (en) * 2017-10-24 2019-05-01 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
EP3477391A1 (en) 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
CN111279268B (zh) 2017-10-26 2022-04-01 Asml荷兰有限公司 确定所关注的参数的值的方法、清除包含关于所关注的参数的信息的信号的方法
EP3477392A1 (en) 2017-10-31 2019-05-01 ASML Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
IL273836B2 (en) 2017-10-31 2023-09-01 Asml Netherlands Bv A measuring device, a method for measuring a structure, a method for making a device
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
JP7150838B2 (ja) 2017-11-07 2022-10-11 エーエスエムエル ネザーランズ ビー.ブイ. 関心対象特性を算出するメトロロジ装置及び方法
EP3499312A1 (en) 2017-12-15 2019-06-19 ASML Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3489756A1 (en) 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3492984A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
WO2019110211A1 (en) 2017-12-04 2019-06-13 Asml Netherlands B.V. Measurement method, patterning device and device manufacturing method
EP3495888A1 (en) 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3495889A1 (en) 2017-12-07 2019-06-12 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
NL2022080B1 (en) 2017-12-12 2019-12-09 Asml Netherlands Bv Apparatus and method for determining a condition associated with a pellicle
KR102436448B1 (ko) 2017-12-13 2022-08-24 에이에스엠엘 홀딩 엔.브이. 빔 분할 프리즘 시스템
EP3499311A1 (en) 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
CN111512235B (zh) 2017-12-19 2022-08-05 Asml荷兰有限公司 基于计算量测的校正和控制
WO2019121486A1 (en) 2017-12-22 2019-06-27 Asml Netherlands B.V. Process window based on defect probability
WO2019129465A1 (en) 2017-12-28 2019-07-04 Asml Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
US10583630B2 (en) * 2017-12-28 2020-03-10 Nitto Denko Corporation Method for producing glass unit, pressure-sensitive adhesive sheet, and use of corrosion inhibitor
EP3506011A1 (en) 2017-12-28 2019-07-03 ASML Netherlands B.V. Apparatus for and a method of removing contaminant particles from a component of a metrology apparatus
JP7186230B2 (ja) 2017-12-28 2022-12-08 エーエスエムエル ネザーランズ ビー.ブイ. 装置の構成要素から汚染粒子を除去する装置および方法
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
KR20200096843A (ko) 2018-01-17 2020-08-13 에이에스엠엘 네델란즈 비.브이. 타겟 측정 방법, 및 계측 장치
EP3514628A1 (en) 2018-01-18 2019-07-24 ASML Netherlands B.V. Method of measuring a target, and metrology apparatus
WO2019141481A1 (en) 2018-01-17 2019-07-25 Asml Netherlands B.V. Scan signal characterization diagnostics
EP3514629A1 (en) 2018-01-23 2019-07-24 ASML Netherlands B.V. Methods and apparatus for constructing a parameterized geometric model of a structure and associated inspection apparatus and method
KR102582989B1 (ko) 2018-01-24 2023-09-25 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
WO2019149586A1 (en) 2018-01-30 2019-08-08 Asml Netherlands B.V. Method of patterning at least a layer of a semiconductor device
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3521930A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
EP3521929A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
EP3528047A1 (en) 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
CN111886606A (zh) 2018-02-23 2020-11-03 Asml荷兰有限公司 用于图案的语义分段的深度学习
CN111801623B (zh) 2018-02-23 2023-10-13 Asml荷兰有限公司 受引导的图案化装置的检查
KR102544707B1 (ko) * 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
EP3531191A1 (en) 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2019170357A1 (en) 2018-03-06 2019-09-12 Asml Holding N.V. Anti-reflection optical substrates and methods of manufacture
DE102018107112B9 (de) * 2018-03-26 2020-02-27 Carl Zeiss Smt Gmbh Verfahren zur Inspektion einer Maske
US11372338B2 (en) 2018-03-29 2022-06-28 Asml Netherlands B.V. Method for evaluating control strategies in a semiconductor manufacturing process
EP3547029A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Control method for a scanning exposure apparatus
EP3547030A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
CN111936934B (zh) 2018-03-29 2023-04-28 Asml荷兰有限公司 用于扫描曝光装置的控制方法
US10809193B2 (en) 2018-04-06 2020-10-20 Asml Netherlands B.V. Inspection apparatus having non-linear optics
EP3553602A1 (en) 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
NL2021848A (en) 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
EP3557327A1 (en) 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
NL2022852A (en) 2018-04-26 2019-10-31 Asml Holding Nv Alignment sensor apparatus for process sensivity compensation
EP3570108A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
TWI791196B (zh) 2018-05-24 2023-02-01 荷蘭商Asml荷蘭公司 判定基板之堆疊組態之方法及其相關非暫時性電腦可讀媒體
US20190369307A1 (en) * 2018-05-30 2019-12-05 Key Technology, Inc. Electromagnetic Radiation Detector Assembly
CN112236724B (zh) 2018-06-08 2023-05-23 Asml荷兰有限公司 确定衬底上的一个或更多个结构的特性的量测设备和方法
EP3579052A1 (en) 2018-06-08 2019-12-11 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2019238363A1 (en) 2018-06-13 2019-12-19 Asml Netherlands B.V. Metrology apparatus
EP3614207A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
EP3584637A1 (en) 2018-06-19 2019-12-25 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
US11860549B2 (en) 2018-06-19 2024-01-02 Asml Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
KR20230065371A (ko) 2018-06-25 2023-05-11 에이에스엠엘 네델란즈 비.브이. 성능 매칭에 기초하는 튜닝 스캐너에 대한 파면 최적화
EP3588190A1 (en) 2018-06-25 2020-01-01 ASML Netherlands B.V. Method for performing a manufacturing process and associated apparatuses
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
CN112543892A (zh) 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
EP3605230A1 (en) 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2020035272A1 (en) 2018-08-14 2020-02-20 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
EP3611569A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Metrology apparatus and photonic crystal fiber
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
TWI749355B (zh) 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
EP3620857A1 (en) 2018-09-04 2020-03-11 ASML Netherlands B.V. Metrology apparatus
WO2020048692A1 (en) 2018-09-04 2020-03-12 Asml Netherlands B.V. Metrology apparatus
EP3623868A1 (en) 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3623869A1 (en) 2018-09-14 2020-03-18 ASML Netherlands B.V. Method for measuring a parameter of a structure formed using a lithographic process
KR20210044283A (ko) 2018-09-19 2021-04-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 그 장치
KR102571918B1 (ko) 2018-09-19 2023-08-28 에이에스엠엘 네델란즈 비.브이. 위치 계측을 위한 계측 센서
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
TWI722562B (zh) 2018-09-24 2021-03-21 荷蘭商Asml荷蘭公司 自圖案化製程之圖案組判定候選圖案的方法
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3629088A1 (en) 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
EP3637186A1 (en) 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
EP3637187A1 (en) 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3640972A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. System and method for facilitating chemical mechanical polishing
EP3647874A1 (en) 2018-11-05 2020-05-06 ASML Netherlands B.V. Optical fibers and production methods therefor
CN112912352B (zh) 2018-10-24 2023-03-28 Asml荷兰有限公司 光纤及其生产方法
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
KR20210072123A (ko) * 2018-11-07 2021-06-16 어플라이드 머티어리얼스, 인코포레이티드 도파관 계측을 위한 방법들 및 장치
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3650941A1 (en) 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
EP3654103A1 (en) 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
US20220026809A1 (en) 2018-11-16 2022-01-27 Asml Netherlands B.V. Method for monitoring lithographic apparatus
EP3654104A1 (en) 2018-11-16 2020-05-20 ASML Netherlands B.V. Method for monitoring lithographic apparatus
US20200158632A1 (en) * 2018-11-19 2020-05-21 Luminit Llc Test System for a Holographic Optical Element
EP3657256A1 (en) 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
EP3657257A1 (en) 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020114684A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
EP3663856A1 (en) 2018-12-07 2020-06-10 ASML Netherlands B.V. Method for adjusting a target feature in a model of a patterning process based on local electric fields
KR20210090253A (ko) 2018-12-14 2021-07-19 에이에스엠엘 네델란즈 비.브이. 패터닝 공정에서의 웨이퍼 거동을 결정하기 위해 이미지 패턴들을 그룹화하는 장치 및 방법
US11474435B2 (en) 2018-12-20 2022-10-18 Asml Netherlands B.V. Metrology sensor, illumination system and method of generating measurement illumination with a configurable illumination spot diameter
KR20230175346A (ko) 2018-12-28 2023-12-29 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
EP3715951A1 (en) 2019-03-28 2020-09-30 ASML Netherlands B.V. Position metrology apparatus and associated optical elements
CN113260924A (zh) 2018-12-31 2021-08-13 Asml荷兰有限公司 用于重叠量测的方法及其设备
WO2020141140A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Metrology method
WO2020141050A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Position metrology apparatus and associated optical elements
US20220082944A1 (en) 2018-12-31 2022-03-17 Asml Netherlands B.V. Method for metrology optimization
CN113260926A (zh) 2019-01-03 2021-08-13 Asml荷兰有限公司 用于测量光刻设备的聚焦性能的方法、图案形成装置和设备、以及器件制造方法
US11333982B2 (en) 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
CN113366389A (zh) 2019-01-29 2021-09-07 Asml荷兰有限公司 用于控制光刻工艺的方法及设备
EP3696606A1 (en) 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
NL2024850A (en) 2019-02-21 2020-08-31 Asml Holding Nv Wafer alignment using form birefringence of targets or product
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3702840A1 (en) 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3705942A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3705945A1 (en) 2019-03-08 2020-09-09 ASML Netherlands B.V. Methods and apparatus for estimating substrate shape
EP3764164A1 (en) 2019-07-11 2021-01-13 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
CN113632009A (zh) 2019-03-22 2021-11-09 Asml荷兰有限公司 控制光刻装置的方法和相关装置
EP3715944A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
JP7281553B2 (ja) 2019-03-25 2023-05-25 エーエスエムエル ネザーランズ ビー.ブイ. 周波数拡大装置及び方法
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
EP3948373A1 (en) 2019-04-03 2022-02-09 ASML Netherlands B.V. Optical fiber
EP3719551A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Optical fiber
EP3734366A1 (en) 2019-05-03 2020-11-04 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
US11662666B2 (en) 2019-04-04 2023-05-30 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
CN113646700A (zh) * 2019-04-08 2021-11-12 Asml控股股份有限公司 用于光刻测量的传感器装置和方法
EP3731018A1 (en) 2019-04-23 2020-10-28 ASML Netherlands B.V. A method for re-imaging an image and associated metrology apparatus
JP7261903B2 (ja) 2019-05-06 2023-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 暗視野顕微鏡
EP3742230A1 (en) 2019-05-23 2020-11-25 ASML Netherlands B.V. Detection apparatus for simultaneous acquisition of multiple diverse images of an object
CN113811821B (zh) 2019-05-13 2024-05-28 Asml荷兰有限公司 用于同时获取物体的多个不同图像的检测设备
EP3739389A1 (en) 2019-05-17 2020-11-18 ASML Netherlands B.V. Metrology tools comprising aplanatic objective singlet
US20220221802A1 (en) 2019-05-30 2022-07-14 Asml Holding N.V. Self-referencing interferometer and dual self-referencing interferometer devices
EP3751342A1 (en) 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
EP3754427A1 (en) 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
CN114008531A (zh) 2019-06-17 2022-02-01 Asml荷兰有限公司 用于确定复值场的量测方法和装置
WO2020254066A1 (en) 2019-06-20 2020-12-24 Asml Netherlands B.V. Method for patterning process modelling
EP3767347A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
CN114008499A (zh) 2019-06-21 2022-02-01 Asml荷兰有限公司 安装式中空芯部光纤布置
EP3758168A1 (en) 2019-06-25 2020-12-30 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
WO2021001102A1 (en) 2019-07-02 2021-01-07 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN114026500A (zh) 2019-07-03 2022-02-08 Asml荷兰有限公司 在半导体制造过程中应用沉积模式的方法
CN114174927A (zh) 2019-07-04 2022-03-11 Asml荷兰有限公司 光刻工艺及关联设备的子场控制
EP3767391A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
CN114008540A (zh) 2019-07-15 2022-02-01 Asml荷兰有限公司 对准、重叠、配置标记、制造图案形成装置和图案化标记的方法
EP3767394A1 (en) * 2019-07-18 2021-01-20 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
EP3786712A1 (en) 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
US11971663B2 (en) 2019-07-16 2024-04-30 Asml Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
EP3767375A1 (en) 2019-07-19 2021-01-20 ASML Netherlands B.V. A light source and a method for use in metrology applications
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
EP3770677A1 (en) 2019-07-24 2021-01-27 ASML Netherlands B.V. Radiation source
EP3796080A1 (en) 2019-09-18 2021-03-24 ASML Netherlands B.V. Radiation source
CN114222949A (zh) 2019-08-13 2022-03-22 Asml荷兰有限公司 用于计算特征的建模方法
WO2021028174A1 (en) 2019-08-14 2021-02-18 Asml Netherlands B.V. Method and metrology tool for determining information about a target structure, and cantilever probe
EP3779600A1 (en) 2019-08-14 2021-02-17 ASML Netherlands B.V. Method and metrology tool for determining information about a target structure, and cantilever probe
EP3783436A1 (en) 2019-08-19 2021-02-24 ASML Netherlands B.V. Illumination and detection apparatus for a metrology apparatus
EP3783439A1 (en) 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
CN114303093A (zh) 2019-08-29 2022-04-08 Asml荷兰有限公司 用于光源的端部琢面保护和用于量测应用的方法
EP3786700A1 (en) 2019-08-29 2021-03-03 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3812836A1 (en) 2019-10-21 2021-04-28 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
WO2021037867A1 (en) 2019-08-30 2021-03-04 Asml Holding N.V. Metrology system and method
EP3786702A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
DK3786703T3 (da) 2019-09-02 2023-07-10 Asml Netherlands Bv Tilstandsstyring af fotoniske krystalfiberbaserede bredbåndslyskilder
EP3786713A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
CN114303102B (zh) 2019-09-03 2024-06-11 Asml荷兰有限公司 用于准直宽带辐射的组件
CN114341742A (zh) 2019-09-03 2022-04-12 Asml荷兰有限公司 确定图案的像差灵敏度的方法
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
CN114342564A (zh) 2019-09-05 2022-04-12 Asml荷兰有限公司 改进的高次谐波生成装置
EP3792693A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3805857A1 (en) 2019-10-09 2021-04-14 ASML Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
CN114514465A (zh) 2019-09-18 2022-05-17 Asml荷兰有限公司 中空芯部光纤中的改进的宽带辐射生成
EP3796089A1 (en) 2019-09-18 2021-03-24 ASML Holding N.V. A method for filtering an image and associated metrology apparatus
EP3798729A1 (en) 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
KR20220054425A (ko) 2019-10-02 2022-05-02 에이에스엠엘 네델란즈 비.브이. 예측 모델들을 사용한 공정 모니터링 및 튜닝
EP3809190A1 (en) 2019-10-14 2021-04-21 ASML Netherlands B.V. Method and apparatus for coherence scrambling in metrology applications
JP6732243B1 (ja) * 2019-10-15 2020-07-29 英治 神谷 欠陥検出装置
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
US20220382124A1 (en) 2019-10-17 2022-12-01 Asml Netherlands B.V. An illumination source and associated metrology apparatus
EP4045976A1 (en) 2019-10-17 2022-08-24 ASML Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
EP3809203A1 (en) 2019-10-17 2021-04-21 ASML Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
DK3812807T3 (da) 2019-10-24 2024-05-21 Asml Netherlands Bv Optisk komponent baseret på fotoniske krystalfibre med hul kerne til generering af bredbåndsstråling
EP3839586A1 (en) 2019-12-18 2021-06-23 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
WO2021083608A1 (en) 2019-11-01 2021-05-06 Asml Netherlands B.V. Machine learning based image generation for model base alignments
CN114667489A (zh) 2019-11-01 2022-06-24 Asml荷兰有限公司 量测方法和光刻设备
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
KR20220066963A (ko) 2019-11-05 2022-05-24 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 측정 장치
KR20220078664A (ko) 2019-11-07 2022-06-10 에이에스엠엘 네델란즈 비.브이. 중공 코어 광결정 섬유용 캐필러리 제조 방법
WO2021089320A1 (en) 2019-11-07 2021-05-14 Asml Holding N.V. Systems for cleaning a portion of a lithography apparatus
EP3819266A1 (en) 2019-11-07 2021-05-12 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
KR20220079662A (ko) 2019-11-11 2022-06-13 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템을 위한 교정 방법
EP3828632A1 (en) 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
CN110927116B (zh) * 2019-11-29 2022-08-02 中国科学院微电子研究所 一种测量标记结构的方法、装置及系统
CN114766012A (zh) 2019-11-29 2022-07-19 Asml荷兰有限公司 用参数化模型预测过程信息的方法和系统
WO2021110391A1 (en) 2019-12-05 2021-06-10 Asml Netherlands B.V. Alignment method
US11927892B2 (en) 2019-12-12 2024-03-12 Asml Netherlands B.V. Alignment method and associated alignment and lithographic apparatuses
WO2021122016A1 (en) 2019-12-16 2021-06-24 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
IL293746A (en) 2019-12-17 2022-08-01 Asml Netherlands Bv Dark field digital holographic microscopy and associated metrology method
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
IL293749A (en) 2019-12-18 2022-08-01 Asml Netherlands Bv A method for correcting measurements in the production of integrated circuits and related devices
WO2021123135A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
KR20220093360A (ko) * 2019-12-19 2022-07-05 에이에스엠엘 네델란즈 비.브이. 구조체 내에서 서로 상이한 층에 있는 금속성 피처 사이의 전기적 접촉을 광학적으로 결정하는 방법
EP3839631A1 (en) * 2019-12-19 2021-06-23 ASML Netherlands B.V. Determining relative positions of different layers in a structure
EP3839632A1 (en) 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
WO2021130315A1 (en) 2019-12-24 2021-07-01 Asml Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
CN113124751B (zh) * 2019-12-31 2022-07-29 上海微电子装备(集团)股份有限公司 一种散射测量装置及散射测量方法
EP3865931A1 (en) 2020-02-12 2021-08-18 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
IL293985B1 (en) 2020-01-15 2024-06-01 Asml Netherlands Bv Method, assembly and device for improved control of broadband radiation generation
WO2021151565A1 (en) 2020-01-28 2021-08-05 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP3876037A1 (en) 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
CN115004113A (zh) 2020-01-29 2022-09-02 Asml荷兰有限公司 量测方法和用于测量衬底上的周期性结构的装置
US20230059471A1 (en) 2020-01-29 2023-02-23 Asml Holding N.V. Optical designs of miniaturized overlay measurement system
CN115023654A (zh) 2020-02-07 2022-09-06 Asml荷兰有限公司 工作台系统、工作台系统操作方法、检查工具、光刻设备、校准方法和装置制造方法
EP3869271A1 (en) 2020-02-20 2021-08-25 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
WO2021160365A1 (en) 2020-02-12 2021-08-19 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
EP3879342A1 (en) 2020-03-10 2021-09-15 ASML Netherlands B.V. Method for inferring a local uniformity metric and associated appratuses
CN115210650A (zh) 2020-03-02 2022-10-18 Asml荷兰有限公司 用于推断局部均匀性度量的方法
CN115244467A (zh) 2020-03-03 2022-10-25 Asml荷兰有限公司 用于控制制造过程的方法和相关联的设备
WO2021175570A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3889681A1 (en) 2020-03-31 2021-10-06 ASML Netherlands B.V. An assembly including a non-linear element and a method of use thereof
WO2021197838A1 (en) 2020-04-03 2021-10-07 Asml Holding N.V. Systems and methods for forming structures on a surface
EP4139748A1 (en) 2020-04-23 2023-03-01 Teranova B.V. Method and system for determining one or more dimensions of one or more structures on a sample surface
WO2021233642A1 (en) 2020-05-19 2021-11-25 Asml Holding N.V. Generating an alignment signal based on local alignment mark distortions
EP3913429A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
US20230176490A1 (en) 2020-05-26 2023-06-08 Asml Netherlands B.V. Method for optimizing a sampling scheme and associated apparatuses
TWI792198B (zh) 2020-06-01 2023-02-11 荷蘭商Asml控股公司 用於清潔微影設備之一部分之清潔工具及方法
WO2021249711A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Metrology method, metrology apparatus and lithographic apparatus
CN115803685A (zh) 2020-06-24 2023-03-14 Asml荷兰有限公司 量测方法及相关联的量测和光刻设备
WO2022002599A1 (en) 2020-07-03 2022-01-06 Asml Netherlands B.V. Process window based on failure rate
CN115769151A (zh) 2020-07-06 2023-03-07 Asml荷兰有限公司 照射设备和相关联的量测和光刻设备
IL299122A (en) 2020-07-08 2023-02-01 Asml Netherlands Bv Broadband radiation generator based on hollow fibers with extended fiber life
EP3936936A1 (en) 2020-07-08 2022-01-12 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator with extended fiber lifetime
EP3944020A1 (en) 2020-07-20 2022-01-26 ASML Netherlands B.V. Method for adjusting a patterning process
WO2022008174A1 (en) 2020-07-09 2022-01-13 Asml Netherlands B.V. Method for adjusting a patterning process
NL2028478A (en) 2020-07-09 2022-02-28 Asml Netherlands Bv Motion control using an artificial neural network
EP3945548A1 (en) 2020-07-30 2022-02-02 ASML Netherlands B.V. Method for classifying semiconductor wafers
US20230288818A1 (en) 2020-07-21 2023-09-14 ASML Netherlands B,V. An illumination source and associated metrology apparatus
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
WO2022017705A1 (en) 2020-07-22 2022-01-27 Asml Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
US20230305407A1 (en) 2020-07-28 2023-09-28 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3974899A1 (en) 2020-09-28 2022-03-30 ASML Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
WO2022028796A1 (en) 2020-08-03 2022-02-10 Asml Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
WO2022028812A1 (en) 2020-08-06 2022-02-10 Asml Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP4001976A1 (en) 2020-11-13 2022-05-25 ASML Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP4196851A1 (en) 2020-08-11 2023-06-21 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3961303A1 (en) 2020-08-27 2022-03-02 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3961304A1 (en) 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
EP3988996A1 (en) 2020-10-20 2022-04-27 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP3968090A1 (en) 2020-09-11 2022-03-16 ASML Netherlands B.V. Radiation source arrangement and metrology device
WO2022048847A1 (en) 2020-09-03 2022-03-10 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
KR20220032922A (ko) 2020-09-08 2022-03-15 삼성전자주식회사 퓨필 타원 편광 계측 장치 및 방법, 및 그 방법을 이용한 반도체 소자 제조방법
EP3971555A1 (en) 2020-09-16 2022-03-23 ASML Netherlands B.V. Method of performing metrology
CN116209894A (zh) 2020-09-16 2023-06-02 Asml荷兰有限公司 执行量测的方法、训练机器学习模型的方法、提供包括二维材料的层的方法、量测设备
TWI788029B (zh) 2020-09-28 2022-12-21 荷蘭商Asml荷蘭公司 目標結構及相關聯之方法與裝置
EP3978964A1 (en) 2020-10-01 2022-04-06 ASML Netherlands B.V. Achromatic optical relay arrangement
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
JP2023549656A (ja) 2020-11-17 2023-11-29 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジシステム及びリソグラフィシステム
EP4252073A1 (en) 2020-11-24 2023-10-04 ASML Netherlands B.V. Method of determining mark structure for overlay fingerprints
IL303057A (en) * 2020-11-24 2023-07-01 Asml Holding Nv A multi-purpose metrology system, a lithographic device, and its methods
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
WO2022111967A2 (en) 2020-11-27 2022-06-02 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
WO2022111935A1 (en) 2020-11-30 2022-06-02 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
EP4009107A1 (en) 2020-12-01 2022-06-08 ASML Netherlands B.V. Method and apparatus for imaging nonstationary object
KR20230113565A (ko) 2020-12-08 2023-07-31 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 관련 장치
EP4012492A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4012494A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4016186A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
EP4030236A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
KR20230121053A (ko) 2020-12-21 2023-08-17 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정을 모니터링하는 방법
EP4030230A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. Methods and apparatus for providing a broadband light source
US20240004319A1 (en) 2020-12-23 2024-01-04 Asml Netherlands B.V. Methods and apparatus for providing a broadband light source
IL303879A (en) 2020-12-30 2023-08-01 Asml Netherlands Bv A modular automatic coder model for estimating parameters of production processes
EP4075339A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075340A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075341A1 (en) 2021-04-18 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
US11761906B2 (en) 2021-01-07 2023-09-19 Samsung Electronics Co., Ltd. Optical device
WO2022157009A1 (en) 2021-01-19 2022-07-28 Asml Holding N.V. Systems and methods for measuring intensity in a lithographic alignment apparatus
EP4030237A1 (en) 2021-01-19 2022-07-20 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4036619A1 (en) 2021-01-27 2022-08-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber
US20240053532A1 (en) 2021-01-27 2024-02-15 Asml Netherlands B.V. Hollow-core photonic crystal fiber
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4040233A1 (en) 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
JP2024512198A (ja) 2021-02-04 2024-03-19 エーエスエムエル ネザーランズ ビー.ブイ. 光パルスを空間的にフィルタリングするための方法および装置
EP4067968A1 (en) 2021-03-29 2022-10-05 ASML Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
WO2022174991A1 (en) 2021-02-17 2022-08-25 Asml Netherlands B.V. Assembly for separating radiation in the far field
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
US11526086B2 (en) * 2021-03-08 2022-12-13 Kla Corporation Multi-field scanning overlay metrology
EP4057069A1 (en) 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
EP4060408A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Method and system for predicting process information with a parameterized model
IL305428A (en) 2021-03-16 2023-10-01 Asml Netherlands Bv A radiation source based on hollow-core optical fibers
EP4086698A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4060403A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Hollow-core photonic crystal fiber based multiple wavelength light source device
WO2022200014A1 (en) 2021-03-22 2022-09-29 Asml Netherlands B.V. Digital holographic microscope and associated metrology method
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
EP4071553A1 (en) 2021-04-07 2022-10-12 ASML Netherlands B.V. Method of determining at least a target layout and associated metrology apparatus
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
KR20230171940A (ko) 2021-04-19 2023-12-21 에이에스엠엘 네델란즈 비.브이. 계측 툴 교정 방법 및 연관된 계측 툴
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
EP4330768A1 (en) 2021-04-26 2024-03-06 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
WO2022233547A1 (en) 2021-05-03 2022-11-10 Asml Netherlands B.V. Optical element for generation of broadband radiation
EP4105696A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Optical element for generation of broadband radiation
KR20240003442A (ko) 2021-05-04 2024-01-09 에이에스엠엘 네델란즈 비.브이. 계측 장치 및 리소그래피 장치
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4089484A1 (en) 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
IL308370A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrological measurement method and device
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
KR20240016967A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 연관된 메트롤로지 툴
WO2022263102A1 (en) 2021-06-14 2022-12-22 Asml Netherlands B.V. An illumination source and associated method apparatus
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
IL308972A (en) 2021-06-18 2024-01-01 Asml Netherlands Bv Metrology method and instrument
EP4124909A1 (en) 2021-07-28 2023-02-01 ASML Netherlands B.V. Metrology method and device
EP4112572A1 (en) 2021-06-28 2023-01-04 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4113210A1 (en) 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
KR20240035467A (ko) 2021-07-16 2024-03-15 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치
KR20240035804A (ko) 2021-07-20 2024-03-18 에이에스엠엘 네델란즈 비.브이. 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램
EP4130880A1 (en) 2021-08-03 2023-02-08 ASML Netherlands B.V. Methods of data mapping for low dimensional data analysis
KR20240036031A (ko) 2021-07-23 2024-03-19 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 계측 디바이스
EP4124911A1 (en) 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
WO2023011905A1 (en) 2021-08-02 2023-02-09 Asml Netherlands B.V. Optical element for use in metrology systems
WO2023012338A1 (en) 2021-08-06 2023-02-09 Asml Netherlands B.V. Metrology target, patterning device and metrology method
CN117813558A (zh) 2021-08-18 2024-04-02 Asml荷兰有限公司 量测方法和设备
EP4163715A1 (en) 2021-10-05 2023-04-12 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
IL309622A (en) 2021-08-25 2024-02-01 Asml Netherlands Bv Improving broadband radiation generation in photonic crystal or nonlinear fibers
EP4194952A1 (en) 2021-12-13 2023-06-14 ASML Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
KR20240054287A (ko) 2021-08-26 2024-04-25 에이에스엠엘 네델란즈 비.브이. 측정 레시피 결정 방법 및 관련된 장치
WO2023036526A1 (en) 2021-09-07 2023-03-16 Asml Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4191337A1 (en) 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
KR20240063113A (ko) 2021-09-08 2024-05-10 에이에스엠엘 네델란즈 비.브이. 계측 방법 그리고 관련된 계측 및 리소그래피 장치
EP4184426A1 (en) 2021-11-22 2023-05-24 ASML Netherlands B.V. Metrology method and device
IL310977A (en) 2021-09-14 2024-04-01 Asml Netherlands Bv Metrology method and instrument
KR20240067903A (ko) 2021-09-22 2024-05-17 에이에스엠엘 네델란즈 비.브이. 소스 선택 모듈, 그리고 관련된 계측 및 리소그래피 장치
EP4155821A1 (en) 2021-09-27 2023-03-29 ASML Netherlands B.V. Method for focus metrology and associated apparatuses
EP4155822A1 (en) 2021-09-28 2023-03-29 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4160314A1 (en) 2021-10-04 2023-04-05 ASML Netherlands B.V. Method for measuring at least one target on a substrate
EP4163687A1 (en) 2021-10-06 2023-04-12 ASML Netherlands B.V. Fiber alignment monitoring tool and associated fiber alignment method
EP4167031A1 (en) 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method
EP4170429A1 (en) 2021-10-19 2023-04-26 ASML Netherlands B.V. Out-of-band leakage correction method and metrology apparatus
EP4170430A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
WO2023072880A1 (en) * 2021-10-29 2023-05-04 Asml Netherlands B.V. Inspection apparatus, polarization-maintaining rotatable beam displacer, and method
EP4174568A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174577A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Method of determining a performance parameter distribution
EP4174567A1 (en) 2021-11-02 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
FR3128779B1 (fr) 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
IL312129A (en) 2021-11-02 2024-06-01 Asml Netherlands Bv Broadband radiation generator based on photonic fibers with a photonic core
EP4181018A1 (en) 2021-11-12 2023-05-17 ASML Netherlands B.V. Latent space synchronization of machine learning models for in-device metrology inference
CN114111625B (zh) * 2021-11-15 2024-06-04 郑州升达经贸管理学院 一种光学成像系统及方法
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
EP4191338A1 (en) 2021-12-03 2023-06-07 ASML Netherlands B.V. Metrology calibration method
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110318A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Machine learning model for asymmetry-induced overlay error correction
WO2023117263A1 (en) 2021-12-20 2023-06-29 Asml Netherlands B.V. Method and apparatus for lithographic imaging
WO2023117610A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. Generating an alignment signal without dedicated alignment structures
WO2023117611A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. Systems and methods for generating multiple illumination spots from a single illumination source
WO2023126300A1 (en) 2021-12-28 2023-07-06 Asml Netherlands B.V. Element of an afm tool
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131589A1 (en) 2022-01-10 2023-07-13 Asml Netherlands B.V. Mechanically controlled stress-engineered optical systems and methods
WO2023138916A1 (en) 2022-01-21 2023-07-27 Asml Netherlands B.V. Systems and methods for inspecting a portion of a lithography apparatus
WO2023138892A1 (en) 2022-01-24 2023-07-27 Asml Netherlands B.V. Method and apparatus for illumination adjustment
WO2023151973A1 (en) 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
EP4231090A1 (en) 2022-02-17 2023-08-23 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
WO2023160924A1 (en) 2022-02-22 2023-08-31 Asml Netherlands B.V. Method and apparatus for reflecting pulsed radiation
WO2023160925A1 (en) 2022-02-25 2023-08-31 Asml Netherlands B.V. Systems and methods for cleaning a portion of a lithography apparatus
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4254068A1 (en) 2022-03-28 2023-10-04 ASML Netherlands B.V. Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
EP4254266A1 (en) 2022-03-29 2023-10-04 ASML Netherlands B.V. Methods related to an autoencoder model or similar for manufacturing process parameter estimation
US20230314126A1 (en) * 2022-04-04 2023-10-05 Applied Materials, Inc. Methods for high-resolution, stable measurement of pitch and orientation in optical gratings
EP4296780A1 (en) 2022-06-24 2023-12-27 ASML Netherlands B.V. Imaging method and metrology device
WO2023194036A1 (en) 2022-04-05 2023-10-12 Asml Netherlands B.V. Imaging method and metrology device
WO2023194049A1 (en) 2022-04-08 2023-10-12 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
EP4273622A1 (en) 2022-05-02 2023-11-08 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4261618A1 (en) 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
WO2023213527A1 (en) 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool
EP4276537A1 (en) 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023222310A1 (en) 2022-05-16 2023-11-23 Asml Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
EP4279992A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222342A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components
WO2023222349A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
WO2023232360A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. Method for determining a failure event on a lithography system and associated failure detection module
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4289798A1 (en) 2022-06-07 2023-12-13 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4300193A1 (en) 2022-06-27 2024-01-03 ASML Netherlands B.V. Focus measurment and control in metrology and associated wedge arrangement
EP4300183A1 (en) 2022-06-30 2024-01-03 ASML Netherlands B.V. Apparatus for broadband radiation generation
EP4303658A1 (en) 2022-07-05 2024-01-10 ASML Netherlands B.V. Method of correction metrology signal data
WO2024012772A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Metrology target and associated metrology method
EP4312079A1 (en) 2022-07-29 2024-01-31 ASML Netherlands B.V. Methods of mitigating crosstalk in metrology images
EP4312005A1 (en) 2022-07-29 2024-01-31 Stichting VU Method and apparatuses for fourier transform spectrometry
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
WO2024033036A1 (en) 2022-08-08 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024033005A1 (en) 2022-08-09 2024-02-15 Asml Netherlands B.V. Inference model training
EP4361726A1 (en) 2022-10-24 2024-05-01 ASML Netherlands B.V. Inference model training
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024033035A1 (en) 2022-08-10 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
WO2024052057A1 (en) 2022-09-06 2024-03-14 Asml Netherlands B.V. Method for monitoring proper functioning of one or more components of a lithography system
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336251A1 (en) 2022-09-12 2024-03-13 ASML Netherlands B.V. A multi-pass radiation device
WO2024056296A1 (en) 2022-09-13 2024-03-21 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024078813A1 (en) 2022-10-11 2024-04-18 Asml Netherlands B.V. An aberration correction optical system
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
EP4354200A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. An aberration correction optical system
EP4357853A1 (en) 2022-10-17 2024-04-24 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2024083559A1 (en) 2022-10-17 2024-04-25 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4361703A1 (en) 2022-10-27 2024-05-01 ASML Netherlands B.V. An illumination module for a metrology device
WO2024099740A1 (en) 2022-11-07 2024-05-16 Asml Netherlands B.V. Method and apparatus for inspection focus measurement
EP4372462A1 (en) 2022-11-16 2024-05-22 ASML Netherlands B.V. A broadband radiation source
EP4371949A1 (en) 2022-11-17 2024-05-22 ASML Netherlands B.V. A fiber manufacturing intermediate product and method of producing photonic crystal fibers
EP4371951A1 (en) 2022-11-17 2024-05-22 ASML Netherlands B.V. A method of producing photonic crystal fibers
EP4372463A1 (en) 2022-11-21 2024-05-22 ASML Netherlands B.V. Method and source modul for generating broadband radiation
EP4375744A1 (en) 2022-11-24 2024-05-29 ASML Netherlands B.V. Photonic integrated circuit for generating broadband radiation
WO2024115048A1 (en) 2022-12-02 2024-06-06 Asml Netherlands B.V. Method for labeling time series data relating to one or more machines
WO2024120709A1 (en) 2022-12-07 2024-06-13 Asml Netherlands B.V. Supercontinuum radiation source

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US192577A (en) * 1877-07-03 Improvement in wringer-rolls
US2155431A (en) 1935-12-31 1939-04-25 Hoe & Co R Web roll control mechanism for printing machines
US3048699A (en) * 1958-05-15 1962-08-07 Texaco Inc Method and apparatus for measuring absorption spectra
US3909602A (en) * 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4236823A (en) * 1978-09-18 1980-12-02 Rca Corporation Diffractometer for measuring signal depth and width
JPS58108745A (ja) * 1981-12-23 1983-06-28 Canon Inc 転写装置
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
JPH01303721A (ja) * 1988-05-31 1989-12-07 Canon Inc 面傾き検出装置
US5218415A (en) * 1988-05-31 1993-06-08 Canon Kabushiki Kaisha Device for optically detecting inclination of a surface
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
JPH06103252B2 (ja) 1989-05-04 1994-12-14 サーマ―ウェイブ・インク 高分解能エリプソメータ装置と方法
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
GB9014263D0 (en) * 1990-06-27 1990-08-15 Dixon Arthur E Apparatus and method for spatially- and spectrally- resolvedmeasurements
US5106196A (en) * 1990-08-21 1992-04-21 Brierley Philip R Single adjustment specular reflection accessory for spectroscopy
IL100655A (en) * 1991-02-08 1994-11-28 Hughes Aircraft Co Profile gauge for interferometric laser
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US6078380A (en) 1991-10-08 2000-06-20 Nikon Corporation Projection exposure apparatus and method involving variation and correction of light intensity distributions, detection and control of imaging characteristics, and control of exposure
JPH05259031A (ja) * 1992-03-12 1993-10-08 Nikon Corp 傾き検出装置
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5461237A (en) * 1993-03-26 1995-10-24 Nikon Corporation Surface-position setting apparatus
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
JPH10507833A (ja) * 1994-10-21 1998-07-28 サーマ−ウェイブ・インク 分光偏光解析装置
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5541731A (en) * 1995-04-28 1996-07-30 International Business Machines Corporation Interferometric measurement and alignment technique for laser scanners
US5713364A (en) * 1995-08-01 1998-02-03 Medispectra, Inc. Spectral volume microprobe analysis of materials
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
JP3713354B2 (ja) 1997-03-21 2005-11-09 株式会社トプコン 位置測定装置
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6122051A (en) * 1998-06-04 2000-09-19 Raytheon Company Multi-slit spectrometer
DE19829278C1 (de) * 1998-06-30 2000-02-03 Sirona Dental Systems Gmbh 3-D-Kamera zur Erfassung von Oberflächenstrukturen, insbesondere für zahnmedizinische Zwecke
JP4722244B2 (ja) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
GB9818351D0 (en) * 1998-08-22 1998-10-14 Malvern Instr Ltd Improvements relating to the measurement of particle size distribution
DE19859725C2 (de) * 1998-12-23 2001-02-22 Stefan Dengler Vorrichtung zur Ermittlung von Verformungen an einer Objektoberfläche, insbesondere einer diffus streuenden Objektoberfläche und Verwendung der Vorichtung
AU5159600A (en) * 1999-05-28 2000-12-18 Hi-Tech Environmental Products, Llc. Synthetic thermoplastic compositions and articles made therefrom
KR100301067B1 (ko) * 1999-08-23 2001-11-01 윤종용 마이크로 스크래치 검사방법 및 이를 적용한 장치
US6453006B1 (en) * 2000-03-16 2002-09-17 Therma-Wave, Inc. Calibration and alignment of X-ray reflectometric systems
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6532076B1 (en) * 2000-04-04 2003-03-11 Therma-Wave, Inc. Method and apparatus for multidomain data analysis
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
WO2002015238A2 (en) * 2000-08-11 2002-02-21 Sensys Instruments Corporation Device and method for optical inspection of semiconductor wafer
US6710876B1 (en) * 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6753961B1 (en) * 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6750968B2 (en) * 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) * 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
IL157691A0 (en) * 2001-03-02 2004-03-28 Accent Optical Tech Inc Line profile asymmetry measurement using scatterometry
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP2002334826A (ja) 2001-05-09 2002-11-22 Canon Inc 露光方法、面位置合わせ方法、露光装置及びデバイス製造方法
US6651825B2 (en) * 2001-06-06 2003-11-25 Fresh Creek Technologies, Inc. Disposable net assemblies for apparatus for collecting floating debris
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
AU2002337666A1 (en) * 2001-08-03 2003-02-17 Joseph A. Izatt Aspects of basic oct engine technologies for high speed optical coherence tomography and light source and other improvements in oct
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
DE10146945A1 (de) * 2001-09-24 2003-04-10 Zeiss Carl Jena Gmbh Meßanordnung und Meßverfahren
IL161274A0 (en) * 2001-10-10 2004-09-27 Accent Optical Tech Inc Determination of center of focus by cross-section analysis
US20030081216A1 (en) * 2001-11-01 2003-05-01 Martin Ebert Graphical user interface for sample positioning
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US7365858B2 (en) * 2001-12-18 2008-04-29 Massachusetts Institute Of Technology Systems and methods for phase measurements
JP4938219B2 (ja) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US7050162B2 (en) 2002-01-16 2006-05-23 Therma-Wave, Inc. Optical metrology tool having improved contrast
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148484A (en) 2002-03-04 2008-11-26 Nova Measuring Instr Ltd Optical measurements of patterned structures
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
IL149557A (en) 2002-05-09 2008-11-03 Nova Measuring Instr Ltd Optical system operating with variable angle of incidence
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7216045B2 (en) 2002-06-03 2007-05-08 Timbre Technologies, Inc. Selection of wavelengths for integrated circuit optical metrology
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
DE60319462T2 (de) * 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US6775015B2 (en) * 2002-06-18 2004-08-10 Timbre Technologies, Inc. Optical metrology of single features
CA2390072C (en) * 2002-06-28 2018-02-27 Adrian Gh Podoleanu Optical mapping apparatus with adjustable depth resolution and multiple functionality
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
AU2003267192A1 (en) 2002-09-12 2004-04-30 Cyvera Corporation Method and apparatus for aligning elongated microbeads in order to interrogate the same
CN100476599C (zh) * 2002-09-20 2009-04-08 Asml荷兰有限公司 光刻标记结构、包含该光刻标记结构的光刻投射装置和利用该光刻标记结构进行基片对准的方法
EP1400855A3 (en) 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7427521B2 (en) * 2002-10-17 2008-09-23 Timbre Technologies, Inc. Generating simulated diffraction signals for two-dimensional structures
US20040133362A1 (en) * 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
US7148959B2 (en) 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
US7369233B2 (en) * 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
AU2003298003A1 (en) 2002-12-05 2004-06-30 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7440105B2 (en) 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
WO2004055472A2 (en) * 2002-12-13 2004-07-01 Smith Bruce W Method for aberration detection and measurement
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6791679B2 (en) * 2003-02-04 2004-09-14 Timbre Technologies, Inc. Adaptive correlation of pattern resist structures using optical metrology
US7630873B2 (en) * 2003-02-26 2009-12-08 Tokyo Electron Limited Approximating eigensolutions for use in determining the profile of a structure formed on a semiconductor wafer
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US6952261B2 (en) 2003-03-31 2005-10-04 Therma-Wave, Inc. System for performing ellipsometry using an auxiliary pump beam to reduce effective measurement spot size
US7233390B2 (en) 2003-03-31 2007-06-19 Therma-Wave, Inc. Scatterometry for samples with non-uniform edges
US7274472B2 (en) * 2003-05-28 2007-09-25 Timbre Technologies, Inc. Resolution enhanced optical metrology
WO2004107415A1 (ja) 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
JP2007505322A (ja) 2003-09-12 2007-03-08 アクセント・オプティカル・テクノロジーズ・インコーポレイテッド 線プロファイル非対称性測定
US7089164B2 (en) * 2003-09-19 2006-08-08 Model Predictive Systems, Inc. Control of overlay registration
US7265850B2 (en) * 2003-10-23 2007-09-04 International Business Machines Corporation Fortified, compensated and uncompensated process-sensitive scatterometry targets
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
ATE476687T1 (de) 2003-12-19 2010-08-15 Ibm Differentielle metrologie für kritische abmessung und überlagerung
JP4734261B2 (ja) 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7215431B2 (en) * 2004-03-04 2007-05-08 Therma-Wave, Inc. Systems and methods for immersion metrology
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7224456B1 (en) * 2004-06-02 2007-05-29 Advanced Micro Devices, Inc. In-situ defect monitor and control system for immersion medium in immersion lithography
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
TWI269870B (en) * 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
WO2006091913A1 (en) * 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7277172B2 (en) 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100903831B1 (ko) * 2006-06-22 2009-06-25 에이에스엠엘 네델란즈 비.브이. 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치
KR100923543B1 (ko) * 2006-09-25 2009-10-27 에이에스엠엘 네델란즈 비.브이. 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치
KR100930654B1 (ko) * 2007-01-22 2009-12-09 에이에스엠엘 네델란즈 비.브이. 측정 방법, 검사 장치 및 리소그래피 장치
KR20150036795A (ko) * 2007-12-17 2015-04-07 에이에스엠엘 네델란즈 비.브이. 회절 기반 오버레이 메트롤로지 툴 및 방법
KR20160054606A (ko) * 2008-04-09 2016-05-16 에이에스엠엘 네델란즈 비.브이. 기판의 모델을 평가하는 방법, 검사 장치 및 리소그래피 장치
KR20110015624A (ko) * 2008-05-12 2011-02-16 에이에스엠엘 네델란즈 비.브이. 리소그래피용 검사 장치
KR20100083744A (ko) * 2009-01-13 2010-07-22 세미컨덕터 테크놀로지스 앤드 인스트루먼츠 피티이 엘티디 웨이퍼 검사 시스템 및 방법
WO2013027900A1 (ko) * 2011-08-23 2013-02-28 한국과학기술원 헤테로다인 간섭 리소그래피 장치, 그 장치를 이용한 미세패턴 형성방법, 웨이퍼 및 반도체 소자
KR20190040316A (ko) * 2016-08-23 2019-04-17 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
KR20200053604A (ko) * 2017-10-24 2020-05-18 에이에스엠엘 네델란즈 비.브이. 마크, 오버레이 타겟, 및 정렬 및 오버레이 방법

Also Published As

Publication number Publication date
US7791732B2 (en) 2010-09-07
SG10201500569RA (en) 2015-03-30
US7791727B2 (en) 2010-09-07
US20120038929A1 (en) 2012-02-16
CN1916603B (zh) 2010-11-24
EP1628164A2 (en) 2006-02-22
EP2239632B1 (en) 2021-05-05
US20140055788A1 (en) 2014-02-27
US10241055B2 (en) 2019-03-26
US20060033921A1 (en) 2006-02-16
US20190170657A1 (en) 2019-06-06
JP4357464B2 (ja) 2009-11-04
US10955353B2 (en) 2021-03-23
US11525786B2 (en) 2022-12-13
US20140233025A1 (en) 2014-08-21
EP1628164A3 (en) 2006-04-12
SG173420A1 (en) 2011-08-29
KR100697277B1 (ko) 2007-03-20
EP1628164B1 (en) 2010-10-06
US8760662B2 (en) 2014-06-24
US8054467B2 (en) 2011-11-08
CN1916603A (zh) 2007-02-21
SG139763A1 (en) 2008-02-29
TW200617372A (en) 2006-06-01
US20210208083A1 (en) 2021-07-08
JP2009204621A (ja) 2009-09-10
US20060066855A1 (en) 2006-03-30
DE602005023946D1 (de) 2010-11-18
US8553230B2 (en) 2013-10-08
US20110007314A1 (en) 2011-01-13
TWI294518B (en) 2008-03-11
JP4898869B2 (ja) 2012-03-21
JP2006060214A (ja) 2006-03-02
EP2239632A1 (en) 2010-10-13
SG120263A1 (en) 2006-03-28

Similar Documents

Publication Publication Date Title
US11525786B2 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
US8724109B2 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
US7911612B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR100939313B1 (ko) 광학 시스템의 투과 손실의 특징화 방법
US8111398B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
KR101129332B1 (ko) 검사 장치, 리소그래피 장치, 리소그래피 처리 셀 및 검사 방법
KR100930654B1 (ko) 측정 방법, 검사 장치 및 리소그래피 장치
KR20120136397A (ko) 리소그래피에 대한 검사
JP5091597B2 (ja) 検査装置、像投影装置、および基板特性測定方法
JP2009200466A (ja) 検査方法及び装置、リソグラフィ装置、リソグラフィ処理セル、並びに、デバイス製造方法
KR20110015624A (ko) 리소그래피용 검사 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130304

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150306

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160311

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170303

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20180302

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20190304

Year of fee payment: 13