JP2009204621A - 角度分解した分光リソグラフィの特徴付けの方法および装置 - Google Patents

角度分解した分光リソグラフィの特徴付けの方法および装置 Download PDF

Info

Publication number
JP2009204621A
JP2009204621A JP2009134465A JP2009134465A JP2009204621A JP 2009204621 A JP2009204621 A JP 2009204621A JP 2009134465 A JP2009134465 A JP 2009134465A JP 2009134465 A JP2009134465 A JP 2009134465A JP 2009204621 A JP2009204621 A JP 2009204621A
Authority
JP
Japan
Prior art keywords
substrate
scatterometer
lens
spectrum
radiation beam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009134465A
Other languages
English (en)
Other versions
JP4898869B2 (ja
Inventor
Boef Arie Jeffrey Den
ジェフリー デン ボエフ アリー
Arno Jan Bleeker
ヤン ブレーケル アルノ
Dommelen Youri Johannes Laurentius Maria Van
ヨハネス ローレンティウス マリア ファン ドムメレン ユーリ
Mircea Dusa
デユサ ミルセア
Antoine Gaston Marie Kiers
ガストン マリー キース アントワーヌ
Paul Frank Luehrmann
フランク ルエルマン ポール
Henricus Petrus Maria Pellemans
ペトルス マリア ペレマンス ヘンリクス
Der Sohaar Maurits Van
ファン デル シァール マウリツ
Cedric Desire Grouwstra
デザイア グロウヴシュトラ セドリック
Kraaij Markus Gerardus Martinus Van
ゲラルデュス マルティヌス ファン クラーイ マルクス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2009204621A publication Critical patent/JP2009204621A/ja
Application granted granted Critical
Publication of JP4898869B2 publication Critical patent/JP4898869B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7034Leveling

Abstract

【課題】 デバイスの製造中に、リソグラフィ技術、およびレンズの開口数が高い瞳面で角度分解スペクトルの測定を使用して、オーバレイおよび格子形状パラメータを測定する方法を提供すること。
【解決手段】 レンズの開口数が高い瞳面にて、放射線が基板で反射した結果としての角度分解スペクトルを測定することによって、基板の特性を求める装置および方法である。特性は、角度および波長に依存し、TMおよびTE偏光の強度、およびその相対的位相差を含む。
【選択図】 図1

Description

本発明は、例えばリソグラフィ技術によるデバイスの製造などに使用可能な検査の方法に、およびリソグラフィ技術を使用してデバイスを製造する方法に関する。
リソグラフィ投影装置を使用する製造プロセスにおいて、レジストの光学的特性または表面の物理的特性の変化によって、パターン(例えばマスクにおける)は少なくとも部分的に放射線感光材(レジスト)の層で覆われた基板上に描像される。あるいは、描像ステップは、エッチングした格子またはナノインプリント技術のようなレジストなしのプロセスを使用してもよい。この描像ステップに先立ち、基板は、プライミング、レジスト塗布、およびソフトベークといったような各種の工程を経る。露光後、基板は、露光後ベーク(PEB)、現像、ハードベーク、および描像した形体の測定/検査といったような他の工程を通る。このプロセスの配列は、例えばICといったようなデバイスの個々の層にパターンを形成するための基準として使用される。このようなパターン形成された層は、それから、全て個々の層を仕上げる目的である、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械的研磨等といった種々のプロセスを経る。数枚の層が必要とされる場合には、全体プロセス、もしくはその変形をそれぞれの新しい層に繰り返す必要がある。最終的に、デバイスのアレイが基板(ウェハ)上に存在する。次に、これらのデバイスはダイシングやソーイングといったような技法で相互より分離される。それから個々のデバイスは、キャリアに装着されたり、ピンに接続されたりし得る。
レジスト(またはエッチングの場合は基板表面)の現像後の測定および検査ステップは、製品基板を処理する通常の過程で実施されるのでインラインと呼ばれ、通常は2つの目的を果たす。最初に、現像したレジストのパターンに欠陥がある目標区域がある場合、それを全て検出することが望ましい。十分な数の目標区域に欠陥がある場合は、欠陥のあるパターンでエッチングなどのプロセスのステップを実行して、欠陥を永久的なものにするのではなく、パターン形成したレジストから基板を剥がして、望ましくは適正に再度露光することができる。第二に、測定によって、照明の設定または露光量などのリソグラフィ装置のエラーを検出し、その後の露光のために補正することができる。しかし、リソグラフィ装置の多くのエラーは、レジストに印刷されたパターンから容易に検出したり、定量化したりすることができない。欠陥の検出は、必ずしも直接的に原因に結びつかない。したがって、リソグラフィ装置のエラーを検出し、測定するために、様々なオフラインの工程が知られている。それは、基板を測定デバイスと交換するか、例えば様々な異なる機械の設定で、特殊な試験パターンの露光を実行することを含む。このようなオフライン技術は往々にして長い時間がかかり、その間、測定結果を入手できるまで装置の最終製品は品質が分からない。したがって、リソグラフィ装置のエラーを検出し、測定するために、生産の露光と同時に実行できるインライン技術が、通常は好ましい。
スキャッタメータは、CDおよびオーバレイのインライン測定に使用できる光学的測定技術の一例である。主に2つのスキャッタメータの技術がある。
(1)分光スキャッタメータは、波長の関数として固定角度にて散乱光の特性を測定し、通常はキセノン、重水素、またはキセノンアーク灯のようなハロゲン系光源のような広帯域光源を使用する。固定角度は、垂直入射か斜め入射でよい。
(2)角度分解スキャッタメータは、入射角の関数として固定波長にて散乱光の特性を測定し、通常は単一波長の光源としてレーザを使用する。
反射したスペクトルを生じさせる構造を、例えばリアルタイム回帰を使用して、またはシミュレーションによって得たパターンのライブラリと比較することによって再構築する。再構築は、費用関数の最小化を伴う。両方のアプローチは、周期的構造によって光の散乱を計算する。最も一般的な技術は厳密結合波解析(RCWA)であるが、光の散乱は、時間領域差分法(EDTD)または積分方程式技術のような他の技術でも計算することができる。
既知の角度分解スキャッタメータ技術の問題は、1回に1つの波長しか検出しないことであり、したがって複数の波長があるスペクトルは、その波長を時分割多重化しなければならず、スペクトルの検出および処理にかかる全取得時間が増加してしまう。分光スキャッタメータでは、etendueが大きい延長光源を使用する。小さい格子を入射角の小さい広がりで照明しなければならないので、この拡張光源からの大量の光が無駄になる。その結果、検出器上の光のレベルが低くなって、取得時間が長くなり、スループットにマイナスの影響を及ぼす。短い取得時間を選択すると、測定結果が安定しないことがある。
したがって、例えばデバイスの製造中に、リソグラフィ技術、およびレンズのNA(開口数)が高い瞳面(または後部焦点面)で角度分解スペクトルの測定を使用して、オーバレイおよび格子形状パラメータ(格子の非対称性およびアラインメントなど)を測定する方法を提供すると有利である。補正または補償するために、投影システムの収差なども測定することができる。
本発明の実施形態は、複数の波長で角度分解したスペクトルを同時に測定し、角度分解スキャッタメータの浸漬スキャッタメータ法および焦点測定を実行して、2次元検出器アレイで放射線ソースの強度ノイズを測定することができるハードウェアを含むことができる。さらに、本発明の実施形態は、散乱光の非対称性を測定することによってオーバレイを測定することと、散乱光のレイリーの異常性および高い回折次数を通して小さい線形変動を測定することとを含む、ハードウェアの用途も含むことができる。
本文ではICの製造における本発明による装置の使用に特に言及しているが、このような装置には他にも多くの用途があることは明確に理解されるべきである。例えば、これは、集積光学装置、磁気ドメインメモリ用ガイダンスおよび検出パターン、液晶ディスプレイパネル、薄膜磁気ヘッド等の製造に使用され得る。こうした代替的な用途においては、本文にて使用した「レチクル」、「ウェハ」および「ダイ」といった用語は、それぞれ「マスク」、「基板」および「目標部分」といった、より一般的な用語に置き換えて使用され得ることが当業者には理解される。
本明細書では、「放射線」および「ビーム」という用語は、イオンビームあるいは電子ビームといったような粒子ビームのみならず、紫外線(UV)放射線(例えば、365nm、248nm、193nm、157nm、あるいは126nmの波長を有する)および超紫外線(EUV)放射線(例えば、5nm〜20nmの範囲の波長を有する)を含むあらゆるタイプの電磁放射線を網羅するものとして使用される。
本発明の実施形態を添付の略図を参照に、例示の方法においてのみ説明する。図面では対応する参照記号は対応する部品を示すものとする。
本発明の実施形態による方法の実行に使用するリソグラフィ投影装置を示したものである。 スキャッタメータを示したものである。 本発明の実施形態により、レンズのNAが高い瞳面で角度分解したスペクトルを測定することの一般的動作原理を示したものである。 図4aおよび図4bは、オーバレイを決定する際に本発明の実施形態を使用することを示したものである。 本発明の実施形態により、放射線ビームの一部を分離する非分極性ビーム分割器の使用を示したものである。 本発明の実施形態による波長マルチプレクサを示したものである。 本発明の実施形態による波長デマルチプレクサを示したものである。 本発明の実施形態による中間オブジェクト面のナイフエッジを示したものである。 図9aおよび図9bは、本発明の実施形態による検査ビームの成形隠蔽部を示したものである。 本発明の実施形態による散乱スペクトルの異なる回折次数の検出像を示したものである。 本発明の実施形態により2つの照明スポットを有するスキャッタメータを示したものである。 本発明の実施形態による楕円偏光計を示したものである。 本発明の実施形態により瞳面および像面で像を検出するスキャッタメータを示したものである。 格子のピッチの2倍の格子オーバレイを示したものである。
図1は、本発明の実施形態による方法に使用可能なリソグラフィ投影装置を概略的に示したものである。この装置は、
− 放射線(例えばDUV放射線)の投影ビームPBを供給し、この特定のケースでは放射線ソースLAも有する放射線システムEx、ILと、
− マスクMA(例えばレチクル)を保持するマスクホルダが設けられ、かつ、品目PLに対して正確にマスクの位置決めを行う第一位置決めデバイスに連結を行った第一オブジェクトテーブル(マスクテーブル)MTと、
− 基板W(例えばレジスト塗布したシリコンウェハ)を保持する基板ホルダが設けられ、かつ、品目PLに対して正確に基板の位置決めを行う第二位置決めデバイスに連結を行った第二オブジェクトテーブル(基板テーブル)WTと、
− マスクMAの照射部分を基板Wの目標部分C(例えば、1つあるいはそれ以上のダイから成る)に描像する投影システム(「投影レンズ」)PL(例えば屈折レンズシステム)PLを有する。
ここで示しているように、本装置は透過タイプである(例えば透過マスクを有する)。しかし、概してこれは、例えば反射タイプでもよい(例えば反射マスクを有する)。あるいは、装置は、上記で言及したようなタイプのプログラマブルミラーアレイなど、別の種類のパターニングデバイスを使用してもよい。
ソースLA(例えばエキシマレーザ)は放射線のビームを生成する。このビームは、直接的に、または例えばビーム拡大器Exのような調整手段を横断した後に、照明システム(照明装置)ILへと供給される。照明装置ILは、ビームの角度強度分布の外部および/または内部放射範囲(一般的にそれぞれ、σ−outerおよびσ−innerと呼ばれる)を設定する調節手段AMを有してよい。また、これは一般的に、積分器INおよびコンデンサCOのような様々な他の構成要素を有する。この方法で、マスクMAに入射するビームPBは、その断面に亘り所望する均一性と強度分布とを有する。
図1に関して、ソースLAはリソグラフィ投影装置のハウジング内にある(これは例えばソースが水銀ランプである場合に多い)が、しかし、リソグラフィ投影装置から離して配置することも可能であることを注記する。これが作り出す放射線ビームは(適した誘導ミラーの助けにより)装置内に導かれる。この後者のシナリオでは、ソースLAがエキシマレーザーである場合が多い。本発明および請求項はこれら両方のシナリオを網羅するものである。
投影ビームPBはその後、マスクテーブルMT上に保持されているマスクMAに入射する。ビームPBはマスクMAを横断して、基板Wの目標部分C上にビームPBを集束するレンズPLを通過する。第二位置決めデバイス(および干渉計測定デバイスIF)の助けにより、基板テーブルWTは、例えばビームPBの経路における異なる目標部分Cに位置を合わせるために正確に運動可能である。同様に、第一位置決めデバイスを使用して、例えばマスクライブラリから機械的に検索した後に、あるいは走査中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般的に、オブジェクトテーブルMT、WTの運動は、図1では明示的に図示されていないロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)の助けで実現される。しかし、ステッパの場合(走査ステップ式装置とは対照的に)、マスクテーブルMTはショートストロークアクチュエータに連結されるだけであるか、あるいは固定される。
ここに表した装置は2つの異なるモードにて使用可能である。
1.ステップモードにおいては、マスクテーブルMTは基本的に静止状態に保たれている。そして、マスクの像全体が1回の作動(すなわち1回の「フラッシュ」)で目標部分Cに投影される。次に基板テーブルWTがX方向および/あるいはY方向にシフトされ、異なる目標部分CがビームPBにより照射され得る。
2.スキャンモードにおいて、基本的に同一シナリオが適用されるが、但し、ここでは、所定の目標部分Cは1回の「フラッシュ」では露光されない。代わって、マスクテーブルMTが、速度vにて所定方向(いわゆる「走査方向」、例えばY方向)に運動可能であり、それによってビームPBがマスクの像を走査する。これと同時に、基板テーブルWTが速度V=Mvで、同一方向あるいは反対方向に運動する。ここで、MはレンズPLの倍率(一般的にM=1/4あるいは1/5)である。このように、解像度を妥協することなく、比較的大きな目標部分Cを露光することが可能となる。
基板6の表面の1つまたは複数の特性は、図2で示したようなスキャッタメータを使用して求めることができる。実施形態では、スキャッタメータは広帯域(白色光)放射線ソース2を有し、これは放射線を基板6へと配向する。拡張広帯域放射線ソースは、少なくとも50nmの波長の放射線ビームを基板表面に提供するように構成することができる。反射した放射線が分光計検出器4へと渡り、これが鏡面反射放射線のスペクトル10(波長の関数としての強度)を測定する。このデータから、検出したスペクトルを生じさせる構造または輪郭を、例えば厳密結合波解析および非線形回帰によって、または図2の底部で示したようなシミュレーションしたスペクトルのライブラリと比較することにより、再構築することができる。概して、再構築するためには、構造を作成したプロセスの知識から、構造の一般的形状が分かって、幾つかのパラメータが仮定され、スキャッタメータのデータから判断すべき構造のパラメータは幾つかしかない。
スキャッタメータは、垂直入射スキャッタメータまたは斜め入射スキャッタメータでよい。反射を、1つの角度で、ある範囲の波長で測定するのではなく、ある範囲の角度で、1つの波長で測定するスキャッタメータ測定の変数も使用することができる。
以下で説明する1つまたは複数の実施形態では、レンズのNAが高い瞳面40で、図3で示すように複数の角度および波長にて基板表面6から反射した角度分解スペクトルの特性を測定することによって、基板の特性を測定するように構成されたスキャッタメータを使用する。スキャッタメータは、放射線を基板に投影するように構成された放射線ソース2、および反射したスペクトルを検出するように構成された検出器32を有する。瞳面は、放射線の半径方向の位置が入射角を画定し、角度位置が、放射線の方位角および任意のほぼ共役の面を画定する面である。検出器32は、レンズのNAが高い瞳面に配置される。NAは高く、実施形態では少なくとも0.9または少なくとも0.95である。浸漬スキャッタメータは、NAが1を超えるレンズを有してもよい。
以前の角度分解スキャッタメータは、散乱光の強度しか測定していなかった。本発明の実施形態により、ある範囲の角度で幾つかの波長を同時に測定することができる。様々な波長および角度についてスキャッタメータが測定する特性は、横方向磁気(TM)および横方向電気(TE)偏光の強度、およびTM偏光とTE偏光との位相差を含む。
広帯域光源(つまり広範囲の光の周波数または波長、したがって色を有する光源)の使用が可能であり、これは大きいetendueを与え、複数の波長を混合できるようにする。広帯域光の複数の波長は、実施形態ではそれぞれ例えばδλの波長を、したがって少なくとも2δλ(つまり波長の2倍)の間隔を有する。幾つかの放射線「ソース」は、例えばファイバ束などを使用して分割してある拡張放射線ソースの様々な部分でよい。この方法で、角度を分解した散乱スペクトルを、複数の波長で並列に測定することができる。3次元スペクトル(波長および2つの異なる角度)を測定することができ、これは2次元スペクトルより多くの情報を含む。これによって、さらなる情報を測定することができ、計測プロセスの堅牢性が向上する。
本発明の実施形態のスキャッタメータを図3で示す。レンズシステムL2を使用して、干渉フィルタ30を通して光源2を集束し、顕微鏡の対物レンズL1を介して基板6上に集束させる。次に、放射線は、散乱スペクトルが検出されるために、部分的に反射性の表面34を介して反射して、投影された黒色瞳面40のCCD検出器に入る。瞳面40は、レンズシステムL1の焦点長さにある。検出器およびNAが高いレンズを瞳面に配置する。瞳面は、補助光学系で再描像することができる。NAが高いレンズの瞳面が、通常はレンズの内側に配置されるからである。
反射器光の瞳面を、例えば1フレーム当たり40ミリ秒という積分時間でCCD検出器に描像する。この方法で、基板目標の2次元角度散乱スペクトルを検出器に描像する。検出器は、例えばアレイ状のCCD検出器またはCMOS検出器でよい。スペクトルを処理すると、対称の検出構成が与えられ、したがってセンサを回転対称にすることができる。これによって、コンパクトな基板テーブルを使用することができる。基板上の目標を、センサに対する任意の回転方向で測定できるからである。基板上の全目標を、基板の平行移動と回転との組み合わせによって測定することができる。
例えば405〜790nmの範囲、または200〜300nmのようにさらに低い範囲で、問題の波長を選択するために、1組の干渉フィルタ30が使用可能である。干渉フィルタは、1組の異なるフィルタを有するのではなく、調整可能である。1つまたは複数の干渉フィルタの代わりに、格子を使用することができる。
基板6は(または反射表面34さえ)格子でよい。現像後にレジストの実線で一連のバーが形成されるように、格子を印刷することができる。バーは、基板に交互にエッチングすることができる。このパターンは、リソグラフィ投影装置、特に投影システムPLのコマ収差の影響を受けやすく、照明の対称性およびこのような収差の存在は、印刷された格子の変動として現れる。したがって、印刷された格子のスキャッタメータのデータを使用して、格子を再構築する。線幅および形状のような格子の1つまたは複数のパラメータは、印刷ステップおよび/または他のスキャッタメータ測定プロセスの知識から、再構築プロセスに入力することができる。
長方形のスリットがある透過性金属格子では、複雑な複合光バンド構造(CPBS)が、強い不連続性を呈するように図示され、これはウッド・レイリー異常に位置して、2タイプの共鳴を呈し、これは水平および垂直表面プラズモン共鳴と呼ばれる。スペクトルの位置およびスペクトルのピークの幅は、水平および垂直共鳴の両方について、CPBSから直接抽出することができる。この方法で、透過性金属格子から来る放射線により、スペクトルを分析し、ウッド・レイリー異常に位置する強い不連続性によって格子の1つまたは複数の特性を求めることができる。ウッド・レイリー異常は、波長または入射角の変動により生じ、追加の伝播回折次数を与える。ビーム幅が大きいほど、ビームの横方向変位が大きくなる。
本発明の実施形態はスペクトルを検出して、対称の瞳面像を生成し、ここから不連続性を測定し、したがって1つまたは複数の格子特性を計算することができる。
本発明の実施形態によると、スキャッタメータは、反射したスペクトルの非対称性を測定することによって2つの位置合わせ不良の周期的構造のオーバレイを測定するような構成であり、非対称性はオーバレイの範囲に関係する。
実施形態では、スキャッタメータは、反射したスペクトルおよび/または検出構成の非対称性を測定することによって2つの位置合わせ不良の格子または周期的構造のオーバレイを測定するような構成であり、非対称性はオーバレイの範囲に関係する。対称性検出構成のせいで、非対称性が明白に識別可能である。これは、格子の位置合わせ不良を測定する単純明快な方法を提供する。
使用する1タイプの基板パターンを図4に示す。格子14は、その頂部に印刷された第二格子12を有する。格子12が格子14に対してオフセットする量は、オーバレイ22として知られる。
図4aで示す実施形態では、放射線ソース2が、垂直表面に対して対称にオブジェクトを照明し、スキャッタメータの検出器が幾つかの角度から散乱放射線を測定するが、斜めの角度からオブジェクトを照明するソースも可能である。
オーバレイ計測は、角度散乱スペクトルの非対称性の測定に基づく。対称性構造は、対称角度のスペクトルを生じ、目標の非対称性は、角度散乱スペクトルの非対称性として現れる。この特性は、角度分解したスキャッタメータ測定を使用するオーバレイ計測に基づく。
幅20のバーで作成され、重なるが、位置合わせ不良の2つの格子12および14が、1つの非対称の複合目標を形成する。その結果生じる角度散乱スペクトルの非対称性を、図3で示す角度分解スキャッタメータ4で検出し、以下の方法でオーバレイ22を導くために使用する。
2つの格子対を、第一および第二対それぞれに+dおよび−dの意図的なバイアスを設けて使用する。つまり、格子12は(図4で示すように)一方の対では一方向に、他方の対では反対方向(図示せず)にシフトする。したがって、各対の格子間にある実際の横方向シフトは、X1=OV+dおよびX2=Ov−dであり、OVはオーバレイ22である。
格子対を位置合わせする場合は、オーバレイが0であり、格子に入射する照明の強度がIillであり、格子から反射する放射線の強度が、第一方向でI+l、反対方向であるが、同じ面ではI-1である場合、オーバレイOV=0であると、下式のようになる。
Figure 2009204621
しかし、OV≠0である場合は、下式のようになる。
Figure 2009204621
小さいオーバレイの場合は、強度の差がオーバレイに比例する。
Figure 2009204621
Kは定数であり、プロセスに依存し、したがって未知である。
本発明の実施形態によるスキャッタメータでオーバレイ計測を校正するために、2つの格子目標を使用する。一方は図4bで示すオーバレイを有して、2番目はちょうど反対のオーバレイを有し、したがって上部格子12は、底部格子14に対して右側ではなく左側に変位する。第一設定のオーバレイはOV+d(図4bの距離22)であり、第二設定のオーバレイはOV−dである。
したがって、OV+dの場合は、非対称性が
Figure 2009204621
となり、OV−dの場合は、非対称性が
Figure 2009204621
となる。
換算係数Kは下式のように省略することができる。
Figure 2009204621
したがって、オーバレイは角度分解散乱スペクトルの非対称性の測定値を使用して計算することができる。
以前に知られていた方法と比較したこの方法の利点は、2つの格子しか必要ないことである。さらに、原則的に、方法は2次元格子でも働くことができる。その場合、複素数(x,y)オーバレイ測定には2つの格子しか必要でない。これは、分光スキャッタメータ測定方法が使用している6つの格子などと比較して、大幅な改善である。
2次元格子を使用したxyオーバレイ計測の分析は、以下の通りである。
2つの格子は、f(x,y)およびg(x,y)という振幅透過を有する。これらの格子は2方向で周期的であり、したがってその透過はフーリエ級数として書くことができる。
Figure 2009204621
両方の格子は等しい周期を有し、単純にするために、格子の周期は、以下の計算について2πに正規化されている。係数Fn,mおよびGp,qは、格子の形状、波長および極性に依存する回折効率と解釈することができる。2つの格子は、x方向およびy方向それぞれにてx0およびy0の相対的オーバレイで重なる。合計透過率tは、下式のように書くことができる。
Figure 2009204621
ここで、
Figure 2009204621
である。
変数は以下のように調節することができる。
p+n=a⇒p=a−n
q+m=b⇒q=b−m
t(x,y)のフーリエ級数にこれらの式を代入すると、下式が生成される。
Figure 2009204621
ここで、
Figure 2009204621
である。Ta,bは、回折次数(a,b)の振幅と解釈することができる。この振幅は概ね、xおよびy方向でのオーバレイに依存することが分かる。
単純にするために、x方向に通る回折次数のみを考察する。以下の分析は、y方向の回折次数でも実行することができる。それには、変数を調節するだけでよい。
x方向に通る回折次数ではb=0であり、したがって2つの回折次数aおよび−aの振幅では、下式となる。
Figure 2009204621
合計の前に因数e±jax0を入れると、下式が与えられる。
Figure 2009204621
両方の格子がx方向で対称であると仮定すると、下式になる。
Figure 2009204621
この特性を使用すると、下式の回折振幅が与えられる。
Figure 2009204621
スキャッタメータは、回折フィールドの強度を測定し、下式を与える。
Figure 2009204621
この式を評価すると、強度を下式の形態で書けることが分かる。
Figure 2009204621
ここで、振幅Bn,mおよび位相εn,mは、格子の形状、照明の波長および照明の極性に依存する。+1と−1の次数の差を入れると、x方向に通る非対称性Axが与えられる。
Figure 2009204621
実際には、オーバレイは格子のピッチと比較すると小さい。例えば、ピッチは往々にして1μmのオーダーであり、最大オーバレイは60nmのオーダーである。したがって、上式を線形化し、x0およびy0の一次項のみを保持することができる。
Figure 2009204621
ここで、
Figure 2009204621
である。
結合項があることが分かる。x方向の非対称性は、結合項Kxyを介したyオーバレイの関数でもある。2次元格子が90°の回転対称性を有し、光が45°で偏向すると、xおよびy方向の非対称性を下式のように書くことができる。
Figure 2009204621
これらの式は、2次元格子対でのxyオーバレイ計測の基盤である。第一格子対では、+dのバイアスを上部格子に導入し、第二格子対では、−dのバイアスを導入する。このバイアスを、x方向とy方向の両方に適用する。これで、4つの非対称性の項を測定することができる。第一格子対のxおよびy非対称性、および第二格子対のxおよびy非対称性は、下式のように示される。
Figure 2009204621
これは、4つの未知数K0、Kxy、OVxおよびOVyがある4つの非線形式を与え、これを解いてオーバレイを与えることができる。
実施形態では、1つまたは複数の開口をスキャッタメータに設けて、1つまたは複数の格子パターンを生成した場合のリソグラフィ露光状態を模倣することができる。次に、スキャッタメータを使用して、1つまたは複数の格子パターンの角度分解した分光像の生成に、開口を使用することができる。
実施形態では、基板と検出器の間にあるスペースの少なくとも一部を液体に、特に図3で示すようなレンズL1と基板6の間にあるスペースを浸漬することが可能である。液体は水でよい。これは、基板6とレンズL1の間にある媒質の空間帯域を増加させるという利点を有する。つまり、例えば空気中などで消失するような回折が伝播し、レンズによって捕捉される。したがって、空間を浸漬すると、例えばスペースに空気がある場合より、調査中の格子に関してより詳細な情報を含む、より高い回折次数を検出することが可能になる。
スキャッタメータの開口数(NA)は、少なくとも0.9、さらには0.95または1を超えることが好ましい。
L1とオブジェクトとの間のスペースを高い屈折率の流体で浸漬すると、媒質の空間帯域が増大し、そのためにより小さいピッチでより高い回折次数の伝播が可能になる。伝播する1次スペクトルを生成する最小ピッチはλ/(2NA)である。NAが1.3と等しく、λが400nmと等しいと仮定すると、154nmという最小ピッチが与えられる。これは、約20から80nmという限界寸法(CD)または再構築された格子幅に対応する。図2で示すようなプロフィールを調べると、限界寸法はピークの平均幅であり、ピッチはピークから次のピークまでの距離である。
浸漬流体は、例えば基板6上にあるレジストなどに対して指数の段階が大きくなければならない。それによって、検出器の像のコントラストを最大にすることができる。このような要件を満足する可能性がある液体は水である。
図5は、本発明の実施形態により、ソースの出力強度および散乱した放射線の強度を監視するために1つの同じ検出器を使用することを示し、これによって同期化の問題が回避され、ソースの出力変動をリアルタイムで補償することができる。
スキャッタメータは、同じ検出器で別個に測定するために、放射線ソースから放出された放射線ビームの一部を結合するための非分極性ビーム分割器および傾斜ミラーを有してよい。実施形態では、放射線ビームの一部を使用して、放射線ビームの強度を測定し、スキャッタメータは、放射線ビームの強度の変動を補償するような構成にすることができる。主要な測定ビームとともに強度測定ビームに同じCCD検出器を使用することの利点は、余剰検出器を必要とせず、したがって基準センサと計測センサとの間に光学的特性および熱特性の差がなく、基準信号をトリガし、読み出して記憶するための余剰電子機器を必要としないことである。
放射線経路にある非分極性ビーム分割器50は、2次元検出器32に散乱放射線を描像する。余剰レンズがCCD検出器に瞳面を再描像する。検出器に入射する強度を像36として示す。非分極性ビーム分割器50は、放射線ビームの一部を結合して、これを強度ノイズの監視に使用する。この放射線部分を別個の検出器で測定するのではなく、傾斜ミラー52を使用して光を逆反射し、同じ検出器32の異なる部分に転送する。任意選択の瞳ストップ54が、放射線部分の範囲を制限し、ミラーの傾斜は、放射線が主要放射線ビームとともに投影されることを保証する。スペクトルは、L1の瞳面で検出器32に描像される。
以前の方法では、角度分解したスキャッタメータ測定を、1つの波長で実行していた。異なる波長での測定が、順番に実行され、異なる波長は時間を多重化する。しかし、波長の時間多重化はスループットを劣化させることがある。
実施形態では、スキャッタメータが、放射線ソースと基板との間の波長マルチプレクサ、および基板と検出器との間のデマルチプレクサを有する。これによって、幾つかの異なる波長(または色)を同時に測定することができ、より短い時間枠でより多くの情報を与え、したがって上述したような堅牢性を与える。波長マルチプレクサは、背面投影したオブジェクト面に配置された分散性要素、または瞳面に配置された分散性要素を有してよい。
放射線ソースの表面積は、N個の部分に分割することが好ましく、これはそれぞれ波長マルチプレクサに結合され、Nは離散的波長の数である。この分割は、例えばファイバ束などで実行することができる。
実施形態では、マルチプレクサは、背面投影したオブジェクト面に配置された分散性要素を有する。分散性要素は、N個の離散的波長に対応するような構成である格子またはプリズムでよく、それぞれδλの帯域、および少なくとも帯域の2倍、つまり2δλの間隔を有する。これは、拡張光源の使用を最大にすることができる。同時に実行できるので、異なる波長の測定をもはや時間多重化する必要がなく、したがって主要な利点はスループットが増加することである。
実施形態では、デマルチプレクサが瞳面に配置された分散性要素を有する。1つまたは複数の光学楔をオブジェクト面に挿入して、瞳面の角度分解したスペクトルを明快に分離することができる。
実施形態では、キセノン、重水素またはクォーツタングステンハロゲン光源などの拡張広帯域放射線ソースを使用する。これらのソースは、離散的波長に分割できる表面積を与え、上述したようにさらなる情報を提供する大きいetendueを有する。波長は、193nmから800nmの範囲でよい。
実施形態では、N個の離散的波長を組み合わせる分散性プリズムまたは格子を、照明ブランチ(またはソース2と図2の基板6との間にある放射線経路)にて使用し、格子またはプリズムを検出ブランチ(または基板6と検出器4との間にある放射線路間のスペース)にて使用し、波長を空間的に分離する。
多重化格子の一例を図6に示す。2つの光源S1およびS2がレンズシステムL2を透過し、オブジェクト面42にあるリトロー配置格子16に入射し、瞳面40に集束してから、レンズシステムL1を透過して別のオブジェクト面42に至り、任意選択で照明ファイバ60に入る。瞳面は、適切な寸法の長方形の開口を含み、幅が格子に入射する光の角度範囲を決定する。この角度範囲および格子のピッチが、瞳面の開口を介して透過した戻り光の帯域を決定する。例えば、1ミリメートル当たり1200本の線がある格子は、約1.2mrad/nmの分散を生じする。4nmの有効帯域は、3.33mradという照明ビームの全角度幅に対応する。基板6のスポットサイズは約40μmであり、そのNAは0.95である。したがって、格子上のビームの直径は約23mmである。レンズL1の焦点長さが100mmである場合、瞳面における開口穴の幅は333μmでなければならない。照明ファイバを使用する場合は、照明NAが約0.22でなければならない。
一度に3つ以上の放射線ソース(波長が異なる)を使用してよいことが明白である。
図7は、検出ブランチにある波長デマルチプレクサの例を示す。単純にするために、ここでも2つの波長のみの分離を示す。デマルチプレクサはマルチプレクサに類似しているが、格子はオブジェクト面ではなく瞳面に配置される。リトロー配置格子16の格子によって回折する光は、レンズL2によって透過し、これはオブジェクト面に波長λ1およびλ2の2つのオブジェクト像を作成する。この面は、n個の穴(この場合はn=2)があるフィールドストップを含み、これは、スペクトルへの妨害を回避するために、空間的フィルタリングを避けるのに十分なほど広くなければならない。フィールドストップ40の各穴は、楔62も有し、楔の角度は一意である。この楔62は、各波長の角度分解散乱スペクトルが、CCD検出器32の異なる部分に描像されることを保証する。CCD検出器は第二瞳面40にベースがある。
楔62は光を2方向に屈曲できるので、多くの角度分解スペクトルでのCCD検出器の効率的な充填を実現することが可能である。
再現可能な結果を獲得するために、目標は良好に集束しなければならない。それを達成するために、対物レンズのNAが高い瞳面40を、本発明の実施形態による図8で図示したような二重テレセントリック系システムで検出器に描像する。実施形態ではNAが高く、好ましくは少なくとも0.9である。
中間オブジェクト面42のナイフエッジ70は、中間オブジェクト像の半分を遮断する。エッジはフーコーのナイフエッジでよい。
ナイフエッジは、放射線の像を基板に集束するのに役立つ。方向ごとに、瞳面40の外部領域(または実際的に言うと2つの半分部分)の強度をサンプリングする。フーコーの場合は、強度I1とI2の差が生成される。焦点Fが下式のように与えられる。
Figure 2009204621
比例因子kは像に依存し、1回しか求める必要がないが、積分フィードバックループに焦点センサを使用できるので、kの正確な値は重要ではない。
照明ソースは必ずしも均質ではなく、基板の精密な露光を保証するために、校正し、補正しなければならない。不均質性は、照明ソース自体から、または照明経路にある反射鏡の表面コーティングの粗さによって引き起こされることがある。以前は、アルミニウムのミラーを使用して、照明ビームの正規化を実行してきた。しかし、この正規化は、測定すべきオブジェクト(つまり格子または基板)がより高い回折次数を生成する場合は失敗する。これは、オーバレイ用途においてツールによって誘発されるシフトのエラーを引き起こす。
したがって、実施形態では、スキャッタメータ測定システムはさらに、照明ビームにある1つまたは複数のミラーを有する。特に、ミラーは基板テーブルで基準となってよく、アルミニウムで作成することができる。これらのミラーは、異なる角度で反射する少なくとも2つの像を生成するために、傾斜するか、異なる傾斜角度で存在する。傾斜角度毎に、検出されるスペクトルは傾斜の角度と同じ方向にシフトする。これらの像が検出され、微分方程式に組み込まれ、そこから放射線ビームの照明プロフィールを決定することができる。その結果の照明プロフィールを使用して、より高い回折次数での反射スペクトルの特性を正確に測定する。
測定した信号M0(k)は、下式のように表される。
Figure 2009204621
ここで、
A(k)は、瞳面の位置kにおける未知の表明強度であり、
B(k)は、センサの検出ブランチにおける未知の光学的損失であり、
±Nは、格子オブジェクトのN次の回折効率である。
実際には、不均質な照明ビーム、および照明経路の光学系およびコーティングの表面粗さがゆっくり変化するので、照明の強度が変化する。光学コーティングの表面粗さは、瞳面の照明ビームの粒状の外観を生じる。
基準測定は、反射性が高いアルミニウムミラーによって実行され、これは以下の信号測定値を生成する。
Figure 2009204621
オブジェクトの測定値を基準値で正規化すると、下式が生成される。
Figure 2009204621
検出ブランチの損失は、この正規化によって解消されることが分かる。
しかし、照明の不均質性は、ゼロの回折次数(つまり鏡面反射)についてのみ解消される。より高い回折次数では、下式の形態の未知のエラー項が残る。
Figure 2009204621
この項を解消するために、照明プロフィールA(k)を以下で検討するように校正しなければならない。
ミラーは、1枚の凸面鏡または凹面鏡でよく、あるいは検出中にある範囲の角度で積極的に傾斜させる平面鏡でよい。あるいは、異なる傾斜角度のある範囲のミラーでよい。測定した反射角度は、半径方向(傾斜の大きさを変化させる)または全方向(傾斜の方向を変化させる)でよい。
次に、微分方程式を求めるために使用する方法を1次元で説明する。2次元への拡張は些細なことである。
基準ミラーを、ミラーの2つの小さい対向する傾斜±θMについて1mradのオーダーで測定する。この傾斜の結果、測定した瞳像がシフトする。したがって、わずかにシフトした2つの像が測定される。
Figure 2009204621
ここで、Δは瞳面のシフトであり、これは概ね、瞳面における位置kに依存する。無球面収差システムの場合は、下式となる。
Figure 2009204621
数28のCは、反射した強度の再分布を明らかにし、無球面収差システムの場合は、下式となる。
Figure 2009204621
Figure 2009204621
ここでMおよびMは、それぞれ小さいプラスの傾斜および小さいマイナスの傾斜で測定したスペクトルである。
ここで、Qの下付き文字「M」は、測定したデータに関することを強調するために使用されている。小さい傾斜では、近似は下式のようになる。
Figure 2009204621
この線形化を使用すると、Qについて以下の微分方程式が生成される。
Figure 2009204621
この式は容易に解かれ、下式が生成される。
Figure 2009204621
以上の微分は、2次元へと容易に拡張することができる。実際には、測定したデータは連続的ではなく、ディジタル化されたサンプリングデータである。しかし、これは上記で得られた概念を変更するものではない。
実際には、アクチュエータを使用して機械的に傾斜させた平面鏡を使用してもよい。より優雅で単純な方法は、曲率半径Rおよび横方向位置xを有する凹面鏡または凸面鏡を使用することである。湾曲したミラーの局所的な高さzは、下式によって記述される。
Figure 2009204621
表面θの局所的な傾斜は、横方向位置xとともに線形に拡大縮小する。
Figure 2009204621
したがって、基板テーブル上の凸または凹球面アルミニウム基準により、校正が単純なものになる。基準を検出器の下の適切な位置へと移動することによって、適切な傾斜が単純に達成されるからである。本発明の実施形態は、基板との共役面に環状強度分布を有する放射線ビームを使用する。環状強度分布を生成するために、放射線ソースは機械的ブレード、空間光変調器または空間コヒーレンス広帯域レーザおよびズームアキシコン(つまりレーザ光のリングを生成する)を有してよい。環状放射線ビームは、θが小さい照明を有することが好ましい。
環状放射線を実現することは、例えばブレードを挿入するより有利である。というのは、ほぼ全ての光子を「使用」するので、光の損失がないからである。これは、UVまたはDUVのような光源を使用する場合、特に重要である。というのは、光子がより豊富な光源より放出する量が少なく、したがってこれらの光子を多数失うと、より目立つからである。特に、これは信号収集において顕著である。リソグラフィのツールは、光の強度が低下すると、特定量の遅延を被るからである。環状光源は、ブレードと異なり内部反射を引き起こさないというさらなる利点を有する。内部反射は、光のアーティファクトを回避するために遮断する必要がある。言うまでもなく、同じ利点を提供する四重極照明のような他の照明技術を使用してもよい。
環状放射線の環は、レンズのNAが高い瞳面に配置することが理想的である。しかし、瞳面は、直接にアクセス可能でなく、実際には環はスキャッタメータの照明ブランチにある瞳面の背面投影像に配置される。環状照明の利点は、λ/NAのオーダーという小さいピッチの格子の±1回折次数の強度を、別個に測定できることである。
この実施形態は、放射線ビームに成形した隠蔽部を配置し、基板傾斜の変動によって引き起こされる基板上の成形隠蔽部の幅および形状の変化を検出することによって、基板傾斜の変動を計算するために使用することができる。成形した隠蔽部は、例えば図9aおよび図9bで示すようなクロスヘアなどでよい。言うまでもなく、これは任意の他の形状でよく、瞳面の中心にある必要はない。
ウェハの傾斜を測定するという概念は、ウェハ面の傾斜が瞳面にシフトを引き起こすという基本的関係に基づく。この実施形態では、クロスヘア状の隠蔽物を照明ビームの中心に配置する。これは図9aで示すように瞳面における散乱光に黒いクロスヘアを生成する。
このクロスの位置は、基板の傾斜が変化すると変動する。その結果、(ゼロの傾斜における)このパターンと、未知の傾斜における実際の測定値との間の差を測定して、図9bで示すような像を取得することができる。基板の傾斜が小さいと、光の環に有意の形状変化が引き起こされないが、瞳面の像のシフトを引き起こす。このシフトは概ね小さく、0.1ピクセルのオーダーである。このような小さいシフトを検出できるようにするために、ピクセル間の値を、例えば曲線の当てはめによって補間することができる。環の縁部で生じる暗明遷移を通して曲線を当てはめることにより、環のピクセルより小さい変位を測定することができる。
これらの遷移の幅および記号を使用して、基板の傾斜を2次元で計算し、補正することができる。この方法で、基板を一定の(ゼロ)傾斜で測定することができる。
図10は、基板との共役面に環状強度分布がある放射線を使用して検出した、ピッチが小さい格子の回折次数を示す。環状強度分布を使用すると、図10で示すような像の形状が可能になり、それによって基板の傾斜をより明瞭かつより厳密に測定することができる。0とラベルされた像は、検出器に描像された状態で、中心のゼロ次数の回折次数である。−1、−1、1および2とラベルされた像は、より高い回折次数である。このような高い方の回折次数は、それより低い回折次数に対してシフトし、したがって分離した1次元および2次元形体のオーバレイ計測の測定が容易になる。
計算時間を上げるために、特に滑らかな変動が予想される場合に、瞳面の各位置でシミュレーションした信号を計算する必要がない場合がある。このような場合は、粗い格子を測定し、ピクセル補間技術を使用して、瞳面における全体的形状を補間することができる。環状ビームは、この場合にもさらに有利である。1次回折からの光しか受け取らない区域が瞳面にあるからである。例えば、ブロックビームを使用すると、瞳面の各ポイントがゼロ次またはゼロ次と1次との組み合わせから光を受け取り、瞳面における測定に誤差を引き起こす。
スキャッタメータを使用する通常の測定は、1枚の基板上にある1つの目標の特性を一度に1つの極性で測定することを含む。これは、スキャッタメータ測定を通して、および場合によっては露光ステップを通して基板のスループットを制限する。本発明の実施形態は、複数の照明スポットを基板に投影する照明ソースを使用する。スキャッタメータの検出器は、基板の表面から反射した複数の照明スポットの角度分解スペクトルを同時に検出する。複数の照明スポットは、2つの直角に偏向した照明スポットを生成するウォラストンプリズムまたは二重照明ファイバを使用して生成することができる。
図11は、スキャッタメータのハードウェハの一部を示す。2つの照明スポット70が、ビーム分割器50内で分割されてから、瞳面40に位置決めされた開口数の高い対物レンズを通して基板6へと伝達される。反射ビームは、2つの楔62を通して上方向へ伝達され、これは瞳面の2つの角度分解スペクトルを分離し、楔自体は、中間像面に位置決めされている。次に、照明ビームは、図11の頂部で再描像された瞳面40上のCCDによって検出される。これによって、2つ、またはそれ以上の平行測定が実行される。例えば、1つの極性で水平および垂直の線、またはTEおよびTM極性両方の水平と垂直の線両方の場合もある。
本発明の実施形態は、スキャッタメータを楕円偏光計に変換する。それを実行するために、照明ブランチはさらに、放射線ビームを直線偏光するように構成された第一偏光器と、放射線ビームを2つの直交成分(ETE、ETH)に分割するように構成されたビーム分割器と、散乱ビームを偏光するように構成された第二偏光器と、第一偏光器と第二偏光器との間に位置決めされた可変補償板(ポッケルスセル、ウォラストンプリズム対またはバビネ・ソレイユ補償板)とを含み、可変補償板は、直角に偏光した成分間の(および任意選択でビーム分割器と開口数が高いレンズとの間の)光路を変動させるように構成され、さらに、その結果生じるビーム成分のシヌソイド強度変動を検出する2次元検出器を含む。補償板は、スキャッタメータの主要照明ブランチで最も一般的であるが、言うまでもなく第二照明ブランチにあってもよい。
相補形金属酸化膜半導体(CMOS)のような2次元検出器は、高いフレーム率を有し、つまり1秒につき1000フレームの領域にある。
図12は、角度分解した分光器の概念を角度分解した分光楕円偏光計に変換する方法を示す。2つの波長λ1およびλ2を有する照明ビームを、45°偏光器72に透過させ、基板6で反射して、可変補償板74を透過させてから、再び偏光する。ビーム分割器と可変補償板74との間で、照明ビームは、TE偏光ビームとTM偏光ビームとの間に位相差Δがある2本のビームに分割される。図12の格子36は2次元検出器アレイ、およびこのアレイの1つのピクセルにおける時間依存の強度変動を示す。他のピクセルは同等の変動を示す。ビームを、2つの帯域フィルタ76に通して、λ1およびλ2の照明プロフィールを獲得する。その結果生じた楕円偏光計のパラメータのcos(Δ)、sin(Δ)およびtan(Ψ)は、内部センサの散乱からほぼ影響されず、したがって信号対雑音比を改善することができる。動作をジョーンズベクトルおよび以下の行列でモデル化するが、これはミュラー行列を使用してモデル化することもでき、それによって光学構成要素の欠陥を数学モデルに含むことができる。
第一偏光器の後の照明フィールドは、45°偏光し、ジョーンズベクトルによって記述される。
Figure 2009204621
基底ベクトルは、サンプル上の目標に入射するTEおよびTM偏光に対応する。サンプルから反射する動作により、散乱したTEおよびTM成分の振幅および位相が変化する。これは、ジョーンズ行列によって表すことができる。
Figure 2009204621
ここで、Δは散乱したフィールドのTE成分とTM成分との位相差であり、RTEおよびRTMは、それぞれ散乱したTEフィールドおよびTMフィールドの振幅である。
これらのパラメータは、入射角および波長の関数である。NAが高いレンズおよびビーム分割器によって導入された位相および振幅の変動を無視すると、補償板上の入射フィールドについて下式が得られる。
Figure 2009204621
補償板は、TE成分とTM成分の間に、時間で変動する光路差(OPD)の変動を導入する。光の波長がλである場合、補償板のジョーンズ行列について下式が得られる。
Figure 2009204621
したがって、補償板の後のフィールドは下式である。
Figure 2009204621
偏光器は45°に配向され、下式のジョーンズ行列を有する。
Figure 2009204621
したがって、偏光板の後のフィールドは下式である。
Figure 2009204621
検出器アレイへの入射強度は下式の通りである。
Figure 2009204621
OPDが測定時間の間隔にわたって直線的に増加すると、下式の通りになる。
OPD=Kt
これは、時間高調波強度変化を生成する。
Figure 2009204621
ここで、
Figure 2009204621
である。
強度変化のコントラストは、楕円偏光計のパラメータのtan(Ψ)と直接関係し、シヌソイド変化の位相は、楕円偏光計のパラメータcos(Δ)およびsin(Δ)を直接生成する。標準的な楕円偏光計スキャッタメータでは、tan(Ψ)およびcos(Δ)は、プロフィール情報を取得するために測定し、シミュレーションする信号である。その場合、tan(Ψ)およびcos(Δ)は、波長の関数として記録される。本発明では、tan(Ψ)およびcos(Δ)が、瞳面における位置の関数として取得され、同様の分析に使用することができる。特に、楕円偏光計のパラメータを使用して、逆散乱の問題を解決することによって層の厚さを測定する。つまり、測定したパラメータをモデル化したパラメータと比較し、測定したパラメータとモデル化したパラメータとの二乗平均差(または他の適切な測定基準)を最小にすることによって、スタックパラメータを求める。
変化の周波数は波長に依存するので、様々な波長を帯域フィルタで分離することができる。これは、例えば離散的フーリエ変換技術などの信号処理によって容易に実現することができる。
補償板を照明ブランチに配置することもできる。さらに、ビーム分割器と開口数が高い対物レンズとの間にも配置することができる。これは、OPDの変化が2倍になるという利点を有する。
2次元検出器は、この概念の枢要部分であり、十分に短い測定時間を確保するためには、高いフレーム率でなければならない。CMOS検出器は、例えば毎秒1000フレームのように非常に高いフレーム率を達成することができる。
上記のパラグラフ0031から0064で記載したような測定オーバレイは、大きいオーバレイのエラー、特に格子ピッチの整数倍に等しいオーバレイのエラーを測定することができない。格子ピッチより小さいオーバレイのエラーを検出する能力は、オーバレイのエラーがある場合に役に立たないことが明白である。つまり、格子の線が相互に並ぶが、格子の数ピッチの幅だけシフトする。
したがって、本発明の実施形態は、例えば格子のオーバレイエラーが実際に格子のピッチより大きいか否かなど、粗いエラーが存在するか否かを判断する粗いオーバレイ測定を実行するために、スキャッタメータに既に存在する(および上記で検討した)第二検出器ブランチを使用する。粗いオーバレイ測定は、描像に基づく技術であり、第二カメラが2つの重なる格子の像を見て、基板上にあるマーカの縁部の位置を比較することによって大きい変位があるか判断する。完全なオーバレイは、完璧に位置合わせされたマーカの縁部を有する。パターン認識アルゴリズムを使用して、プロセス層の格子の縁部およびレジスト層の格子の縁部を求める。この測定は、格子対の4辺または4隅で実行する。測定した縁部位置を使用して、プロセス層の格子の位置に対するレジスト格子の位置を計算する。
スキャッタメータ自体は、複数の格子ピッチに等しいオーバレイを測定できないという事実は、基本的な制限となる。測定原理が、格子ピッチとともに周期的に変化する格子結合に基づくからである。つまり、ゼロのオーバレイとピッチに等しいオーバレイとは、同一の結果を生じる。
本発明のスキャッタメータによって、非常に単純な解が可能になる。スキャッタメータは、基板表面をCCDカメラに描像する別個の描像ブランチを有する。この第二カメラブランチは、位置合わせおよびパターン認識ステップを通して基板の位置を測定するために必要である。第二ブランチを図13で概略的に示す。
瞳面40の測定(実際の角度分解測定)は、オブジェクト面42で目標の充填が不足する照明ソースを必要とする(つまり、測定スポットが測定目標より小さい)。瞳面描像照明ビームを、図13の実線で示す。この場合、目標の一部しか測定されず、目標区域の外側にある構造は照明されない。測定スポットが測定目標を満たすか、過剰に満たす場合、測定信号は目標を囲む区域によって妨害され、データの解釈および信号の分析が不必要に複雑になる。
これに対して、像平面の測定は、位置合わせを検出するために、目標を過剰に満たさなければならない。目標の外形を含めて完全な瞳面をサンプリングしなければならないからである。像平面測定のための光線を点線で示す。オブジェクト面の像は、第一CCDカメラ80上に形成され、瞳面の像は、第二CCDカメラ82上に形成される。
図14は、ゼロオーバレイのオーバレイ目標(左手の図)、および格子ピッチの2倍に等しいXオーバレイ(右手の図)で可能な一例を示す。瞳面の測定は、両方の状況で同じゼロというオーバレイ測定値を生成することになり、信頼できない測定となる。しかし、像平面の測定は、この2つの状況を明瞭に識別することができる。この方法で、堅牢な2ステージの計測体系を以下のように実行することができる。
(1)2回の像平面測定を実行して、大きいオーバレイエラーが存在しないことを検証する。
(2)以前の測定により、オーバレイが約200nmより小さいことが示されれば、瞳面の測定を実行する。
200nmの基準は指示的な例である。任意の賢明な閾値にすることができる。像平面CCDが1000×1000個のピクセルを有し、基板レベルでピクセルのピッチが100nmであると仮定すると、視野は合計で100×100μm2となり、これはパターン認識および位置合わせには十分であるが、それでも20〜50nmのオーダーの正確さで粗いオーバレイ測定が可能になる。
粗いオーバレイは、アラインメントマーカ全体がCCDから見える場合のみ測定することができる。例えば、マーカの中心部分しか見えない場合、基板テーブルをマーカの縁部へと移動して、粗いオーバレイの測定を可能にしなければならない。それには、テーブルの追加的動作が必要であり、そのため測定プロセスが遅くなる。視野が大きくなると、第二カメラが瞳面上の像を自由に捕捉し、詳細なオーバレイの情報を獲得する間、1「ストローク」でマーカを捕捉し、粗い測定を迅速に実行することができる。
該当する像の捕捉に必要である視野は、縁部の事前位置合わせの結果、および露光ツールでの粗いウェハ位置合わせを使用すると、さらに縮小することができる。これらのデータで、オーバレイ計測モジュールでの縁部の事前位置合わせが完了した後に、μmの正確さで基板上のマーカの位置を予測することが可能である。
本発明の実施形態は、CD計測のために格子または他の周期的構造の上に配置構成されたスキャッタメータを使用して、オーバレイのエラーばかりでなく、損傷した格子も検出する。スキャッタメータは通常、鏡面光、つまり格子から直接反射した最低次数の光を検出する。格子の局所的歪みは、格子の周期性を破壊し、その結果、非鏡面方向に散乱することになる。スキャッタメータは、その鏡面方向の外側にある様々な角度で、散乱したビームの角度分解スペクトルを検出するために使用することができる。正確さを向上させ、像をさらに読み取りやすくするために、環状強度分布がある放射線、またはΦが小さい照明を使用することができる。
本発明の実施形態は、浸漬リソグラフィ装置の気泡欠陥を検出するために使用することができ、上記で検討したように、投影システムと基板との間に液体が導入される。以前は、気泡欠陥はオフラインの欠陥検出ツールを使用して測定されていた。オフラインのツールは、結果を生成するためにオンラインのツールより時間がかかる。基板を生産ラインから取り出して、待ち行列に入れねばならないからである。液体中の気泡は、基板に表面欠陥を引き起こし、これは表面を光に曝露すると、光の散乱を引き起こす。この散乱放射線は、本発明のスキャッタメータを使用して測定され、散乱の原因が気泡欠陥に逆に外挿される。
以上、本発明の特定の実施形態を説明してきたが、説明とは異なる方法でも本発明を実践できることが理解される。本説明は本発明を制限する意図ではない。詳細に記載した実施形態は、一般的な動作原理を拡大したものであり、必ずしも相互に排他的ではなく、1つの計測ツール内に全てを組み合わせて、上述したような検出器で見られる結果に基づいて、有効性を向上させることができる。さらに、本明細書に記載した実施形態は、リソグラフィの用途に関するが、ハードウェアおよび用途はそれに制限されない。これは、エッチングプロセスのステップなどの監視のような他の用途に使用してもよい。

Claims (56)

  1. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    基板の表面から反射した放射線ビームの角度分解スペクトルを検出するように構成された検出器とを有し、
    基板の特性は、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の角度で同時に測定することによって測定可能であるスキャッタメータ。
  2. レンズの開口数が少なくとも0.9である、請求項1に記載のスキャッタメータ。
  3. 反射したスペクトルの特性が、(a)横方向磁気および横方向電気偏光の強度、(b)横方向磁気偏光と横方向電気偏光との間の位相差、または(a)と(b)との両方を有する、請求項1に記載のスキャッタメータ。
  4. 基板の特性がさらに、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の波長で同時に測定することによって測定される、請求項1に記載のスキャッタメータ。
  5. 複数の波長がそれぞれ、δλの帯域および少なくとも2δλの間隔を有する、請求項4に記載のスキャッタメータ。
  6. 2つの位置合わせ不良の周期的構造のオーバレイが、反射したスペクトルの非対称性を測定することによって測定することができ、非対称性がオーバレイの程度に関係する、請求項1に記載のスキャッタメータ。
  7. 放射線ビームを提供するように構成された放射線ソースと基板との間の波長マルチプレクサと、
    基板と検出器との間のデマルチプレクサとを有する、請求項1に記載のスキャッタメータ。
  8. 波長マルチプレクサが、それぞれが帯域δλおよび少なくとも2δλの間隔を有するN個の別個の波長に対応するような構成である格子またはプリズムのような分散性要素である、請求項7に記載のスキャッタメータ。
  9. 放射線ビームを提供するように構成された放射線ソースの表面積が、それぞれ波長マルチプレクサに結合されたN個の部分に分割され、Nが離散的波長の数である、請求項6に記載のスキャッタメータ。
  10. 瞳面で角度分解スペクトルを画定状態で分離するために、オブジェクト面に光学楔を有する、請求項1に記載のスキャッタメータ。
  11. 検出器で別個に測定するために、放射線ソースから放出された放射線ビームの一部を結合するように構成された非分極性ビーム分割器および傾斜ミラーを有する、請求項1に記載のスキャッタメータ。
  12. 放射線ビームの一部を使用して、放射線ビームの強度を測定し、放射線ビームの強度の変動を補償する、請求項11に記載のスキャッタメータ。
  13. 放射線ビームの部分のサイズを制限し、放射線ビームの部分が放射線ビームの残りの部分に平行になることを保証するように構成された瞳ストップを有する、請求項11に記載のスキャッタメータ。
  14. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    基板と開口数が高いレンズとの間で液体を有するスペースとを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、基板の表面から反射した放射線ビームの角度分解スペクトルの特性を、複数の角度および複数の波長で同時に測定することによって測定することができるスキャッタメータ。
  15. 反射したスペクトルの特性が、(a)横方向磁気および横方向電気偏光の強度、(b)横方向磁気偏光と横方向電気偏光との間の位相差、または(a)と(b)との両方を有する、請求項14に記載のスキャッタメータ。
  16. 放射線ビームを提供するように構成された放射線ソースと基板との間の波長マルチプレクサと、
    基板と、反射したスペクトルの特性を測定するように構成された検出器との間のデマルチプレクサとを有する、請求項14に記載のスキャッタメータ。
  17. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    中間オブジェクト面の対向する半分部分の一方に配置されるような構成である縁部とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、基板の表面から反射した放射線ビームの角度分解スペクトルの特性を、複数の角度および複数の波長で同時に測定することによって測定可能であるスキャッタメータ。
  18. 反射したスペクトルの特性が、(a)横方向磁気および横方向電気偏光の強度、(b)横方向磁気偏光と横方向電気偏光との間の位相差、または(a)と(b)との両方を有する、請求項17に記載のスキャッタメータ。
  19. 放射線ビームを提供するように構成された放射線ソースと基板との間の波長マルチプレクサと、
    基板と、反射したスペクトルの特性を測定するように構成された検出器との間のデマルチプレクサとを有する、請求項17に記載のスキャッタメータ。
  20. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    基板の表面から反射した角度分解放射線スペクトルを検出するように構成された検出器とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の角度および波長で同時に測定することによって測定可能であるスキャッタメータ。
  21. 反射したスペクトルの特性が、(a)横方向磁気および横方向電気偏光の強度、(b)横方向磁気偏光と横方向電気偏光との間の位相差、または(a)と(b)との両方を有する、請求項20に記載のスキャッタメータ。
  22. 放射線ビームを提供するように構成された放射線ソースと基板との間の波長マルチプレクサと、
    基板と検出器との間のデマルチプレクサとを有する、請求項20に記載のスキャッタメータ。
  23. 検査方法であって、
    パターンを基板に印刷することと、
    レンズの開口数が高い瞳面にて、パターンの反射スペクトルを測定することとを含む方法。
  24. 反射スペクトルを測定することが、(a)反射したスペクトルの特性を複数の角度で、(b)複数の波長を、または(a)と(b)との両方を同時に測定することを含む、請求項23に記載の方法。
  25. 反射スペクトルの特性が、(i)横方向磁気および横方向電気偏光の強度、(ii)横方向磁気偏光と横方向電気偏光との間の位相差、または(i)と(ii)との両方を有する、請求項23に記載の方法。
  26. 検査方法であって、
    平行に層状になっているが、位置合わせ不良の2つの格子を設け、それによって他方に対する1つの格子のオーバレイを生成することと、
    スキャッタメータを使用して、格子の反射スペクトルを測定することと、
    反射スペクトルの非対称性からオーバレイの範囲を導出することとを含む方法。
  27. 反射したスペクトルの特性が、(i)横方向磁気および横方向電気偏光の強度、(ii)横方向磁気偏光と横方向電気偏光との間の位相差、または(i)と(ii)との両方を有する、請求項27に記載の方法。
  28. デバイス製造方法であって、
    放射線のビームを基板の目標部分に投影することと、
    レンズの開口数が高い瞳面にて、所定の角度および波長の範囲にわたってビームの反射スペクトルを同時に測定するために、スキャッタメータを使用することとを含む方法。
  29. 格子パターンの角度分解分光像を生成する方法であって、格子パターンを生成する場合のリソグラフィ露光状態を模倣する開口を使用することを含む方法。
  30. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    基板の表面から反射した放射線ビームの角度分解スペクトルを検出するように構成された検出器とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の角度で同時に測定することによって測定可能であり、スキャッタメータがさらに、
    少なくとも1つの反射鏡と、
    少なくとも1つのミラーから反射した少なくとも2つの像を検出し、組み合わせるように構成された検出器と、
    放射線ビームの照明輪郭を決定できる元となる像に基づいて微分方程式を生成するように構成されたプロセッサとを有するスキャッタメータ。
  31. 照明プロフィールを使用して、より高い回折次数にて反射したスペクトルの特性の測定値を補正する、請求項31に記載のスキャッタメータ。
  32. 前記反射鏡が、より高い回折次数で反射したスペクトルの特性の測定値を補正するために使用される、請求項31に記載のスキャッタメータ。
  33. 前記反射鏡が凹面鏡を有し、スキャッタメータが、複数の反射角度を獲得するために、ミラーの表面にわたって放射線ビームを移動させる機構を有する、請求項31に記載のスキャッタメータ。
  34. 前記反射鏡が凸面鏡を有し、スキャッタメータが、複数の反射角度を獲得するために、ミラーの表面にわたって放射線ビームを移動させる機構を有する、請求項31に記載のスキャッタメータ。
  35. 前記反射鏡が平面鏡を有し、スキャッタメータが、複数の角度にわたってミラーを傾斜させる機構を有する、請求項31に記載のスキャッタメータ。
  36. 異なる傾斜角度の複数のミラーを有する、請求項31に記載のスキャッタメータ。
  37. 測定した反射角度が半径方向にある、請求項31に記載のスキャッタメータ。
  38. 測定した反射角度が全方向にある、請求項31に記載のスキャッタメータ。
  39. 検査方法であって、
    パターン形成した放射線ビームでパターンを基板に印刷することと、
    レンズの開口数が高い瞳面にて、パターンの反射スペクトルを測定することと、
    1つまたは複数のミラーで反射させることによって、放射線ビームを正規化することと、
    1つまたは複数のミラーからの異なる反射角度の放射線ビームから生成された少なくとも2つの像を測定して、組み合わせることと、
    放射線ビームの照明プロフィールを再構築するために微分方程式を生成することとを含む方法。
  40. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    基板の表面から反射した放射線ビームの角度分解スペクトルを検出するように構成された検出器とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の角度で同時に測定することによって測定可能であり、スキャッタメータがさらに、
    放射線ビームを直線偏光するように構成された第一偏光器と、
    放射線ビームを2つの直交成分(ETE、ETH)に分割するように構成されたビーム分割器と、
    散乱したビームを偏光するように構成された第二偏光器と、
    第一偏光器と第二偏光器との間に位置決めされ、直角に偏光した成分間の光路差を変化させる可変補償板と、
    その結果生じるビーム成分のシヌソイド強度変化を検出する2次元検出器とを有するスキャッタメータ。
  41. 補償板を、スキャッタメータの照明ブランチに配置する、請求項40に記載のスキャッタメータ。
  42. 補償板を、分割器と開口数が高いレンズとの間で位置決めする、請求項40に記載のスキャッタメータ。
  43. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    基板の表面から反射した放射線ビームの角度分解スペクトルを検出するように構成された検出器とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、反射スペクトルの特性を複数の角度で同時に測定することによって測定可能であり、スキャッタメータがさらに、
    粗いオーバレイ測定を実行する第二検出器ブランチを有するスキャッタメータ。
  44. 第二検出器ブランチが基板の像平面にある、請求項43に記載のスキャッタメータ。
  45. 第二検出器ブランチが、基板上のオーバレイエラーを測定するように構成され、エラーが、基板格子のピッチの整数倍に等しい、請求項43に記載のスキャッタメータ。
  46. 検査方法であって、
    平行に層状になっているが、位置合わせ不良の2つの格子を設け、それによって他方に対する1つの格子のオーバレイを生成することと、
    スキャッタメータを使用して、格子の反射スペクトルを測定することと、
    反射スペクトルの非対称性からオーバレイの範囲を導出することと、
    オーバレイが格子ピッチ幅より大きいか判断することを含む、格子の粗いオーバレイ測定を実行することとを含む方法。
  47. i)格子ピッチより大きいオーバレイエラーが存在するか判断するために、放射線ビームの像平面測定を2回実行することと、
    ii)判断したオーバレイが所定の閾値より低い場合は、放射線ビームの瞳面測定を実行することとを含む、請求項46に記載の方法。
  48. 格子の局所的歪みを検出するように構成されたスキャッタメータであって、
    放射線ビームを生成する照明手段と、
    開口数が高いレンズと、
    ビームを鏡面方向に散乱させる格子と、
    鏡面方向の外側の様々な角度で散乱ビームの角度分解スペクトルを検出するように構成された検出器とを有し、
    格子の歪みが、レンズの開口数が高い瞳面にて、鏡面方向の外側で反射したスペクトルの特性を、複数の角度で同時に実行することによって測定可能であるスキャッタメータ。
  49. 放射線ビームが環状照明を含む、請求項48に記載のスキャッタメータ。
  50. 基板上の複数の目標の特性を同時に測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    複数の照明スポットを基板に投影するように構成された投影装置と、
    基板の表面から反射した複数の放射線スポットの角度分解スペクトルを同時に検出するように構成された検出器とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の角度で同時に測定することによって測定可能であるスキャッタメータ。
  51. 2つの同一に偏光した照明スポットを生成するディバイダを有する、請求項50に記載のスキャッタメータ。
  52. デバイス製造方法であって、
    放射線のビームを基板の目標部分に投影することと、
    ビームが基板に到達する溜めに、ビームを複数のビームに分割することと、
    基板上の複数の目標を同時に測定するために、レンズの開口数が高い瞳面にて、所定の角度および範囲にわたって複数のビームの反射スペクトルを同時に測定するようにスキャッタメータを使用することとを含む方法。
  53. 基板の特性を測定するように構成されたスキャッタメータであって、
    開口数が高いレンズと、
    放射線ビームを生成する放射線ソースと、
    基板の表面から反射した放射線ビームの角度分解スペクトルを検出するように構成された検出器とを有し、
    基板の特性が、レンズの開口数が高い瞳面にて、反射したスペクトルの特性を複数の角度で測定することによって測定可能であり、
    放射線ビームが、基板との共役面に環状強度分布を有するスキャッタメータ。
  54. 検査方法であって、
    基板との共役面に環状強度分布を有する放射線を使用して、パターンを基板に印刷することと、
    レンズの開口数が高い瞳面にて、パターンの反射スペクトルを測定することとを含む方法。
  55. 基板の傾斜の変化を計算する装置であって、
    基板との共役面に環状分布した放射線ビームを生成するように構成された放射線ソースと、
    放射線ビーム内の成形された隠蔽部と、
    基板の傾斜の変化によって引き起こされた基板の成形隠蔽部の幅および形状の変化を検出するように構成された検出器と、
    検出器で検出した変化に基づいて、基板の傾斜の変化を計算するプロセッサとを有する装置。
  56. 浸漬リソグラフィ装置の気泡欠陥を検出する方法であって、
    パターンを基板に印刷することと、
    レンズの開口数が高い瞳面にて、パターンの反射スペクトルを測定することと、
    反射したスペクトルから、浸漬リソグラフィ装置に含まれる液体中の気泡によって引き起こされた欠陥がパターン内に存在するか判断することとを含む方法。
JP2009134465A 2004-08-16 2009-06-03 角度分解した分光リソグラフィの特徴付けの方法および装置 Active JP4898869B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/918,742 US7791727B2 (en) 2004-08-16 2004-08-16 Method and apparatus for angular-resolved spectroscopic lithography characterization
US10/918742 2004-08-16

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005235188A Division JP4357464B2 (ja) 2004-08-16 2005-08-15 角度分解した分光リソグラフィの特徴付けの方法および装置

Publications (2)

Publication Number Publication Date
JP2009204621A true JP2009204621A (ja) 2009-09-10
JP4898869B2 JP4898869B2 (ja) 2012-03-21

Family

ID=35197995

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2005235188A Active JP4357464B2 (ja) 2004-08-16 2005-08-15 角度分解した分光リソグラフィの特徴付けの方法および装置
JP2009134465A Active JP4898869B2 (ja) 2004-08-16 2009-06-03 角度分解した分光リソグラフィの特徴付けの方法および装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2005235188A Active JP4357464B2 (ja) 2004-08-16 2005-08-15 角度分解した分光リソグラフィの特徴付けの方法および装置

Country Status (8)

Country Link
US (8) US7791727B2 (ja)
EP (2) EP2239632B1 (ja)
JP (2) JP4357464B2 (ja)
KR (1) KR100697277B1 (ja)
CN (1) CN1916603B (ja)
DE (1) DE602005023946D1 (ja)
SG (4) SG173420A1 (ja)
TW (1) TWI294518B (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013051412A (ja) * 2011-08-30 2013-03-14 Asml Netherlands Bv オーバーレイエラーを判定するための方法及び装置
JP2014502420A (ja) * 2010-11-12 2014-01-30 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、リソグラフィシステム並びにデバイス製造方法
US8867020B2 (en) 2010-11-12 2014-10-21 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
JP2020073888A (ja) * 2012-06-26 2020-05-14 ケーエルエー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
JP2020518848A (ja) * 2017-05-03 2020-06-25 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジパラメータ決定及びメトロロジレシピ選択
KR20200111814A (ko) * 2018-02-27 2020-09-29 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
US10990020B2 (en) 2017-05-03 2021-04-27 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection

Families Citing this family (858)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG120958A1 (en) * 2002-11-01 2006-04-26 Asml Netherlands Bv Inspection method and device manufacturing method
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
DE102004034953A1 (de) * 2004-07-16 2006-02-02 Carl Zeiss Jena Gmbh Beleuchtungsvorrichtung und Verwendung
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US20060204204A1 (en) * 2004-12-20 2006-09-14 Markus Zenzinger Method for improving the optical polarization properties of a microlithographic projection exposure apparatus
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
WO2006091913A1 (en) 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
WO2007019269A2 (en) * 2005-08-08 2007-02-15 Brion Technologies, Inc. System and method for creating a focus-exposure model of a lithography process
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US7440113B2 (en) * 2005-12-23 2008-10-21 Agilent Technologies, Inc. Littrow interferometer
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
CN101109903B (zh) * 2006-07-18 2010-05-12 上海华虹Nec电子有限公司 在线监控透镜散光的方法
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
DE102006034776B3 (de) * 2006-07-27 2008-03-06 Infineon Technologies Ag Verfahren und Messvorrichtung zur ellipsometrischen Vermessung von Strukturelementen sowie Verwendung des Verfahrens
FR2904690B1 (fr) * 2006-08-02 2009-04-03 Commissariat Energie Atomique Dispositif de caracterisation d'objets uniques
US7352439B2 (en) 2006-08-02 2008-04-01 Asml Netherlands B.V. Lithography system, control system and device manufacturing method
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US7643666B2 (en) 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8294907B2 (en) * 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7710572B2 (en) 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US8237914B2 (en) 2006-12-01 2012-08-07 Asml Netherlands B.V. Process, apparatus, and device for determining intra-field correction to correct overlay errors between overlapping patterns
US8248579B2 (en) 2006-12-01 2012-08-21 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device for correcting overlay errors between overlapping patterns
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US20080148875A1 (en) * 2006-12-20 2008-06-26 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7889991B2 (en) * 2007-02-12 2011-02-15 Jds Uniphase Corporation Planar lightwave circuit based tunable 3 port filter
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7580131B2 (en) * 2007-04-17 2009-08-25 Asml Netherlands B.V. Angularly resolved scatterometer and inspection method
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1992905A1 (en) * 2007-05-16 2008-11-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical sensor with tilt error correction
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808638B2 (en) * 2007-07-13 2010-10-05 Kla-Tencor Corporation Scatterometry target and method
US7869022B2 (en) 2007-07-18 2011-01-11 Asml Netherlands B.V. Inspection method and apparatus lithographic apparatus, lithographic processing cell, device manufacturing method and distance measuring system
US7633689B2 (en) * 2007-07-18 2009-12-15 Asml Holding N.V. Catadioptric optical system for scatterometry
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20090073448A1 (en) * 2007-09-18 2009-03-19 Asml Netherlands B.V. Method of measuring the overlay error, an inspection apparatus and a lithographic apparatus
IL194580A0 (en) * 2007-10-09 2009-08-03 Asml Netherlands Bv Alignment method and apparatus, lithographic apparatus, metrology apparatus and device manufacturing method
EP2048543B1 (en) * 2007-10-09 2013-12-04 ASML Netherlands B.V. An optical focus sensor, an inspection apparatus and a lithographic apparatus
NL1036018A1 (nl) 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
SG152187A1 (en) 2007-10-25 2009-05-29 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036098A1 (nl) 2007-11-08 2009-05-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus lithographic, processing cell and device manufacturing method.
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8045170B2 (en) * 2007-12-05 2011-10-25 Canon Kabushiki Kaisha Light scattering property measurement method
NL1036188A1 (nl) 2007-12-05 2009-06-08 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036184A1 (nl) * 2007-12-05 2009-06-08 Asml Netherlands Bv Inspection apparatus, lithographic apparatus and method of measuring a property of a substrate.
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036331A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Metrology apparatus, lithographic apparatus and method of measuring a property of a substrate.
NL1036333A1 (nl) * 2008-01-02 2009-07-07 Asml Netherlands Bv Immersion lithography.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036684A1 (nl) * 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036632A1 (nl) * 2008-03-26 2009-09-29 Asml Netherlands Bv Inspection Apparatus, Lithographic Apparatus and Method of Measuring a Property of a Substrate.
NL1036734A1 (nl) 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036772A1 (nl) 2008-04-15 2009-10-19 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036859A1 (nl) 2008-04-29 2009-10-30 Asml Netherlands Bv Support structure, inspection apparatus, lithographic apparatus and methods for loading and unloading substrates.
NL1036886A1 (nl) * 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US8797509B2 (en) 2008-05-29 2014-08-05 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2002920A1 (nl) 2008-05-29 2009-12-01 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2002932A1 (nl) 2008-06-02 2009-12-03 Asml Netherlands Bv Sub-wavelength segmentation in measurement targets on substrates.
NL2002962A1 (nl) 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2002883A1 (nl) 2008-06-26 2009-12-29 Asml Netherlands Bv Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus.
US8520212B2 (en) 2008-07-18 2013-08-27 Asml Netherlands B.V. Scatterometry method and measurement system for lithography
US9201310B2 (en) 2008-08-19 2015-12-01 Asml Netherlands B.V. Method of measuring overlay error and a device manufacturing method
US20110208342A1 (en) * 2008-08-21 2011-08-25 Asml Netherlands B.V. Inspection Method and Apparatus, and Lithographic Apparatus
NL2003331A (en) 2008-09-02 2010-03-12 Asml Netherlands Bv Device manufacturing method, control system, computer program and computer-readable medium.
US9081304B2 (en) 2008-09-08 2015-07-14 Asml Netherlands B.V. Substrate, an inspection apparatus, and a lithographic apparatus
NL2003292A (en) 2008-09-08 2010-03-15 Asml Netherlands Bv A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
NL2003497A (en) 2008-09-23 2010-03-24 Asml Netherlands Bv Lithographic system, lithographic method and device manufacturing method.
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
NL2003693A (en) 2008-10-31 2010-05-20 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method for determining a property of a substrate.
JP5284481B2 (ja) 2008-11-07 2013-09-11 エーエスエムエル ネザーランズ ビー.ブイ. スキャトロメータおよびリソグラフィ装置
WO2010069757A1 (en) 2008-12-16 2010-06-24 Asml Netherlands B.V. Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell
US8502955B2 (en) 2008-12-30 2013-08-06 Asml Netherlands B.V. Method of determining a characteristic
CN102265220B (zh) 2008-12-30 2014-03-12 Asml荷兰有限公司 确定特性的方法
SG172294A1 (en) 2008-12-30 2011-07-28 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
SG164292A1 (en) * 2009-01-13 2010-09-29 Semiconductor Technologies & Instruments Pte System and method for inspecting a wafer
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
TW201100787A (en) * 2009-02-18 2011-01-01 Nikon Corp Surface examining device and surface examining method
NL2004276A (en) 2009-03-04 2010-09-06 Asml Netherlands Bv A method of measuring a characteristic.
WO2010115686A1 (en) 2009-04-07 2010-10-14 Asml Netherlands B.V. Method and apparatus for inspection in lithography
CN102422226B (zh) * 2009-05-11 2014-04-09 Asml荷兰有限公司 确定重叠误差的方法
KR101257453B1 (ko) 2009-05-12 2013-04-23 에이에스엠엘 네델란즈 비.브이. 리소그래피에 사용하는 검사 방법
WO2010130673A1 (en) * 2009-05-15 2010-11-18 Asml Netherlands B.V. Inspection method for lithography
NL2004803A (en) 2009-06-11 2010-12-15 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101395733B1 (ko) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
NL2004946A (en) 2009-07-06 2011-01-10 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2457071A4 (en) 2009-07-22 2015-09-02 Kla Tencor Corp ANTISYMMETRIC RADAR DIFFUSIOMETRY WITH ANGULAR RESOLUTION
NL2005044A (en) 2009-07-30 2011-01-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
NL2005325A (en) 2009-09-24 2011-03-28 Asml Netherlands Bv Methods and apparatus for modeling electromagnetic scattering properties of microscopic structures and methods and apparatus for reconstruction of microscopic structures.
NL2005259A (en) * 2009-09-29 2011-03-30 Asml Netherlands Bv Imprint lithography.
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
CN102576188B (zh) 2009-10-12 2014-10-01 Asml荷兰有限公司 用于确定衬底上的对象的近似结构的方法、检验设备以及衬底
KR101452852B1 (ko) 2009-10-13 2014-10-22 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치
NL2005521A (en) 2009-10-22 2011-04-26 Asml Netherlands Bv Methods and apparatus for calculating electromagnetic scattering properties of a structure using a normal-vector field and for reconstruction of approximate structures.
NL2005733A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Methods and apparatus for determining electromagnetic scattering properties and structural parameters of periodic structures.
NL2005459A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
NL2005719A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv Method of measuring properties of dynamic positioning errors in a lithographic apparatus, data processing apparatus, and computer program product.
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
WO2011101192A1 (en) 2010-02-17 2011-08-25 Asml Netherlands B.V. Estimating substrate model parameters for lithographic apparatus control
EP2392970A3 (en) 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
NL2006078A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Calibration of lithographic apparatus.
WO2011101187A1 (en) 2010-02-19 2011-08-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
NL2005997A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
IL210832A (en) 2010-02-19 2016-11-30 Asml Netherlands Bv Lithographic facility and method of manufacturing facility
WO2011101184A1 (en) 2010-02-19 2011-08-25 Asml Netherlands B.V. Lithographic apparatus and method of producing a reference substrate
NL2006099A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Calibration of lithographic apparatus.
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
NL2006321A (en) 2010-03-19 2011-09-20 Asml Netherlands Bv Control method and apparatus.
KR101725529B1 (ko) 2010-03-30 2017-04-10 지고 코포레이션 간섭계 인코더 시스템
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2006935A (en) 2010-06-28 2011-12-29 Asml Netherlands Bv Inspection apparatus and method.
JP6008851B2 (ja) 2010-07-19 2016-10-19 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ誤差を決定する方法及び装置
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007127A (en) 2010-08-06 2012-02-07 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
NL2007361A (en) 2010-09-29 2012-04-02 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
US9239522B2 (en) * 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
US8593644B2 (en) * 2010-12-13 2013-11-26 Southwest Research Institute White light optical profilometer for measuring complex surfaces
CN102540752B (zh) * 2010-12-28 2014-02-19 上海微电子装备有限公司 一种光刻照明系统
US8867041B2 (en) * 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
NL2008197A (en) * 2011-02-11 2012-08-14 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2008111A (en) 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
NL2008285A (en) 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
IL218588A (en) 2011-03-23 2015-09-24 Asml Netherlands Bv A method and system for calculating the electromagnetic scattering properties of a structure and for reconstructing approximate structures
NL2008317A (en) 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
US9128258B2 (en) 2011-05-10 2015-09-08 Sumitomo Electric Industries, Ltd. Optical assembly and method for producing the same
WO2012171687A1 (en) 2011-06-14 2012-12-20 Asml Netherlands B.V. Inspection for lithography
US9310296B2 (en) * 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
WO2012175253A1 (en) 2011-06-21 2012-12-27 Asml Netherlands B.V. Inspection method and apparatus
US8681413B2 (en) * 2011-06-27 2014-03-25 Kla-Tencor Corporation Illumination control
NL2008928A (en) * 2011-07-06 2013-01-08 Asml Netherlands Bv Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures.
NL2009001A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and patterning devices for measuring phase aberration.
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
NL2008936A (en) 2011-07-28 2013-01-29 Asml Netherlands Bv Illumination source for use in inspection methods and/or lithography inspection and lithographic apparatus and inspection method.
NL2009066A (en) 2011-08-03 2013-02-05 Asml Netherlands Bv Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus.
CN203129697U (zh) * 2013-02-05 2013-08-14 客贝利(厦门)休闲用品有限公司 一种帐篷架杆
US8582114B2 (en) 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
KR101229786B1 (ko) * 2011-08-23 2013-02-05 한국과학기술원 헤테로다인 간섭 리소그래피 장치, 그 장치를 이용한 미세패턴 형성방법, 웨이퍼 및 반도체 소자
CN105892238B (zh) 2011-08-31 2018-04-13 Asml荷兰有限公司 确定聚焦位置修正的方法、光刻处理元和器件制造方法
EP2761272A4 (en) * 2011-09-27 2015-07-29 Kla Tencor Corp THIN-LAYER IDENTIFICATION AND ERROR DETECTION WITH HIGH THROUGHPUT
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US9400246B2 (en) * 2011-10-11 2016-07-26 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
KR101765814B1 (ko) 2011-11-30 2017-08-08 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치
US9163935B2 (en) 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
US9127927B2 (en) 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US8762100B1 (en) * 2012-02-10 2014-06-24 Tokyo Electron Limited Numerical aperture integration for optical critical dimension (OCD) metrology
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
CN105549341A (zh) * 2012-02-21 2016-05-04 Asml荷兰有限公司 检查设备和方法
WO2013143814A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic system and device manufacturing method
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
US8817273B2 (en) 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
US8860941B2 (en) * 2012-04-27 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tool induced shift reduction determination for overlay metrology
CN103454861B (zh) * 2012-06-05 2015-08-26 上海微电子装备有限公司 一种套刻测量的标记和方法
JP6077649B2 (ja) 2012-06-12 2017-02-08 エーエスエムエル ネザーランズ ビー.ブイ. 光子源、計測装置、リソグラフィシステム及びデバイス製造方法
EP2867918A1 (en) * 2012-06-26 2015-05-06 Kla-Tencor Corporation Near field metrology
CN103529643B (zh) * 2012-07-05 2017-01-18 中国科学院物理研究所 一种纳米图形化系统及其光响应特性检测装置
WO2014006935A1 (ja) * 2012-07-06 2014-01-09 株式会社ニコン 位置計測装置、ステージ装置、露光装置、およびデバイス製造方法
CN104487898B (zh) 2012-07-23 2016-10-12 Asml荷兰有限公司 检查方法及设备、光刻系统以及器件制造方法
NL2011276A (en) 2012-09-06 2014-03-10 Asml Netherlands Bv Inspection method and apparatus and lithographic processing cell.
JP6095786B2 (ja) 2012-10-02 2017-03-15 エーエスエムエル ネザーランズ ビー.ブイ. 位置測定装置、位置測定方法、リソグラフィ装置及びデバイス製造方法
NL2011477A (en) 2012-10-10 2014-04-14 Asml Netherlands Bv Mark position measuring apparatus and method, lithographic apparatus and device manufacturing method.
US9518936B2 (en) 2012-11-30 2016-12-13 Asml Netherlands B.V. Method and apparatus for determining lithographic quality of a structure
US10054423B2 (en) 2012-12-27 2018-08-21 Nova Measuring Instruments Ltd. Optical method and system for critical dimensions and thickness characterization
US8976423B2 (en) * 2013-03-15 2015-03-10 Lexmark International, Inc. Optical scanning system and imaging apparatus for using same
CN104062859B (zh) * 2013-03-21 2016-08-10 上海微电子装备有限公司 一种光刻设备对准系统
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10481088B2 (en) 2013-06-04 2019-11-19 Kla-Tencor Corporation Automatic determination of fourier harmonic order for computation of spectral information for diffraction structures
CN105308508B (zh) 2013-06-12 2018-08-10 Asml荷兰有限公司 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
JP6275834B2 (ja) * 2013-07-03 2018-02-07 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法、リソグラフィ装置、リソグラフィ処理セル並びにデバイス製造方法
US9719920B2 (en) 2013-07-18 2017-08-01 Kla-Tencor Corporation Scatterometry system and method for generating non-overlapping and non-truncated diffraction images
KR102124204B1 (ko) * 2013-08-07 2020-06-18 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
US9760018B2 (en) 2013-08-13 2017-09-12 Asml Netherlands B.V. Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
KR101794828B1 (ko) * 2013-08-28 2017-11-09 에이피시스템 주식회사 막 분리 장치
NL2013312A (en) 2013-09-09 2015-03-10 Asml Netherlands Bv Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures.
US9958791B2 (en) 2013-10-30 2018-05-01 Asml Netherlands B.V. Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method
WO2015078670A1 (en) 2013-11-26 2015-06-04 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for estimation of geometrical and material parameters thereof
CN105900016B (zh) 2013-12-05 2018-02-13 Asml荷兰有限公司 用于测量衬底上的结构的方法和设备、用于误差校正的模型、用于实施这样的方法和设备的计算机程序产品
NL2013839A (en) 2013-12-13 2015-06-16 Asml Netherlands Bv Inspection apparatus and methods, lithographic system and device manufacturing method.
WO2015086258A1 (en) 2013-12-13 2015-06-18 Asml Netherlands B.V. Radiation source, metrology apparatus, lithographic system and device manufacturing method
KR101872752B1 (ko) 2013-12-13 2018-06-29 에이에스엠엘 네델란즈 비.브이. 방사선 소스, 계측 장치, 리소그래피 시스템 및 디바이스 제조 방법
NL2013838A (en) 2013-12-17 2015-06-18 Asml Netherlands Bv Inspection method, lithographic apparatus, mask and substrate.
NL2013751A (en) 2013-12-18 2015-06-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
US9958790B2 (en) 2013-12-19 2018-05-01 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
JP6312834B2 (ja) 2013-12-30 2018-04-18 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
WO2015101459A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
JP6567523B2 (ja) * 2013-12-30 2019-08-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーターゲットの設計のための方法及び装置
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
WO2015104074A1 (en) 2014-01-10 2015-07-16 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
KR101890227B1 (ko) 2014-01-24 2018-08-22 에이에스엠엘 네델란즈 비.브이. 기판 상에서 측정 작업을 수행하도록 작동가능한 장치, 리소그래피 장치, 및 기판 상에서 측정 작업을 수행하는 방법
CN106030411B (zh) 2014-02-17 2018-02-06 Asml荷兰有限公司 确定边缘定位误差的方法、检测设备、图案形成装置、衬底及器件制造方法
US10073357B2 (en) 2014-02-21 2018-09-11 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
CN106030414B (zh) 2014-02-21 2018-10-09 Asml荷兰有限公司 目标布置的优化和相关的目标
EP3149544B1 (en) 2014-06-02 2018-10-10 ASML Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
WO2015200315A1 (en) * 2014-06-24 2015-12-30 Kla-Tencor Corporation Rotated boundaries of stops and targets
NL2014994A (en) 2014-07-09 2016-04-12 Asml Netherlands Bv Inspection apparatus and methods, methods of manufacturing devices.
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
WO2016012316A1 (en) 2014-07-21 2016-01-28 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
WO2016015987A1 (en) 2014-07-28 2016-02-04 Asml Netherlands B.V. Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method
US9392188B2 (en) * 2014-08-10 2016-07-12 Corephotonics Ltd. Zoom dual-aperture camera with folded lens
US10948421B2 (en) 2014-08-28 2021-03-16 Asml Netherlands B.V. Laser-driven photon source and inspection apparatus including such a laser-driven photon source
KR101960403B1 (ko) * 2014-08-28 2019-03-20 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
NL2015269A (en) 2014-08-29 2016-07-08 Asml Holding Nv Method and apparatus for spectrally broadening radiation.
WO2016034428A2 (en) 2014-09-01 2016-03-10 Asml Netherlands B.V. Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
WO2016036790A2 (en) * 2014-09-02 2016-03-10 Nikon Corporation Pattern edge placement predictor and monitor for lithographic exposure tool
US10883924B2 (en) * 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
WO2016045945A1 (en) 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
CN105527794B (zh) * 2014-09-28 2018-05-01 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
WO2016050383A1 (en) 2014-09-29 2016-04-07 Asml Netherlands B.V. High numerical aperture objective lens system
WO2016050453A1 (en) 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
KR102299921B1 (ko) 2014-10-07 2021-09-09 삼성전자주식회사 광학 장치
CN107111250B (zh) 2014-11-26 2019-10-11 Asml荷兰有限公司 度量方法、计算机产品和系统
US10072921B2 (en) 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
KR101991498B1 (ko) 2014-12-12 2019-06-20 에이에스엠엘 네델란즈 비.브이. 기판 모델 파라미터를 계산하고 리소그래피 처리를 제어하기 위한 방법 및 장치
WO2016096310A1 (en) 2014-12-15 2016-06-23 Asml Holding N.V. Method and apparatuses for optical pupil symmetrization
US9885656B2 (en) * 2014-12-17 2018-02-06 Kla-Tencor Corporation Line scan knife edge height sensor for semiconductor inspection and metrology
KR101994385B1 (ko) 2014-12-19 2019-06-28 에이에스엠엘 네델란즈 비.브이. 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
NL2016121A (en) 2015-02-06 2016-09-29 Asml Netherlands Bv A method and apparatus for improving measurement accuracy
WO2016128190A1 (en) 2015-02-12 2016-08-18 Asml Netherlands B.V. Method and apparatus for reticle optimization
KR102025215B1 (ko) * 2015-02-25 2019-09-25 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
KR102030100B1 (ko) 2015-03-05 2019-10-08 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
WO2016142214A2 (en) 2015-03-11 2016-09-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
JP6792572B6 (ja) 2015-03-13 2020-12-23 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ方法およびリソグラフィ装置
KR102010941B1 (ko) * 2015-03-25 2019-08-14 에이에스엠엘 네델란즈 비.브이. 계측 방법, 계측 장치 및 디바이스 제조 방법
NL2016509A (en) 2015-04-03 2016-10-10 Asml Netherlands Bv Inspection apparatus for measuring properties of a target structure, methods of operating an optical system, method of manufacturing devices.
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
KR102377411B1 (ko) 2015-04-10 2022-03-21 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
CN107533299B (zh) 2015-04-10 2021-04-02 Asml荷兰有限公司 用于检测及量测的方法与装置
NL2016543A (en) 2015-04-16 2016-10-19 Asml Holding Nv Method and apparatus for optical fiber connection.
WO2016169901A1 (en) * 2015-04-21 2016-10-27 Asml Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
KR102076021B1 (ko) 2015-05-04 2020-03-02 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
WO2016198283A1 (en) 2015-06-12 2016-12-15 Asml Netherlands B.V. Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
NL2016925A (en) 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
US10248029B2 (en) 2015-07-17 2019-04-02 Asml Netherlands B.V. Method and apparatus for inspection and metrology
NL2017123A (en) 2015-07-24 2017-01-24 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US9807294B2 (en) * 2015-08-05 2017-10-31 Omnivision Technologies, Inc. Image sensor with symmetric multi-pixel phase-difference detectors, and associated methods
KR102098034B1 (ko) 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
NL2017300A (en) * 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10088298B2 (en) 2015-09-04 2018-10-02 Kla-Tencor Corporation Method of improving lateral resolution for height sensor using differential detection technology for semiconductor inspection and metrology
TWI656409B (zh) * 2015-09-09 2019-04-11 美商克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
US10101676B2 (en) * 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
CN108292107B (zh) 2015-09-28 2020-12-08 Asml荷兰有限公司 二维或三维形状的分层式表示
US10394136B2 (en) 2015-09-30 2019-08-27 Asml Netherlands B.V. Metrology method for process window definition
CN108139682B (zh) 2015-10-02 2020-12-25 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
NL2017505A (en) 2015-10-09 2017-04-11 Asml Netherlands Bv Method and apparatus for inspection and metrology
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR20180072768A (ko) 2015-10-19 2018-06-29 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 오차를 보정하는 장치 및 방법
US20180314149A1 (en) 2015-10-19 2018-11-01 Aslm Netherlands B.V. Method and apparatus to correct for patterning process error
US10719011B2 (en) 2015-10-19 2020-07-21 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067752A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US11036146B2 (en) 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
DE102015221773A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
CN108700816A (zh) 2015-12-17 2018-10-23 Asml荷兰有限公司 散射测量中的偏振调谐
KR102146434B1 (ko) 2015-12-17 2020-08-21 에이에스엠엘 네델란즈 비.브이. 측정을 향상시키기 위한 비대칭 서브 해상도 피처를 사용하는 리소그래피 공정의 광학적 메트롤로지
NL2017928A (en) 2015-12-18 2017-06-28 Univ Amsterdam Inspection apparatus and method
WO2017102428A1 (en) 2015-12-18 2017-06-22 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arrangement
WO2017108395A1 (en) 2015-12-21 2017-06-29 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
CN108604065B (zh) * 2015-12-23 2021-10-26 Asml荷兰有限公司 量测方法、目标和衬底
CN108700819B (zh) 2015-12-23 2020-11-10 Asml荷兰有限公司 光刻设备和用于执行测量的方法
CN106959587B (zh) * 2016-01-11 2019-07-02 中芯国际集成电路制造(上海)有限公司 基于衍射的套刻测量标记、套刻测量方法和测量装置
WO2017140528A1 (en) 2016-02-19 2017-08-24 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system, device manufacturing method and wavelength-selective filter for use therein
WO2017144379A1 (en) 2016-02-22 2017-08-31 Asml Netherlands B.V. Separation of contributions to metrology data
WO2017144270A1 (en) 2016-02-26 2017-08-31 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2017148665A1 (en) 2016-03-01 2017-09-08 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure and lithographic apparatus
US10546790B2 (en) 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2017153133A1 (en) 2016-03-08 2017-09-14 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, method of manufacturing devices and computer program
WO2017153171A1 (en) 2016-03-11 2017-09-14 Asml Netherlands B.V. Method of calculating corrections for controlling a manufacturing process, metrology apparatus, device manufacturing method and modeling method
KR102153482B1 (ko) 2016-04-15 2020-09-09 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 작동을 조절하는 방법
US10802208B2 (en) 2016-04-19 2020-10-13 Asml Holding N.V. Broad spectrum radiation by supercontinuum generation using a tapered optical fiber
JP6895985B2 (ja) 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法
KR20180128490A (ko) 2016-04-29 2018-12-03 에이에스엠엘 네델란즈 비.브이. 구조체의 특성을 결정하는 방법 및 장치, 디바이스 제조 방법
CN107329373B (zh) * 2016-04-29 2019-01-18 上海微电子装备(集团)股份有限公司 一种套刻误差测量装置及方法
US10048596B2 (en) 2016-05-04 2018-08-14 Asml Netherlands B.V. Method and apparatus for generating illuminating radiation
WO2017202665A1 (en) 2016-05-25 2017-11-30 Asml Netherlands B.V. Focus and overlay improvement by modifying a patterning device
WO2017215944A1 (en) 2016-06-15 2017-12-21 Asml Netherlands B.V. Substrate measurement recipe configuration to improve device matching
US10542201B2 (en) 2016-06-29 2020-01-21 Microsoft Technology Licensing, Llc Split-camera autoalignment
US10488186B2 (en) * 2016-06-29 2019-11-26 Microsoft Technology Licensing, Llc Alignment detection for split camera
WO2018001747A1 (en) 2016-07-01 2018-01-04 Asml Netherlands B.V. Illumination system for a lithographic or inspection apparatus
EP3276419A1 (en) 2016-07-28 2018-01-31 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
CN109478019A (zh) 2016-07-05 2019-03-15 Asml荷兰有限公司 用于检查设备的照射源、检查设备和检查方法
IL263765B2 (en) 2016-07-15 2023-04-01 Asml Netherlands Bv Method and device for designing a target field for metrology
CN109564391A (zh) 2016-07-21 2019-04-02 Asml荷兰有限公司 测量目标的方法、衬底、量测设备以及光刻设备
EP3279736A1 (en) 2016-08-01 2018-02-07 ASML Netherlands B.V. Device and method for processing a radiation beam with coherence
US10578982B2 (en) 2016-08-17 2020-03-03 Asml Netherlands B.V. Substrate measurement recipe design of, or for, a target including a latent image
KR102221714B1 (ko) * 2016-08-23 2021-03-03 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
EP3290911A1 (en) 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
EP3291008A1 (en) 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10712673B2 (en) 2016-09-12 2020-07-14 Asml Netherlands B.V. Method of determining a property of a structure, inspection apparatus and device manufacturing method
JP6773894B2 (ja) 2016-09-12 2020-10-21 エーエスエムエル ネザーランズ ビー.ブイ. 補正を導き出すための方法及び装置、構造の特性を決定するための方法及び装置、デバイス製造方法
EP3296723A1 (en) 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
WO2018059824A1 (en) 2016-09-27 2018-04-05 Asml Netherlands B.V. Metrology recipe selection
EP3299890A1 (en) 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
EP3309616A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Method of inspecting a substrate, metrology apparatus, and lithographic system
EP3318927A1 (en) 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
EP3321738A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
EP3321737A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
EP3333633A1 (en) 2016-12-09 2018-06-13 ASML Netherlands B.V. Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
WO2018108503A1 (en) 2016-12-13 2018-06-21 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336606A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
EP3336607A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
EP3796088A1 (en) 2019-09-23 2021-03-24 ASML Netherlands B.V. Method and apparatus for lithographic process performance determination
EP3343294A1 (en) 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
FR3062516B1 (fr) 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure du desalignement entre une premiere et une seconde zones de gravure
US10996573B2 (en) 2017-01-31 2021-05-04 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
EP3361315A1 (en) 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
CN110383177B (zh) 2017-02-22 2021-10-29 Asml荷兰有限公司 计算量测法
EP3367165A1 (en) 2017-02-23 2018-08-29 ASML Netherlands B.V. Methods of aligning a diffractive optical system and diffractive optical element
EP3376288A1 (en) 2017-03-15 2018-09-19 ASML Netherlands B.V. Apparatus for delivering gas
KR102323993B1 (ko) 2017-03-15 2021-11-10 에이에스엠엘 네델란즈 비.브이. 가스를 전달하는 장치 및 고조파 방사선을 발생시키는 조명 소스
EP3376289A1 (en) 2017-03-17 2018-09-19 ASML Netherlands B.V. Stage system and metrology tool
KR102384553B1 (ko) * 2017-03-23 2022-04-08 에이에스엠엘 네델란즈 비.브이. 구조체의 비대칭 모니터링
US9966725B1 (en) * 2017-03-24 2018-05-08 Cymer, Llc Pulsed light beam spectral feature control
NL2020574A (en) 2017-04-04 2018-10-10 Asml Holding Nv Anti-reflection coating
EP3385685A1 (en) 2017-04-06 2018-10-10 ASML Netherlands B.V. Radiation receiving system
WO2018197198A1 (en) 2017-04-28 2018-11-01 Asml Netherlands B.V. Metrology method and apparatus and associated computer program
WO2018202414A1 (en) 2017-05-04 2018-11-08 Asml Holding N.V. Method, substrate and apparatus to measure performance of optical metrology
EP3399371A1 (en) * 2017-05-05 2018-11-07 ASML Netherlands B.V. Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system
EP3401733A1 (en) 2017-05-08 2018-11-14 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
CN110612481A (zh) 2017-05-08 2019-12-24 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统和器件制造方法
WO2018215177A1 (en) 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
KR102340174B1 (ko) 2017-06-20 2021-12-16 에이에스엠엘 네델란즈 비.브이. 엣지 러프니스 파라미터 결정
WO2018233951A1 (en) 2017-06-21 2018-12-27 Asml Netherlands B.V. METHOD AND APPARATUS FOR DETECTING SUBSTRATE SURFACE VARIATIONS
EP3422102A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3422103A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
EP3422105A1 (en) 2017-06-30 2019-01-02 ASML Netherlands B.V. Metrology parameter determination and metrology recipe selection
WO2019010325A1 (en) * 2017-07-06 2019-01-10 Kla-Tencor Corporation ESTIMATION OF AMPLITUDE AND PHASE ASYMMETRY IN IMAGING TECHNOLOGY TO OBTAIN HIGH PRECISION IN RECOVERY METROLOGY
DE102017115262B9 (de) 2017-07-07 2021-05-27 Carl Zeiss Smt Gmbh Verfahren zur Charakterisierung einer Maske für die Mikrolithographie
JP7364323B2 (ja) 2017-07-14 2023-10-18 エーエスエムエル ネザーランズ ビー.ブイ. 計測装置及び基板ステージ・ハンドラ・システム
EP3432072A1 (en) 2017-07-18 2019-01-23 ASML Netherlands B.V. Methods and apparatus for measurement of a parameter of a feature fabricated on a semiconductor substrate
WO2019015995A1 (en) 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
CN110945436B (zh) 2017-07-25 2022-08-05 Asml荷兰有限公司 用于参数确定的方法及其设备
KR102352673B1 (ko) 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
WO2019038054A1 (en) 2017-08-23 2019-02-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF A PATTERN TRANSFER PROCESS, DEVICE MANUFACTURING METHOD
EP3451060A1 (en) 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
WO2019042809A1 (en) 2017-09-01 2019-03-07 Asml Netherlands B.V. OPTICAL SYSTEMS, METROLOGY APPARATUS AND ASSOCIATED METHODS
EP3451061A1 (en) 2017-09-04 2019-03-06 ASML Netherlands B.V. Method for monitoring a manufacturing process
EP3454123A1 (en) 2017-09-06 2019-03-13 ASML Netherlands B.V. Metrology method and apparatus
EP3454124A1 (en) 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
IL273145B2 (en) 2017-09-11 2024-03-01 Asml Netherlands Bv Lithographic processes in meteorology
EP3462239A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
IL273110B2 (en) 2017-09-11 2024-03-01 Asml Netherlands Bv Patterning methods and devices and devices for measuring focus performance of a lithographic device, method of device manufacture
EP3454127A1 (en) 2017-09-11 2019-03-13 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
CN111065887A (zh) 2017-09-12 2020-04-24 Asml控股股份有限公司 光束指向监测和补偿系统
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3457212A1 (en) 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
WO2019057578A1 (en) 2017-09-22 2019-03-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF PATTERN CREATION PROCESS
EP3460574A1 (en) 2017-09-22 2019-03-27 ASML Netherlands B.V. Method to determine a patterning process parameter
WO2019063313A1 (en) 2017-09-28 2019-04-04 Asml Holding N.V. METROLOGY METHOD AND DEVICE
US11662198B2 (en) 2017-09-28 2023-05-30 Asml Holding N.V. Optical arrangement for an inspection apparatus
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR102514423B1 (ko) 2017-10-05 2023-03-27 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 시스템 및 방법
TW201923332A (zh) * 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
EP3470923A1 (en) * 2017-10-10 2019-04-17 ASML Netherlands B.V. Metrology method
EP3470924A1 (en) 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3477389A1 (en) * 2017-10-24 2019-05-01 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
KR102390742B1 (ko) * 2017-10-24 2022-04-26 에이에스엠엘 네델란즈 비.브이. 마크, 오버레이 타겟, 및 정렬 및 오버레이 방법
WO2019081211A1 (en) 2017-10-26 2019-05-02 Asml Netherlands B.V. METHOD FOR DETERMINING A VALUE OF A PARAMETER OF INTEREST, METHOD FOR CLEANING A SIGNAL CONTAINING INFORMATION REGARDING THIS PARAMETER OF INTEREST
EP3477391A1 (en) 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
CN111316168B (zh) 2017-10-31 2022-04-01 Asml荷兰有限公司 量测设备、测量结构的方法、器件制造方法
EP3477392A1 (en) 2017-10-31 2019-05-01 ASML Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
WO2019091678A1 (en) 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3499312A1 (en) 2017-12-15 2019-06-19 ASML Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3489756A1 (en) 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3492984A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
KR102438502B1 (ko) 2017-12-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
EP3495888A1 (en) 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3495889A1 (en) 2017-12-07 2019-06-12 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
JP7258878B2 (ja) 2017-12-12 2023-04-17 エーエスエムエル ネザーランズ ビー.ブイ. ペリクルに関連する状態を決定するための装置および方法
WO2019115278A1 (en) 2017-12-13 2019-06-20 Asml Holding N.V. Beam splitting prism systems
EP3499311A1 (en) 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
CN111512235B (zh) 2017-12-19 2022-08-05 Asml荷兰有限公司 基于计算量测的校正和控制
US11079687B2 (en) 2017-12-22 2021-08-03 Asml Netherlands B.V. Process window based on defect probability
CN111512238B (zh) 2017-12-28 2024-01-30 Asml荷兰有限公司 从设备部件中移除污染物颗粒的设备和方法
US10583630B2 (en) * 2017-12-28 2020-03-10 Nitto Denko Corporation Method for producing glass unit, pressure-sensitive adhesive sheet, and use of corrosion inhibitor
EP3506011A1 (en) 2017-12-28 2019-07-03 ASML Netherlands B.V. Apparatus for and a method of removing contaminant particles from a component of a metrology apparatus
CN111542783A (zh) * 2017-12-28 2020-08-14 Asml荷兰有限公司 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
EP3514628A1 (en) 2018-01-18 2019-07-24 ASML Netherlands B.V. Method of measuring a target, and metrology apparatus
JP7060695B2 (ja) 2018-01-17 2022-04-26 エーエスエムエル ネザーランズ ビー.ブイ. スキャン信号の特徴診断
KR20200096843A (ko) 2018-01-17 2020-08-13 에이에스엠엘 네델란즈 비.브이. 타겟 측정 방법, 및 계측 장치
EP3514629A1 (en) 2018-01-23 2019-07-24 ASML Netherlands B.V. Methods and apparatus for constructing a parameterized geometric model of a structure and associated inspection apparatus and method
KR102454303B1 (ko) 2018-01-24 2022-10-12 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법 기반 샘플링 스킴
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
WO2019149586A1 (en) 2018-01-30 2019-08-08 Asml Netherlands B.V. Method of patterning at least a layer of a semiconductor device
EP3521930A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
EP3521929A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
EP3528047A1 (en) 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
KR102481755B1 (ko) 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
KR102606115B1 (ko) 2018-02-23 2023-11-29 에이에스엠엘 네델란즈 비.브이. 패턴의 시맨틱 분할을 위한 딥 러닝
EP3531191A1 (en) 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
CN111819498A (zh) 2018-03-06 2020-10-23 Asml控股股份有限公司 抗反射光学衬底和制造方法
DE102018107112B9 (de) * 2018-03-26 2020-02-27 Carl Zeiss Smt Gmbh Verfahren zur Inspektion einer Maske
EP3547029A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Control method for a scanning exposure apparatus
US11360395B2 (en) 2018-03-29 2022-06-14 Asml Netherlands B.V. Control method for a scanning exposure apparatus
EP3547030A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
EP3547031A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
KR102527672B1 (ko) 2018-04-06 2023-04-28 에이에스엠엘 네델란즈 비.브이. 비선형 광학계를 갖는 검사 장치
NL2021848A (en) 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
EP3553602A1 (en) 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
EP3557327A1 (en) 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
NL2022852A (en) 2018-04-26 2019-10-31 Asml Holding Nv Alignment sensor apparatus for process sensivity compensation
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3570108A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure
TWI791196B (zh) 2018-05-24 2023-02-01 荷蘭商Asml荷蘭公司 判定基板之堆疊組態之方法及其相關非暫時性電腦可讀媒體
US20190369307A1 (en) * 2018-05-30 2019-12-05 Key Technology, Inc. Electromagnetic Radiation Detector Assembly
EP3579052A1 (en) 2018-06-08 2019-12-11 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2019233738A1 (en) 2018-06-08 2019-12-12 Asml Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
IL279368B1 (en) 2018-06-13 2024-02-01 Asml Netherlands Bv Metrological device
EP3614207A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
US11860549B2 (en) 2018-06-19 2024-01-02 Asml Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
EP3584637A1 (en) 2018-06-19 2019-12-25 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
EP3588190A1 (en) 2018-06-25 2020-01-01 ASML Netherlands B.V. Method for performing a manufacturing process and associated apparatuses
US11586114B2 (en) 2018-06-25 2023-02-21 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
WO2020020759A1 (en) 2018-07-26 2020-01-30 Asml Netherlands B.V. Method for determining an etch profile of a layer of a wafer for a simulation system
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3605230A1 (en) 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US11630396B2 (en) 2018-08-14 2023-04-18 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
EP3611569A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Metrology apparatus and photonic crystal fiber
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
TWI749355B (zh) 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
EP3620857A1 (en) 2018-09-04 2020-03-11 ASML Netherlands B.V. Metrology apparatus
KR20210040134A (ko) 2018-09-04 2021-04-12 에이에스엠엘 네델란즈 비.브이. 계측 장치
EP3623868A1 (en) 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3623869A1 (en) 2018-09-14 2020-03-18 ASML Netherlands B.V. Method for measuring a parameter of a structure formed using a lithographic process
EP3853666B1 (en) 2018-09-19 2022-08-10 ASML Netherlands B.V. Metrology sensor for position metrology
KR20210044283A (ko) 2018-09-19 2021-04-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 그 장치
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
TWI722562B (zh) 2018-09-24 2021-03-21 荷蘭商Asml荷蘭公司 自圖案化製程之圖案組判定候選圖案的方法
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
EP3629088A1 (en) 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
EP3637186A1 (en) 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
EP3637187A1 (en) 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3640972A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. System and method for facilitating chemical mechanical polishing
EP3647874A1 (en) 2018-11-05 2020-05-06 ASML Netherlands B.V. Optical fibers and production methods therefor
SG11202103803QA (en) 2018-10-24 2021-05-28 Asml Netherlands Bv Optical fibers and production methods therefor
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
WO2020096794A1 (en) 2018-11-07 2020-05-14 Applied Materials, Inc. Methods and apparatus for waveguide metrology
WO2020094385A1 (en) 2018-11-08 2020-05-14 Asml Netherlands B.V. Prediction of out of specification based on spatial characteristic of process variability
EP3650941A1 (en) 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
EP3654103A1 (en) 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
EP3654104A1 (en) 2018-11-16 2020-05-20 ASML Netherlands B.V. Method for monitoring lithographic apparatus
WO2020099050A1 (en) 2018-11-16 2020-05-22 Asml Netherlands B.V. Method for monitoring lithographic apparatus
US20200158632A1 (en) * 2018-11-19 2020-05-21 Luminit Llc Test System for a Holographic Optical Element
EP3657256A1 (en) 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11119417B2 (en) * 2018-11-21 2021-09-14 Kla-Tencor Corporation Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
EP3657257A1 (en) 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020114684A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
EP3663856A1 (en) 2018-12-07 2020-06-10 ASML Netherlands B.V. Method for adjusting a target feature in a model of a patterning process based on local electric fields
CN113196173A (zh) 2018-12-14 2021-07-30 Asml荷兰有限公司 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法
US11474435B2 (en) 2018-12-20 2022-10-18 Asml Netherlands B.V. Metrology sensor, illumination system and method of generating measurement illumination with a configurable illumination spot diameter
KR102617197B1 (ko) 2018-12-28 2023-12-27 에이에스엠엘 네델란즈 비.브이. 프린트된 기판으로부터의 측정 피드백에 기초한 패턴 랭킹 결정
WO2020141050A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Position metrology apparatus and associated optical elements
KR20210096659A (ko) 2018-12-31 2021-08-05 에이에스엠엘 네델란즈 비.브이. 계측 방법
EP3715951A1 (en) 2019-03-28 2020-09-30 ASML Netherlands B.V. Position metrology apparatus and associated optical elements
US20220074875A1 (en) * 2018-12-31 2022-03-10 Asml Netherlands B.V. Method for overlay metrology and apparatus thereof
WO2020141049A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for metrology optimization
WO2020141040A1 (en) 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US11333982B2 (en) 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
KR102631626B1 (ko) 2019-01-29 2024-01-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 프로세스를 제어하기 위한 방법 및 장치
EP3696606A1 (en) 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
NL2024850A (en) 2019-02-21 2020-08-31 Asml Holding Nv Wafer alignment using form birefringence of targets or product
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3702840A1 (en) 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3705942A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3705945A1 (en) 2019-03-08 2020-09-09 ASML Netherlands B.V. Methods and apparatus for estimating substrate shape
WO2020193010A1 (en) 2019-03-22 2020-10-01 Asml Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3764164A1 (en) 2019-07-11 2021-01-13 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
IL286548B1 (en) 2019-03-25 2024-02-01 Asml Netherlands Bv A device for expanding frequency and method
EP3715944A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
WO2020200637A1 (en) 2019-04-03 2020-10-08 Asml Netherlands B.V. Optical fiber
EP3719551A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Optical fiber
EP3734366A1 (en) 2019-05-03 2020-11-04 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
WO2020200635A1 (en) 2019-04-04 2020-10-08 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
WO2020207794A1 (en) * 2019-04-08 2020-10-15 Asml Holding N.V. Sensor apparatus and method for lithographic measurements
EP3731018A1 (en) 2019-04-23 2020-10-28 ASML Netherlands B.V. A method for re-imaging an image and associated metrology apparatus
US11940608B2 (en) 2019-05-06 2024-03-26 Asml Netherlands B.V. Dark field microscope
EP3742230A1 (en) 2019-05-23 2020-11-25 ASML Netherlands B.V. Detection apparatus for simultaneous acquisition of multiple diverse images of an object
CN113811821A (zh) 2019-05-13 2021-12-17 Asml荷兰有限公司 用于同时获取物体的多个不同图像的检测设备
EP3739389A1 (en) 2019-05-17 2020-11-18 ASML Netherlands B.V. Metrology tools comprising aplanatic objective singlet
WO2020239516A1 (en) 2019-05-30 2020-12-03 Asml Holding N.V. Self-referencing interferometer and dual self-referencing interferometer devices
EP3751342A1 (en) 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
CN114008531A (zh) 2019-06-17 2022-02-01 Asml荷兰有限公司 用于确定复值场的量测方法和装置
EP3754427A1 (en) 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
US11875101B2 (en) 2019-06-20 2024-01-16 Asml Netherlands B.V. Method for patterning process modelling
EP3767347A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
EP3754389A1 (en) 2019-06-21 2020-12-23 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
EP3758168A1 (en) 2019-06-25 2020-12-30 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3994523A1 (en) 2019-07-02 2022-05-11 ASML Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP3994526A1 (en) 2019-07-03 2022-05-11 ASML Netherlands B.V. Method for applying a deposition model in a semiconductor manufacturing process
WO2021001129A1 (en) 2019-07-04 2021-01-07 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3767391A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3767394A1 (en) * 2019-07-18 2021-01-20 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
CN114008540A (zh) 2019-07-15 2022-02-01 Asml荷兰有限公司 对准、重叠、配置标记、制造图案形成装置和图案化标记的方法
EP3786712A1 (en) 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
US11971663B2 (en) 2019-07-16 2024-04-30 Asml Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
EP3767375A1 (en) 2019-07-19 2021-01-20 ASML Netherlands B.V. A light source and a method for use in metrology applications
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
WO2021013611A1 (en) 2019-07-24 2021-01-28 Asml Netherlands B.V. Radiation source
EP3796080A1 (en) 2019-09-18 2021-03-24 ASML Netherlands B.V. Radiation source
CN114222949A (zh) 2019-08-13 2022-03-22 Asml荷兰有限公司 用于计算特征的建模方法
US20220283122A1 (en) 2019-08-14 2022-09-08 Asml Netherlands B.V. Method and metrology tool for determining information about a target structure, and cantilever probe
EP3779600A1 (en) 2019-08-14 2021-02-17 ASML Netherlands B.V. Method and metrology tool for determining information about a target structure, and cantilever probe
EP3783436A1 (en) 2019-08-19 2021-02-24 ASML Netherlands B.V. Illumination and detection apparatus for a metrology apparatus
EP3783439A1 (en) 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
EP3812836A1 (en) 2019-10-21 2021-04-28 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3786701B1 (en) 2019-08-29 2023-04-26 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3786700A1 (en) 2019-08-29 2021-03-03 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
KR20220037505A (ko) 2019-08-30 2022-03-24 에이에스엠엘 홀딩 엔.브이. 메트롤로지 시스템 및 방법
EP3786713A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
EP3786702A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
WO2021043593A1 (en) 2019-09-02 2021-03-11 Asml Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
WO2021043596A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Method for determining aberration sensitivity of patterns
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
WO2021043516A1 (en) 2019-09-03 2021-03-11 Asml Netherlands B.V. Assembly for collimating broadband radiation
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
WO2021043952A1 (en) 2019-09-05 2021-03-11 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3792693A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
WO2021052801A1 (en) 2019-09-18 2021-03-25 Asml Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
EP3805857A1 (en) 2019-10-09 2021-04-14 ASML Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
EP3796089A1 (en) 2019-09-18 2021-03-24 ASML Holding N.V. A method for filtering an image and associated metrology apparatus
EP3798729A1 (en) 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
KR20220054425A (ko) 2019-10-02 2022-05-02 에이에스엠엘 네델란즈 비.브이. 예측 모델들을 사용한 공정 모니터링 및 튜닝
EP3809190A1 (en) 2019-10-14 2021-04-21 ASML Netherlands B.V. Method and apparatus for coherence scrambling in metrology applications
JP6732243B1 (ja) * 2019-10-15 2020-07-29 英治 神谷 欠陥検出装置
EP3809203A1 (en) 2019-10-17 2021-04-21 ASML Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
US20220382124A1 (en) 2019-10-17 2022-12-01 Asml Netherlands B.V. An illumination source and associated metrology apparatus
EP4045976A1 (en) 2019-10-17 2022-08-24 ASML Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
KR20220063265A (ko) 2019-10-24 2022-05-17 에이에스엠엘 네델란즈 비.브이. 광대역 방사선 발생을 위한 중공 코어 광결정 섬유 기반 광학 요소
EP3839586A1 (en) 2019-12-18 2021-06-23 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
KR20220073828A (ko) 2019-11-01 2022-06-03 에이에스엠엘 네델란즈 비.브이. 모델 베이스 정렬들을 위한 기계 학습 기반 이미지 생성
US20220397832A1 (en) 2019-11-01 2022-12-15 Asml Netherlands B.V. Metrology method and lithographic apparatuses
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
US20220397834A1 (en) 2019-11-05 2022-12-15 Asml Netherlands B.V. Measuring method and measuring apparatus
US20220390860A1 (en) 2019-11-07 2022-12-08 Asml Holding N.V. Systems for cleaning a portion of a lithography apparatus
EP3819267B1 (en) 2019-11-07 2022-06-29 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
EP3819266A1 (en) 2019-11-07 2021-05-12 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
KR20220079662A (ko) 2019-11-11 2022-06-13 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템을 위한 교정 방법
CN110927116B (zh) * 2019-11-29 2022-08-02 中国科学院微电子研究所 一种测量标记结构的方法、装置及系统
CN114766012A (zh) 2019-11-29 2022-07-19 Asml荷兰有限公司 用参数化模型预测过程信息的方法和系统
EP3828632A1 (en) 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
US11762305B2 (en) 2019-12-05 2023-09-19 Asml Netherlands B.V. Alignment method
CN114830039A (zh) 2019-12-12 2022-07-29 Asml荷兰有限公司 对准方法以及相关对准和光刻设备
CN114868084A (zh) 2019-12-16 2022-08-05 Asml荷兰有限公司 量测方法和相关联的量测和光刻设备
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
WO2021121733A1 (en) 2019-12-17 2021-06-24 Asml Netherlands B.V. Dark field digital holographic microscope and associated metrology method
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
IL293749A (en) 2019-12-18 2022-08-01 Asml Netherlands Bv A method for correcting measurements in the production of integrated circuits and related devices
EP3839631A1 (en) * 2019-12-19 2021-06-23 ASML Netherlands B.V. Determining relative positions of different layers in a structure
WO2021123135A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
US20230009177A1 (en) * 2019-12-19 2023-01-12 Asmlnetherlands B.V. Optically determining electrical contact between metallic features in different layers in a structure
EP3839632A1 (en) 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
WO2021130315A1 (en) 2019-12-24 2021-07-01 Asml Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
CN113124751B (zh) * 2019-12-31 2022-07-29 上海微电子装备(集团)股份有限公司 一种散射测量装置及散射测量方法
WO2021144093A1 (en) 2020-01-15 2021-07-22 Asml Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
EP3865931A1 (en) 2020-02-12 2021-08-18 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
WO2021151565A1 (en) 2020-01-28 2021-08-05 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP3876037A1 (en) 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
JP7365510B2 (ja) 2020-01-29 2023-10-19 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の周期構造を測定するための計測方法およびデバイス
US20230059471A1 (en) 2020-01-29 2023-02-23 Asml Holding N.V. Optical designs of miniaturized overlay measurement system
CN115023654A (zh) 2020-02-07 2022-09-06 Asml荷兰有限公司 工作台系统、工作台系统操作方法、检查工具、光刻设备、校准方法和装置制造方法
EP3869271A1 (en) 2020-02-20 2021-08-25 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
KR20220132624A (ko) 2020-02-12 2022-09-30 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 방법 및 연관된 장치
US20230076218A1 (en) 2020-02-21 2023-03-09 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
EP3879342A1 (en) 2020-03-10 2021-09-15 ASML Netherlands B.V. Method for inferring a local uniformity metric and associated appratuses
WO2021175521A1 (en) 2020-03-02 2021-09-10 Asml Netherlands B.V. Method for inferring a local uniformity metric
US20230107556A1 (en) 2020-03-03 2023-04-06 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN115244467A (zh) 2020-03-03 2022-10-25 Asml荷兰有限公司 用于控制制造过程的方法和相关联的设备
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3889681A1 (en) 2020-03-31 2021-10-06 ASML Netherlands B.V. An assembly including a non-linear element and a method of use thereof
US20230359118A1 (en) 2020-04-03 2023-11-09 Asml Holding N.V. Systems and methods for forming structures on a surface
WO2021213705A1 (en) 2020-04-23 2021-10-28 Technische Universiteit Eindhoven Method and system for determining one or more dimensions of one or more structures on a sample surface
CN115668067A (zh) 2020-05-19 2023-01-31 Asml控股股份有限公司 基于局部对准标记变形来产生对准信号
EP3913429A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
US20230176490A1 (en) 2020-05-26 2023-06-08 Asml Netherlands B.V. Method for optimizing a sampling scheme and associated apparatuses
TW202331426A (zh) 2020-06-01 2023-08-01 荷蘭商Asml控股公司 用於清潔微影設備之一部分之清潔工具及方法
WO2021249711A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Metrology method, metrology apparatus and lithographic apparatus
WO2021259559A1 (en) 2020-06-24 2021-12-30 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
US20230221652A1 (en) 2020-07-03 2023-07-13 Asml Netherlans B. V. Process window based on failure rate
KR20230035034A (ko) 2020-07-06 2023-03-10 에이에스엠엘 네델란즈 비.브이. 조명 장치 및 연관된 계측 및 리소그래피 장치
EP3936936A1 (en) 2020-07-08 2022-01-12 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator with extended fiber lifetime
DK3936937T3 (en) 2020-07-08 2022-09-19 Asml Netherlands Bv Hollow-Core Fiber Based Broadband Radiation Generator With Extended Fiber Lifetime
EP3944020A1 (en) 2020-07-20 2022-01-26 ASML Netherlands B.V. Method for adjusting a patterning process
KR20230023789A (ko) 2020-07-09 2023-02-17 에이에스엠엘 네델란즈 비.브이. 패터닝 공정 조정 방법
WO2022008198A1 (en) 2020-07-09 2022-01-13 Asml Netherlands B.V. Motion control using an artificial neural network
EP3945548A1 (en) 2020-07-30 2022-02-02 ASML Netherlands B.V. Method for classifying semiconductor wafers
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
IL299268A (en) 2020-07-28 2023-02-01 Asml Netherlands Bv Methods and devices and printing devices for measuring focus performance of a lithographic device, device manufacturing method
EP3974899A1 (en) 2020-09-28 2022-03-30 ASML Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
US20230273502A1 (en) 2020-08-03 2023-08-31 Asml Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
WO2022028812A1 (en) 2020-08-06 2022-02-10 Asml Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP4001976A1 (en) 2020-11-13 2022-05-25 ASML Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP4196851A1 (en) 2020-08-11 2023-06-21 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3961303A1 (en) 2020-08-27 2022-03-02 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3961304A1 (en) 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
EP3988996A1 (en) 2020-10-20 2022-04-27 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
JP2023540186A (ja) 2020-09-03 2023-09-22 エーエスエムエル ネザーランズ ビー.ブイ. 中空コアフォトニック結晶ファイバベースの広帯域放射ジェネレータ
EP3968090A1 (en) 2020-09-11 2022-03-16 ASML Netherlands B.V. Radiation source arrangement and metrology device
KR20220032922A (ko) 2020-09-08 2022-03-15 삼성전자주식회사 퓨필 타원 편광 계측 장치 및 방법, 및 그 방법을 이용한 반도체 소자 제조방법
EP3971555A1 (en) 2020-09-16 2022-03-23 ASML Netherlands B.V. Method of performing metrology
WO2022058111A1 (en) 2020-09-16 2022-03-24 Asml Netherlands B.V. Method of performing metrology, method of training a machine learning model, method of providing a layer comprising a two-dimensional material, metrology apparatus
TWI788029B (zh) 2020-09-28 2022-12-21 荷蘭商Asml荷蘭公司 目標結構及相關聯之方法與裝置
EP3978964A1 (en) 2020-10-01 2022-04-06 ASML Netherlands B.V. Achromatic optical relay arrangement
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
JP2023549656A (ja) 2020-11-17 2023-11-29 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジシステム及びリソグラフィシステム
CN116583785A (zh) 2020-11-24 2023-08-11 Asml荷兰有限公司 确定用于重叠特征标识的标记结构的方法
WO2022112064A1 (en) * 2020-11-24 2022-06-02 Asml Holding N.V. Multiple objectives metrology system, lithographic apparatus, and methods thereof
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
CN116529673A (zh) 2020-11-27 2023-08-01 Asml荷兰有限公司 量测方法及相关量测和光刻装置
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
US20240004312A1 (en) 2020-11-30 2024-01-04 Asml Netherlands B.V. Metrology apparatus based on high harmonic generation and associated method
EP4009107A1 (en) 2020-12-01 2022-06-08 ASML Netherlands B.V. Method and apparatus for imaging nonstationary object
JP2023551776A (ja) 2020-12-08 2023-12-13 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジの方法及び関連装置
KR20230112653A (ko) 2020-12-10 2023-07-27 에이에스엠엘 네델란즈 비.브이. 중공 코어 광결정 광섬유 기반 광대역 방사선 발생기
EP4012492A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4016186A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
EP4030236A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
US20240004309A1 (en) 2020-12-21 2024-01-04 Asml Netherlands B.V. A method of monitoring a lithographic process
EP4030230A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. Methods and apparatus for providing a broadband light source
WO2022135823A1 (en) 2020-12-23 2022-06-30 Asml Netherlands B.V. Methods and apparatus for providing a broadband light source
IL303879A (en) 2020-12-30 2023-08-01 Asml Netherlands Bv A modular automatic coder model for estimating parameters of production processes
EP4075341A1 (en) 2021-04-18 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075340A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
US11761906B2 (en) 2021-01-07 2023-09-19 Samsung Electronics Co., Ltd. Optical device
CN116783556A (zh) 2021-01-19 2023-09-19 Asml控股股份有限公司 用于在光刻对准设备中测量强度的系统和方法
EP4030237A1 (en) 2021-01-19 2022-07-20 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4036619A1 (en) 2021-01-27 2022-08-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber
WO2022161703A1 (en) 2021-01-27 2022-08-04 Asml Netherlands B.V. Hollow-core photonic crystal fiber
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4040233A1 (en) 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
JP2024512198A (ja) 2021-02-04 2024-03-19 エーエスエムエル ネザーランズ ビー.ブイ. 光パルスを空間的にフィルタリングするための方法および装置
EP4067968A1 (en) 2021-03-29 2022-10-05 ASML Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
KR20230146536A (ko) 2021-02-17 2023-10-19 에이에스엠엘 네델란즈 비.브이. 원거리 필드에서 방사선을 분리하기 위한 어셈블리
US11526086B2 (en) * 2021-03-08 2022-12-13 Kla Corporation Multi-field scanning overlay metrology
EP4057069A1 (en) 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
EP4060403A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Hollow-core photonic crystal fiber based multiple wavelength light source device
EP4060408A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Method and system for predicting process information with a parameterized model
EP4086698A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
IL305428A (en) 2021-03-16 2023-10-01 Asml Netherlands Bv A radiation source based on hollow-core optical fibers
IL305689A (en) 2021-03-22 2023-11-01 Asml Holding Nv Digital holographic microscope and associated metrological methods
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
EP4071553A1 (en) 2021-04-07 2022-10-12 ASML Netherlands B.V. Method of determining at least a target layout and associated metrology apparatus
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
JP2024514054A (ja) 2021-04-19 2024-03-28 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジツール較正方法及び関連するメトロロジツール
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
KR20230171945A (ko) 2021-04-26 2023-12-21 에이에스엠엘 네델란즈 비.브이. 세정 방법 및 연관된 조명 소스 메트롤로지 장치
WO2022233547A1 (en) 2021-05-03 2022-11-10 Asml Netherlands B.V. Optical element for generation of broadband radiation
EP4105696A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Optical element for generation of broadband radiation
KR20240003442A (ko) 2021-05-04 2024-01-09 에이에스엠엘 네델란즈 비.브이. 계측 장치 및 리소그래피 장치
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4089484A1 (en) 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
KR20240016967A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 연관된 메트롤로지 툴
IL308370A (en) 2021-05-31 2024-01-01 Asml Netherlands Bv Metrological measurement method and device
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
WO2022263102A1 (en) 2021-06-14 2022-12-22 Asml Netherlands B.V. An illumination source and associated method apparatus
EP4124909A1 (en) 2021-07-28 2023-02-01 ASML Netherlands B.V. Metrology method and device
IL308972A (en) 2021-06-18 2024-01-01 Asml Netherlands Bv Metrology method and instrument
EP4112572A1 (en) 2021-06-28 2023-01-04 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4113210A1 (en) 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
CN117642701A (zh) 2021-07-16 2024-03-01 Asml荷兰有限公司 量测方法和设备
EP4130880A1 (en) 2021-08-03 2023-02-08 ASML Netherlands B.V. Methods of data mapping for low dimensional data analysis
KR20240035804A (ko) 2021-07-20 2024-03-18 에이에스엠엘 네델란즈 비.브이. 저차원 데이터 분석을 위한 데이터 매핑 방법 및 프로그램
EP4124911A1 (en) 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
KR20240036031A (ko) 2021-07-23 2024-03-19 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 계측 디바이스
CN117616319A (zh) 2021-08-02 2024-02-27 Asml荷兰有限公司 用于在量测系统中使用的光学元件
WO2023012338A1 (en) 2021-08-06 2023-02-09 Asml Netherlands B.V. Metrology target, patterning device and metrology method
CN117813558A (zh) 2021-08-18 2024-04-02 Asml荷兰有限公司 量测方法和设备
WO2023025578A1 (en) 2021-08-25 2023-03-02 Asml Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4163715A1 (en) 2021-10-05 2023-04-12 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
EP4194952A1 (en) 2021-12-13 2023-06-14 ASML Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
IL310738A (en) 2021-08-26 2024-04-01 Asml Netherlands Bv A method for determining a measuring recipe and related devices
KR20240056509A (ko) 2021-09-07 2024-04-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정을 모니터링하는 방법 및 관련된 장치
EP4191337A1 (en) 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
WO2023036521A1 (en) 2021-09-08 2023-03-16 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP4184426A1 (en) 2021-11-22 2023-05-24 ASML Netherlands B.V. Metrology method and device
WO2023041274A1 (en) 2021-09-14 2023-03-23 Asml Netherlands B.V. Metrology method and device
WO2023046420A1 (en) 2021-09-22 2023-03-30 Asml Netherlands B.V. Source selection module and associated metrology and lithographic apparatuses
EP4155821A1 (en) 2021-09-27 2023-03-29 ASML Netherlands B.V. Method for focus metrology and associated apparatuses
EP4155822A1 (en) 2021-09-28 2023-03-29 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4160314A1 (en) 2021-10-04 2023-04-05 ASML Netherlands B.V. Method for measuring at least one target on a substrate
EP4163687A1 (en) 2021-10-06 2023-04-12 ASML Netherlands B.V. Fiber alignment monitoring tool and associated fiber alignment method
EP4167031A1 (en) 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method
EP4170429A1 (en) 2021-10-19 2023-04-26 ASML Netherlands B.V. Out-of-band leakage correction method and metrology apparatus
EP4170430A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
WO2023072880A1 (en) * 2021-10-29 2023-05-04 Asml Netherlands B.V. Inspection apparatus, polarization-maintaining rotatable beam displacer, and method
EP4174568A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174577A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Method of determining a performance parameter distribution
FR3128779B1 (fr) 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
EP4174567A1 (en) 2021-11-02 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
WO2023078619A1 (en) 2021-11-02 2023-05-11 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4181018A1 (en) 2021-11-12 2023-05-17 ASML Netherlands B.V. Latent space synchronization of machine learning models for in-device metrology inference
CN114111625A (zh) * 2021-11-15 2022-03-01 河北工程大学 一种光学成像系统及方法
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
EP4191338A1 (en) 2021-12-03 2023-06-07 ASML Netherlands B.V. Metrology calibration method
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110318A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Machine learning model for asymmetry-induced overlay error correction
WO2023117263A1 (en) 2021-12-20 2023-06-29 Asml Netherlands B.V. Method and apparatus for lithographic imaging
WO2023117611A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. Systems and methods for generating multiple illumination spots from a single illumination source
WO2023117610A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. Generating an alignment signal without dedicated alignment structures
WO2023126300A1 (en) 2021-12-28 2023-07-06 Asml Netherlands B.V. Element of an afm tool
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131589A1 (en) 2022-01-10 2023-07-13 Asml Netherlands B.V. Mechanically controlled stress-engineered optical systems and methods
WO2023138916A1 (en) 2022-01-21 2023-07-27 Asml Netherlands B.V. Systems and methods for inspecting a portion of a lithography apparatus
WO2023138892A1 (en) 2022-01-24 2023-07-27 Asml Netherlands B.V. Method and apparatus for illumination adjustment
WO2023151973A1 (en) 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
EP4231090A1 (en) 2022-02-17 2023-08-23 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
WO2023160924A1 (en) 2022-02-22 2023-08-31 Asml Netherlands B.V. Method and apparatus for reflecting pulsed radiation
WO2023160925A1 (en) 2022-02-25 2023-08-31 Asml Netherlands B.V. Systems and methods for cleaning a portion of a lithography apparatus
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4254068A1 (en) 2022-03-28 2023-10-04 ASML Netherlands B.V. Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
EP4254266A1 (en) 2022-03-29 2023-10-04 ASML Netherlands B.V. Methods related to an autoencoder model or similar for manufacturing process parameter estimation
US20230314126A1 (en) * 2022-04-04 2023-10-05 Applied Materials, Inc. Methods for high-resolution, stable measurement of pitch and orientation in optical gratings
EP4296780A1 (en) 2022-06-24 2023-12-27 ASML Netherlands B.V. Imaging method and metrology device
WO2023194036A1 (en) 2022-04-05 2023-10-12 Asml Netherlands B.V. Imaging method and metrology device
WO2023194049A1 (en) 2022-04-08 2023-10-12 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
EP4273622A1 (en) 2022-05-02 2023-11-08 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4261618A1 (en) 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023213527A1 (en) 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool
EP4276537A1 (en) 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
WO2023222310A1 (en) 2022-05-16 2023-11-23 Asml Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
EP4279992A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222342A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222349A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
WO2023232360A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. Method for determining a failure event on a lithography system and associated failure detection module
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4289798A1 (en) 2022-06-07 2023-12-13 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4300193A1 (en) 2022-06-27 2024-01-03 ASML Netherlands B.V. Focus measurment and control in metrology and associated wedge arrangement
EP4300183A1 (en) 2022-06-30 2024-01-03 ASML Netherlands B.V. Apparatus for broadband radiation generation
EP4303658A1 (en) 2022-07-05 2024-01-10 ASML Netherlands B.V. Method of correction metrology signal data
WO2024012772A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Metrology target and associated metrology method
EP4312079A1 (en) 2022-07-29 2024-01-31 ASML Netherlands B.V. Methods of mitigating crosstalk in metrology images
EP4312005A1 (en) 2022-07-29 2024-01-31 Stichting VU Method and apparatuses for fourier transform spectrometry
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
WO2024033036A1 (en) 2022-08-08 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4361726A1 (en) 2022-10-24 2024-05-01 ASML Netherlands B.V. Inference model training
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
WO2024033005A1 (en) 2022-08-09 2024-02-15 Asml Netherlands B.V. Inference model training
WO2024033035A1 (en) 2022-08-10 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
WO2024052057A1 (en) 2022-09-06 2024-03-14 Asml Netherlands B.V. Method for monitoring proper functioning of one or more components of a lithography system
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336251A1 (en) 2022-09-12 2024-03-13 ASML Netherlands B.V. A multi-pass radiation device
WO2024056296A1 (en) 2022-09-13 2024-03-21 Asml Netherlands B.V. Metrology method and associated metrology device
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
WO2024078813A1 (en) 2022-10-11 2024-04-18 Asml Netherlands B.V. An aberration correction optical system
EP4354200A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. An aberration correction optical system
WO2024083559A1 (en) 2022-10-17 2024-04-25 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4357853A1 (en) 2022-10-17 2024-04-24 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4361703A1 (en) 2022-10-27 2024-05-01 ASML Netherlands B.V. An illumination module for a metrology device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01303721A (ja) * 1988-05-31 1989-12-07 Canon Inc 面傾き検出装置
JP2000097841A (ja) * 1998-08-22 2000-04-07 Malvern Instruments Ltd 粒子サイズの分布を測定するための装置及び方法
JP2003224057A (ja) * 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US192577A (en) * 1877-07-03 Improvement in wringer-rolls
US2155431A (en) 1935-12-31 1939-04-25 Hoe & Co R Web roll control mechanism for printing machines
US3048699A (en) * 1958-05-15 1962-08-07 Texaco Inc Method and apparatus for measuring absorption spectra
US3909602A (en) * 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4236823A (en) * 1978-09-18 1980-12-02 Rca Corporation Diffractometer for measuring signal depth and width
JPS58108745A (ja) * 1981-12-23 1983-06-28 Canon Inc 転写装置
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
US5218415A (en) * 1988-05-31 1993-06-08 Canon Kabushiki Kaisha Device for optically detecting inclination of a surface
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
JPH06103252B2 (ja) 1989-05-04 1994-12-14 サーマ―ウェイブ・インク 高分解能エリプソメータ装置と方法
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
GB9014263D0 (en) * 1990-06-27 1990-08-15 Dixon Arthur E Apparatus and method for spatially- and spectrally- resolvedmeasurements
US5106196A (en) * 1990-08-21 1992-04-21 Brierley Philip R Single adjustment specular reflection accessory for spectroscopy
IL100655A (en) * 1991-02-08 1994-11-28 Hughes Aircraft Co Profile gauge for interferometric laser
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US6078380A (en) 1991-10-08 2000-06-20 Nikon Corporation Projection exposure apparatus and method involving variation and correction of light intensity distributions, detection and control of imaging characteristics, and control of exposure
JPH05259031A (ja) * 1992-03-12 1993-10-08 Nikon Corp 傾き検出装置
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5461237A (en) * 1993-03-26 1995-10-24 Nikon Corporation Surface-position setting apparatus
US5412473A (en) 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
JPH10507833A (ja) * 1994-10-21 1998-07-28 サーマ−ウェイブ・インク 分光偏光解析装置
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5541731A (en) * 1995-04-28 1996-07-30 International Business Machines Corporation Interferometric measurement and alignment technique for laser scanners
US5713364A (en) * 1995-08-01 1998-02-03 Medispectra, Inc. Spectral volume microprobe analysis of materials
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
JP3713354B2 (ja) 1997-03-21 2005-11-09 株式会社トプコン 位置測定装置
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6122051A (en) * 1998-06-04 2000-09-19 Raytheon Company Multi-slit spectrometer
DE19829278C1 (de) * 1998-06-30 2000-02-03 Sirona Dental Systems Gmbh 3-D-Kamera zur Erfassung von Oberflächenstrukturen, insbesondere für zahnmedizinische Zwecke
JP4722244B2 (ja) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
DE19859725C2 (de) * 1998-12-23 2001-02-22 Stefan Dengler Vorrichtung zur Ermittlung von Verformungen an einer Objektoberfläche, insbesondere einer diffus streuenden Objektoberfläche und Verwendung der Vorichtung
AU5159600A (en) * 1999-05-28 2000-12-18 Hi-Tech Environmental Products, Llc. Synthetic thermoplastic compositions and articles made therefrom
KR100301067B1 (ko) * 1999-08-23 2001-11-01 윤종용 마이크로 스크래치 검사방법 및 이를 적용한 장치
US6453006B1 (en) * 2000-03-16 2002-09-17 Therma-Wave, Inc. Calibration and alignment of X-ray reflectometric systems
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6532076B1 (en) * 2000-04-04 2003-03-11 Therma-Wave, Inc. Method and apparatus for multidomain data analysis
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
JP2004536440A (ja) * 2000-08-11 2004-12-02 サーマ−ウェーブ・インコーポレイテッド 半導体ウェハ処理ツールへ組込まれた光学臨界寸法計測学システム
US6710876B1 (en) 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6753961B1 (en) * 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6750968B2 (en) * 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) * 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
US6819426B2 (en) 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
CN1261736C (zh) * 2001-03-02 2006-06-28 安格盛光电科技公司 利用散射测量的线路轮廓不对称测量法
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP2002334826A (ja) 2001-05-09 2002-11-22 Canon Inc 露光方法、面位置合わせ方法、露光装置及びデバイス製造方法
US6651825B2 (en) * 2001-06-06 2003-11-25 Fresh Creek Technologies, Inc. Disposable net assemblies for apparatus for collecting floating debris
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
US7061622B2 (en) * 2001-08-03 2006-06-13 Case Western Reserve University Aspects of basic OCT engine technologies for high speed optical coherence tomography and light source and other improvements in optical coherence tomography
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
DE10146945A1 (de) * 2001-09-24 2003-04-10 Zeiss Carl Jena Gmbh Meßanordnung und Meßverfahren
CN1303477C (zh) * 2001-10-10 2007-03-07 安格盛光电科技公司 利用截面分析确定聚焦中心
US20030081216A1 (en) * 2001-11-01 2003-05-01 Martin Ebert Graphical user interface for sample positioning
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US7365858B2 (en) * 2001-12-18 2008-04-29 Massachusetts Institute Of Technology Systems and methods for phase measurements
AU2002360738A1 (en) * 2001-12-19 2003-07-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US7050162B2 (en) 2002-01-16 2006-05-23 Therma-Wave, Inc. Optical metrology tool having improved contrast
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148484A (en) 2002-03-04 2008-11-26 Nova Measuring Instr Ltd Optical measurements of patterned structures
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
IL149557A (en) 2002-05-09 2008-11-03 Nova Measuring Instr Ltd Optical system operating with variable angle of incidence
US6985229B2 (en) 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7216045B2 (en) 2002-06-03 2007-05-08 Timbre Technologies, Inc. Selection of wavelengths for integrated circuit optical metrology
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
DE60319462T2 (de) * 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US6775015B2 (en) * 2002-06-18 2004-08-10 Timbre Technologies, Inc. Optical metrology of single features
CA2390072C (en) * 2002-06-28 2018-02-27 Adrian Gh Podoleanu Optical mapping apparatus with adjustable depth resolution and multiple functionality
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
CA2498933C (en) 2002-09-12 2012-08-28 Cyvera Corporation Method and apparatus for aligning elongated microbeads in order to interrogate the same
SG120949A1 (en) * 2002-09-20 2006-04-26 Asml Netherlands Bv Alignment system and methods for lithographic systems using at least two wavelengths
EP1400855A3 (en) 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7427521B2 (en) * 2002-10-17 2008-09-23 Timbre Technologies, Inc. Generating simulated diffraction signals for two-dimensional structures
US20040133362A1 (en) * 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
SG120958A1 (en) 2002-11-01 2006-04-26 Asml Netherlands Bv Inspection method and device manufacturing method
US7369233B2 (en) * 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
US7440105B2 (en) 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
WO2004053426A1 (en) 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7136143B2 (en) * 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6791679B2 (en) * 2003-02-04 2004-09-14 Timbre Technologies, Inc. Adaptive correlation of pattern resist structures using optical metrology
US7630873B2 (en) * 2003-02-26 2009-12-08 Tokyo Electron Limited Approximating eigensolutions for use in determining the profile of a structure formed on a semiconductor wafer
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US7233390B2 (en) 2003-03-31 2007-06-19 Therma-Wave, Inc. Scatterometry for samples with non-uniform edges
US6952261B2 (en) 2003-03-31 2005-10-04 Therma-Wave, Inc. System for performing ellipsometry using an auxiliary pump beam to reduce effective measurement spot size
US7274472B2 (en) * 2003-05-28 2007-09-25 Timbre Technologies, Inc. Resolution enhanced optical metrology
CN1795536A (zh) 2003-05-28 2006-06-28 株式会社尼康 位置信息测量方法及装置、和曝光方法及装置
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
CN1879004A (zh) 2003-09-12 2006-12-13 安格盛光电科技公司 线轮廓不对称测量
US7089164B2 (en) * 2003-09-19 2006-08-08 Model Predictive Systems, Inc. Control of overlay registration
US7265850B2 (en) * 2003-10-23 2007-09-04 International Business Machines Corporation Fortified, compensated and uncompensated process-sensitive scatterometry targets
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
WO2005069082A1 (en) 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
JP4734261B2 (ja) 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7215431B2 (en) * 2004-03-04 2007-05-08 Therma-Wave, Inc. Systems and methods for immersion metrology
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7224456B1 (en) * 2004-06-02 2007-05-29 Advanced Micro Devices, Inc. In-situ defect monitor and control system for immersion medium in immersion lithography
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US20060117293A1 (en) 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
TWI269870B (en) 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
WO2006091913A1 (en) * 2005-02-25 2006-08-31 Nanometrics Incorporated Apparatus and method for enhanced critical dimension scatterometry
US7277172B2 (en) 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01303721A (ja) * 1988-05-31 1989-12-07 Canon Inc 面傾き検出装置
JP2000097841A (ja) * 1998-08-22 2000-04-07 Malvern Instruments Ltd 粒子サイズの分布を測定するための装置及び方法
JP2003224057A (ja) * 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014502420A (ja) * 2010-11-12 2014-01-30 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置、リソグラフィシステム並びにデバイス製造方法
US8867020B2 (en) 2010-11-12 2014-10-21 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9535342B2 (en) 2010-11-12 2017-01-03 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9946167B2 (en) 2010-11-12 2018-04-17 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
JP2013051412A (ja) * 2011-08-30 2013-03-14 Asml Netherlands Bv オーバーレイエラーを判定するための方法及び装置
JP2020073888A (ja) * 2012-06-26 2020-05-14 ケーエルエー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
JP7046898B2 (ja) 2012-06-26 2022-04-04 ケーエルエー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
JP2020518848A (ja) * 2017-05-03 2020-06-25 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジパラメータ決定及びメトロロジレシピ選択
US10990020B2 (en) 2017-05-03 2021-04-27 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
JP7000454B2 (ja) 2017-05-03 2022-02-04 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジパラメータ決定及びメトロロジレシピ選択
US11448974B2 (en) 2017-05-03 2022-09-20 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
KR20200111814A (ko) * 2018-02-27 2020-09-29 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
KR102544707B1 (ko) 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법

Also Published As

Publication number Publication date
US7791727B2 (en) 2010-09-07
SG173420A1 (en) 2011-08-29
DE602005023946D1 (de) 2010-11-18
US20140233025A1 (en) 2014-08-21
SG139763A1 (en) 2008-02-29
TWI294518B (en) 2008-03-11
US8760662B2 (en) 2014-06-24
US20140055788A1 (en) 2014-02-27
CN1916603A (zh) 2007-02-21
US8054467B2 (en) 2011-11-08
JP4898869B2 (ja) 2012-03-21
US10955353B2 (en) 2021-03-23
EP2239632B1 (en) 2021-05-05
US20060033921A1 (en) 2006-02-16
EP2239632A1 (en) 2010-10-13
EP1628164B1 (en) 2010-10-06
TW200617372A (en) 2006-06-01
SG120263A1 (en) 2006-03-28
US20110007314A1 (en) 2011-01-13
US11525786B2 (en) 2022-12-13
KR100697277B1 (ko) 2007-03-20
KR20060050488A (ko) 2006-05-19
EP1628164A2 (en) 2006-02-22
US7791732B2 (en) 2010-09-07
US20190170657A1 (en) 2019-06-06
CN1916603B (zh) 2010-11-24
JP2006060214A (ja) 2006-03-02
SG10201500569RA (en) 2015-03-30
US20060066855A1 (en) 2006-03-30
US20120038929A1 (en) 2012-02-16
US8553230B2 (en) 2013-10-08
EP1628164A3 (en) 2006-04-12
JP4357464B2 (ja) 2009-11-04
US10241055B2 (en) 2019-03-26
US20210208083A1 (en) 2021-07-08

Similar Documents

Publication Publication Date Title
JP4898869B2 (ja) 角度分解した分光リソグラフィの特徴付けの方法および装置
TWI461857B (zh) 用於角度解析分光鏡微影特性描述之方法及裝置
US8111398B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
KR101704591B1 (ko) 검사 장치 및 방법
KR100985179B1 (ko) 검사 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀및 디바이스 제조 방법
KR100939313B1 (ko) 광학 시스템의 투과 손실의 특징화 방법
KR100930654B1 (ko) 측정 방법, 검사 장치 및 리소그래피 장치
TW200925794A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US9201311B2 (en) Methods and patterning devices for measuring phase aberration
KR20080067590A (ko) 검사 방법 및 장치, 리소그래피 장치, 리소그래피 프로세싱셀 및 디바이스 제조방법
KR20150092228A (ko) 구조체의 리소그래피 품질을 결정하는 장치 및 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090603

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090603

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110819

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111114

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111201

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111226

R150 Certificate of patent or registration of utility model

Ref document number: 4898869

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150106

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250