TWI294518B - Scattermeter and method for measuring a property of a substrate - Google Patents

Scattermeter and method for measuring a property of a substrate Download PDF

Info

Publication number
TWI294518B
TWI294518B TW094126274A TW94126274A TWI294518B TW I294518 B TWI294518 B TW I294518B TW 094126274 A TW094126274 A TW 094126274A TW 94126274 A TW94126274 A TW 94126274A TW I294518 B TWI294518 B TW I294518B
Authority
TW
Taiwan
Prior art keywords
scatterometer
substrate
radiation
grating
plane
Prior art date
Application number
TW094126274A
Other languages
English (en)
Other versions
TW200617372A (en
Inventor
Boef Arie Jeffrey Den
Arno Jan Bleeker
Dommelen Youri Johannes Laurentius Maria Van
Mircea Dusa
Antoine Gaston Marie Kiers
Paul Frank Luehrmann
Henricus Petrus Maria Pellemans
Der Schaar Maurits Van
Cedric Desire Grouwstra
Kraaij Markus Gerardus Martinus Van
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW200617372A publication Critical patent/TW200617372A/zh
Application granted granted Critical
Publication of TWI294518B publication Critical patent/TWI294518B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8806Specially adapted optical and illumination features
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7023Aligning or positioning in direction perpendicular to substrate surface
    • G03F9/7034Leveling

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

1294518 九、發明說明: 【發明所屬之技術領域】 本發明係關於可精由微影技術而用於(例如)設備製造中 之檢測方法及使用微影技術製造設備之方法。 【先前技術】 在使用一微影投影裝置之製造過程中,將一圖案(例 如在一光罩中之圖案)成像至一基板上,該基板係藉由改 變一抗蝕劑之光學性質或表面物理性質而至少部分地為一
輻射敏感材料層(抗蝕劑)所覆蓋。或者,該成像步驟可使 用一無抗蝕劑製程,諸如蝕刻光柵或奈米壓印技術。在此 成像步驟之前,該基板可經歷各種程序,諸如上底漆、抗 蝕劑塗佈及軟烘烤。曝光後,該基板可經受其它程序,諸 如後曝光烘焙(PEB)、顯影、硬烘烤及成像特徵之量測/檢 測。此列程序被用作圖案化一設備(例如,一lc)之一個別 層之基礎。此一圖案化層接著可經歷各種製程,諸如餘 刻、離子植入(摻雜)、金屬化、氧化q匕學—機械研磨 等’所有製程皆傾向於完成—個別層。若需要若干層,則 不得不為每-新層重複整個程序或其變體。最終,一列設 備將存在於該基板(晶圓)上。接著藉由一諸如切割或鋸切 之技術將此等δ又備彼此分離,由此該等個別設備可安裝在 一載體上或連接至引腳等。 測 的 在顯影抗蝕劑(或在蝕刻之狀況下顯影基板表面)後之量 及谓測步驟(因為其❹處理生產基板之正常過程進行 ,所以稱作線上步驟)通常服務於兩個目的。,兩 103634.doc 1294518 ” 要偵測經顯影之抗蝕劑中之圖案有缺陷之任何目標區域。 . #足夠數目之目標區域係有缺陷的,則可剝去該基板之圖 案化抗蝕劑並重新曝光、積極校正該基板,而非藉由以一 有缺陷之圖案來進行一處理步驟(例如,蝕刻)而使缺陷永 久存在。第二,量測可允許偵測微影裝置(例如,照明設 定或曝露劑量)中之誤差並為隨後之曝光校正其。然而, 微影裝置中之許多誤差不易自印刷在抗蝕劑中之該等圖案 偵測或量化。一缺陷之偵測並非總能直接指向其原因。因 • 此,已知各種用於偵測並量測微影裝置中之誤差的離線 (off-line)程序。此等程序可涉及用一量測設備來替代該基 板或(例如)在各種不同機器設定下進行特殊測試圖案之曝 光。此等離線技術通常花費相當多的時間,在該段時間 内,在得到量測結果之前,該裝置之最終產品之品質係未 知的。因此,可在產品曝光之同時進行的用於偵測並量測 該微影裝置中之誤差的線上技術通常較佳。 散射量測技術係一可用於CD及疊對之線上量測之光學 籲量測技術之一實例。存在兩種主要的散射量測技術: (1) 光譜散射量測技術通常使用一諸如氙、氘之寬頻光 源或諸如氙弧燈之基於鹵素之光源以一為波長之函數的固 定角度來量測散射光之性質。該固定角度可為垂直入射或 傾斜入射的。 (2) 角度分辨散射量測技術通常將一雷射作為一單一波 長光源以一為入射角之函數的固定波長來量測散射光之性 質。 103634.doc 1294518 產生一反射光譜之結構係(例如)使用即時回歸或藉由與 自模擬衍生之圖案庫進行比較來重新構造。重新構造涉及 一成本函數之最小化。兩種方法藉由週期性結構來計算光 之散射。雖然光散射亦可藉由諸如時域有限差分法(Fdtd) 或積分方程技術之其它技術來計算,但是最一般之技術係 嚴袼耦合波分析法(RC WA)。
已知角度分辨散射量測技術之問題在於:其一次僅摘測 一波長,所以具有一個以上波長之光譜不得不使時間複用 彼等波長,該方法使用於偵測及處理該光譜之總的擷取時 間在光增加谱散射量測技術中,使用一具有一大的展度 (etendue)之外加光源。由於小光柵必須以一用小範圍入射 角來妝明,故來自此外加光源之大量光被浪費。此導致偵 ’貝J器上之導致長的擷取時間之低光度,此對產量具有一負 面影響。若選擇短的擷取時間,則量測結果可能不穩定。 【發明内容】 因此,在製造使用微影技術之設備及在一高na(數值孔 徑)透鏡之瞳孔平面(或後焦平面)中量測一角度分辨光譜期 間’(例如)提供-種量測疊對及光栅形狀參數(諸如光拇不 對稱性及對準)之方法A古士丨μ + 為有利的。亦可量測投影系統像差 等以校正或補償其。 本發明之實施例可涵筌締Μ 盖硬體,其能同時量測多個波長之 角度分辨光譜、能進行浸、、眚彳 田 又/貝式放射置測及一種用於角度分 辨散射計之聚焦量测方法一 亚犯一用2_D偵測器陣列來量測 一輻射源之強度雜訊。此外 外本發明之實施例可涵蓋該硬 103634.doc 1294518 體之應用,該等應用包括藉由量測散射光之不對稱性來量 /貝J i對及k由知利近點角(Rayleigh an〇maiy)及散射光之高 繞射級來量測小的線形變化。 雖然可在本文中對1C製造中之根據本發明之裝置的使用 做出特定參考,但是應清楚瞭解,此裝置具有許多其它可 能應用。舉例而言,其可用於製造積體光學系統、用於磁 可记隐體之V引及偵测圖案、液晶顯示面板、薄膜磁頭 等。熟習工匠將瞭解,在該等替代應用之情形中,本文中 之術語"主光罩”、"晶圓”或”晶粒"之任何使用可分別認為 被更通用之術語”光罩”、,,基板"及,,目標區"所替代。 在本文件中,術語,,輻射”及"射束,,用於涵蓋所有類型之 電磁輻射,包括紫外輻射(例如,波長為365、、 二7或126 nm)及EUV(遠紫外輻射,例如,具有一在5_2〇 摩巳圍内之波長)以及諸如離子束或電子束之粒子束。 【實施方式】 圖1不忍地描述了一可用於一根據本發明之一實施例之 方法中的微影投影裝置。該裝置包含: 一輻射系統Ex、IL,其用於提供一輻射(例如,Duv韓 射)之投影束PB,在此特定情況下,其亦包含一輻射源 LA ; -第-載物台(光罩台)MT’其具有一用於固持一光罩 MA(例如,—主光罩)之光罩固持器,且該第—載物台被連 接至一用於相對於零件PL精確定位該光罩之第一定位設 備; 103634.doc 1294518 一第二載物台(基板台)wt,其具有一用於固持一基板 w(例如,一經抗蝕劑塗佈之矽晶圓)之基板固持器,且該 第二載物台被連接至一用於相對於零件1>1^精確定位該基板 之第二定位設備; 才又影系統(’’投影透鏡’f)PL(例如,一折射透鏡系統), 其用於將該光罩MA之一受輻射部分成像至該基板w之一 目標區C(例如,包含一或多個晶粒)上。 如此處所描繪的,該裝置為透射型的(例如,其具有一 透射性光罩)。然而,通常,其亦可為一反射型的(例如, 其具有一反射性光罩)。或者,該裝置可採用另一種圖案 化設備,諸如上述類型之一可程式化鏡面陣列。 源LA(例如,一準分子雷射器)產生一輻射束。該射束 (例如)直接或在穿過諸如射束放大器以之調整構件後被饋 入至一照明系統(照明器)IL。該照明器江可包含用於設定 该射束中之強度分佈的外部及/或内部徑向範圍(通常分別 稱作σ-外部及σ-内部)的調整構件八“。另外,該照明器通 常包含各種其它組件,諸如一積光器ΙΝ及一聚光器c〇。 以此方式,照射在該光罩ΜΑ上之該射束ρΒ在其橫截面中 具有一所要的均勻度及強度分佈。 睛注意,關於圖1,該源LA可位於該微影投影裝置之外 殼内(舉例而言,當該源LA為汞等時通常為此狀況),但是 該源LA亦可遠離該微影投影裝置,該源產生之輻射束被 引入至該裝置(例如,借助於合適之導向鏡)中;當該源la 為一準分子雷射器時,此後一假定經常為該狀況。本發明 103634.doc -10· 1294518 及申請專利範圍涵蓋此等假定兩者。 該射束PB隨後遭遇固持在一光罩台MT上之該光罩MA。 該射束PB在已穿過該光罩MA後穿過該投影透鏡PL,該透 鏡將該射束PB聚焦至該基板w之一目標區C上。借助於該 第二定位設備(及一干涉量測設備IF),可精確地移動該基 板台WT以便在該射束PB之路徑中定位不同的目標區c。 類似地,該第一定位設備可用於(例如)在自一光罩庫以機 械方式取得該光罩MA後或在掃描期間相對於該射束pB之 ® 路徑精確地定位該光罩MA。總而言之,該等載物台MT、 WT之移動係借助於一長衝程模組(粗定位)及一短衝程模組 (精定位)來實現,該等模組在圖1中未明確描繪。然而,在 步進機(與步進一掃描裝置相反)之狀況下,該光罩sMT可 僅連接至一短衝程致動器或被固定。 所述之裝置可用於兩種不同的模式: 1·在步進模式中,該光罩台MT基本上保持固定,且一 完整的光罩影像一次性地(意即,一單一 ”快閃”)投影至一 目標區C上。接著,將該基板台界丁在又及/或¥方向上移位 以使一不同的目標區c可受該射束PB輻射。 2·在掃描模式中,除一給定目標區c未在單一 ”快閃,,中 曝光外,基本上應用了相同的假定。實情為,該光罩台 MT可以一速度v在一給定方向(所謂的”掃描方向”,例如, Υ方向)上移動’以使該射束ΡΒ在一光罩影像上方掃描;同 時,該基板台WT同時以一速度ν=Μν在相同或相反方向上 移動,其中Μ為該投影系統PL之放大倍率(通常,以叫/4或 103634.doc • 11 - 1294518 1/5)。以此方式,可曝光一相對較大之目標區c而不會損 害解析率。 9 、 一基板6之表面的一或多個性質可使用一諸如圖2中所描 繪之散射計來確定。在一實施例中,該散射計包含一寬頻 (白光)輻射源2 ,其將輻射導引至一基板6上。一外加寬頻 輻射源可經組態以將具有至少50 nm波長之輻射束提供至 該基板表面。反射輻射經傳至一譜儀偵測器(spectr〇meta detector)4,其量測一鏡面反射輻射之光譜1〇(強度為波長 之函數)。自此資料,(例如)可藉由嚴袼耦合波分析及非線 性回歸或藉由與於圖2之底部所示之模擬光譜庫比較來重 新構造產生該偵測光譜之結構或輪廓。總而言之,用於重 新構造之一般形式係已知的,且一些參數可自製得該結構 之製程的知識中取得,而僅需要自散射量測資料確定該結 構之少許參數。 政射计可為一垂直入射散射計或一傾斜入射散射計。散 射量測技術之變體亦可用於以下情形中:反射係在一單一 波長的角度範圍下量測,而非在波長範圍之一單一角度下 量測。 如圖3中所不,在下面描述之一或多個實施例中,使用 了 一散射計’其經組態以藉由在一高NA透鏡之瞳孔平面 4〇中以複數個角度及波長量測由該基板表面ό反射之一角 辨光”曰之性質來量測一基板的性質。該散射計包含 、、二、、且怨以將輻射投影至該基板上之輻射源2及一經組態 q貞射光譜之摘測器32。瞳孔平面為此種平面:輕射 103634.doc -12· 1294518 之徑向位置界定入射角,且角位置界定輻射之方位角及任 何大體上之共軛平面。該偵測器32係置放在該高Να透鏡 之瞳孔平面中。Ν Α較咼,且在一實施例中,其為至少〇 · 9 或至少0.95。浸潰式散射計甚至可具有一 NA超過i之透 鏡。 以前的角度分辨散射計僅需量測散射光之強度。本發明 之一實施例允許在一角度範圍中同時量測若干波長。藉由 用於不同波長及角度之散射計所量測之性質可包括橫磁 • (TM)偏光及橫電(TE)偏光之強度及TM偏光與TE偏光之間 的相位差。 可能使用一寬頻光源(意即一具有寬範圍之光頻率或波 長一且因此具有寬範圍之色彩的光源),其供給一大的展 度,從而使多個波長混合。在一實施例中,寬頻光中之該 4複數個波長各自具有δλ之頻寬,且因此具有至少(意 即,兩倍波長)的間距。若干輻射”源”可為一已用纖維束分 裂之外加輻射源之不同部分。以此方式,角度分辨散射光 _ 譜可在平行的多個波長下量測。可量測一 3-D光譜(波長及 兩個不同的角度),其含有比一2-D光譜多的資訊。此允許 量測增強量測過程穩健性之較多資訊。 本發明之一實施例之一散射計係展示於圖3中。使用透 鏡系統L2穿過干涉濾光片3〇來聚焦該光源2且經由一顯微 鏡接物鏡L1將該光源2聚焦至該基板6上。接著,經由部分 反射表面34將該輻射反射至一在背投影瞳孔平面4〇中之 CCD债測器中以偵測該散射光譜。該瞳孔平面扣位於該透 103634.doc -13- 1294518 鏡系統L 1之焦距處。一偵測器及高να透鏡被置放在該瞳 孔平面處。由於一高ΝΑ透鏡之曈孔平面通常位於透鏡 内’故可用輔助光學元件使瞳孔平面重新成像。 反射器光(reflector light)之瞳孔平面係以(例如)40毫秒/ 框之積分時間在該CCD偵測器上成像。以此方式,該基板 目標之二維角度散射光譜係在該偵測器上成像。該偵測器 可為(例如)CCD偵測器之陣列或CMOS偵測器之陣列。該 光譜之處理提供一對稱偵測組態且因此感應器可製成旋轉 地對稱。因為該基板上之一目標可相對於該感應器以任何 旋轉定向來量測,所以此允許使用一緊湊的基板台。該基 板上之所有目標可藉由該基板之平移與旋轉之組合來量 測。 可利用一組干涉濾光片30來選擇在405-790 nm或甚至更 小範圍(諸如200-300 nm)内之有利波長。該干涉濾光片為 可調的,而非包含一組不同的濾光片。可使用一光柵來替 代一或多個干涉濾光片。 該基板6(或甚至該反射表面34)可為一光柵。可印刷該 光柵以使顯影後固體抗钱劑線形成一系列柵欄(bar)。或 者’該等柵攔可蝕刻至該基板中。此圖案對微影投影裝置 (尤其是該投影系統PL)中之慧型像差(comatic aberrati〇n) 敏感,且照明對稱性及此等像差之存在將在該印刷光柵之 變化中展示自身。因此,該印刷光柵之散射量測資料用於 重新構造該等光栅。自印刷步驟及/或其它散射量測方法 可知,可將該光柵之一或多個參數(諸如線寬及形狀)輸入 103634.doc -14- 1294518 至重新構造製程。 在具有矩形狹縫之透射金屬光柵中,展示複雜的光子能 帶結構(CPBS)以演示強的不連續性,該等光子能帶結構位 於伍德一瑞利近點角(Wood-Rayleigh anomaly)上且呈現兩 種類型之共振,其稱作水平及垂直表面電漿共振。可直接 自CPBS提取光譜位置及該光譜中之峰值寬度以用於水平 及垂直共振。以此方式,離開一透射金屬光柵之輻射可使 其光譜得到分析並可藉由位於該等伍德一瑞利近點角上之 ί 該強的不連續性來確定該光柵之一或多個性質。伍德一瑞 利近點角在波長或入射角變化時出現,從而提供一額外傳 播之繞射級。射束寬度越大,射束之橫向位移越大。 本發明之一實施例偵測該光譜並產生一對稱的瞳孔平面 影像,自該影像可量測該等不連續性且因此計算一或多個 光柵性質。 根據本發明之一實施例,散射計可經調適以藉由量測反 射光譜中之不對稱來量測兩個未對準之週期性結構之疊 對’該不對稱與疊對之程度相關。 在 κ ;^例中’散射計可經調適以藉由量測反射光譜及 /或偵測組態中之不對稱性來量測兩個未對準光柵或週期 性結構之疊對,該不對稱性與疊對之程度相關。由於該對 稱债測組態,故可清楚地區別任何不對稱。此提供一直接 方式以量測光柵中之未對準。 所使用之基板圖案的一個類型係展示於圖4中。一光栅 14具有一印刷在其頂部上之第二光柵12。該光柵12相對於 103634.doc -15- 1294518 該光柵14偏移之量稱為疊對22。 注意,在圖4a中所示之實施例中,儘管可能存在一自一 傾角(oblique angle)照射物件之源,但是該輻射源2相對於 表面法線對稱地照射該物件且散射量測偵測器自若干角度 量測散射輻射。 疊對量測技術係基於角度散射光譜中之不對稱性之量 測。對稱結構產生對稱的角度光譜,且目標中之不對稱性 展不為該角度散射光譜中之不對稱性。此性質是使用角度 鲁 分辨散射量測技術之疊對量測技術的基礎。 由具寬度20之栅攔製成之兩個重疊但未對準之光柵以及 光柵14形成一個複合式不對稱目標。該角度散射光譜中之 合成不對稱性係用圖3中所示之角度分辨散射計4來偵測並 用於以下列方式來衍生該疊對22 : 使用在第一對光柵及第二對光柵中分別發生精密偏差+d 及-d之兩個光柵對。換言之,光柵12在一對中在一個方向 ^ 上位移(圖4所示)且在另一對中在相反方向上位移(未圖 不)。因此每一對中之該等光柵之間的實際橫向位移為不== C^+c/及心=〇广乂 為疊對22。 當該等光柵對對準時,疊對為〇,且若入射在該等光柵 上之知明的強度為且自該等光栅反射之輻射的強度在_ 第方向上為及在相反方向上為(但仍在同一平面 中),則當豎對=〇時, 然而,若 103634.doc -16· (1) 1294518 OK矣Ο,貝ljA〆。。 (2) 對於一小疊對而言,強度差與疊對成比例: h-I—^KxOV。 ( 3 ) K為一恆定值且與過程相關,因此是未知的。 為了用根據本發明之一實施例之散射計來校準該疊對量 測’使用兩個光樹目標,其中一個具有如圖4b中所示之最 對,且第二個具有完全反向之疊對,因此該上部光桃12係 相對於該底部光桃14向左而非向右移位。第一配置中之晶 對為(9 F+ d(圖4b中之距離22)且第二配置中之疊對為 因此,對於 OV+d, '不對稱性 乂 + =尤「(9 F + 以。 且對於 OV-d, (4)
不對稱性 可消去縮放因數K : OV= d^--A- 4 一及。 (5) 因此可使用該角度分辨散射光譜中 計算疊對。 與先前已知之方法相比,此方法 (6) 之不對稱性的量測來 之優勢在於僅需要兩個 103634.doc -17- 1294518 光柵。此外’原則上’該方法亦可用於2_d光柵:在彼狀 況下,一完整的疊對量測僅需要2個光柵。與使用6個 光柵之光譜散射量測方法相比,此為一顯著改良。 使用2-D光栅之X;;疊對量測技術之分析如下: 兩個光栅具有振幅牙透函數(amplitU(je transmissi〇n) /(x,j;) 及。此等光栅在兩個方向上為週期性的且因此其穿透 函數可寫成傅立葉級數: /0,《y) = 如卿) n m
咖,*y) = Σ Σ A,-— (7) ρ q 兩個光栅具有一相等的週期,且為簡單起見,將該等光 栅之週期標準化為271以用於下列計算。係數I及、可解 釋為視光栅形狀、波長及偏振而定之繞射效率。具有分別 在尤及少方向上之心及h相對疊對之該等兩個光柵重疊。總 的穿透函數?可寫成: ΣΣΣΣ^ 其中: (8) Gp,q = Gp^ej(^0+^〇) 變數可調整如下· p + n^a=>P^a^n ' (9) q 十 m = b>q = b〜m 將此等表】查^ ^~}{{p+n)x+{q+m)y) 屯γγ式代入該傅立葉級數办W中產生 n^\K,mG'n 103634.doc (10) -18 - 1294518 其中: Γ〜=ΣΣκ “ (li) n m L可解釋為繞射級(α,δ)之振幅。可見此振幅通常視χ方 及y方向上之疊對而定。 為簡單起見,僅考慮以在X方向上行進之繞射級。亦 對y方向上之繞射級進行以下分析。此僅需調整變數。 對於在X方向上行進之繞射級而言,6=ο ’因此兩個緣 級α及-α之振幅為:
Γα,。= ΣΣν一 ej((4。,。) η τη U %,) η m , (12) 將係數e±W>放於總和之前產生: (〇 = 一 $以人'_,如。卿。) n m l,。=户。—。) n m = e-j〇x〇yyF Ληχ,-my,) -" (13) 假設兩個光柵在x方向上對稱 F-n,m - Fn,m (Η) (15) G-n,m - Gn,m 使用此性質產生繞射振幅: n m Γ-α,ο =e n m * 散射计$測繞射場(diffraCfed field)之強度,提供: ha,0 = \^±α,〇\ (16) 此表達式之評估展示該強度可寫成如下形式: 103634.doc -19- 1294518 7〇1〇=ΣΣ5»,» c〇sfc,» - - my〇) n m 7-«,〇 = YjYaKm c〇s(^,w + nx0 - my0) … (17) 其中振幅l及相位、視光柵形狀、照明波長及照明偏振 而定。去掉+1級及-1級之差產生在X方向上行進之不對稱 性七: 4c =々0 - 厂1,〇 =Σ Σ Km c〇sfc,m ~ ) - Σ Σ Bn m cos(sn m + nx0 - m.yo) n m n m =Σ Σ sinfo,m - . )si+x0) … (18)
實務上,與該等光柵之間距相比,疊對較小。舉例而 言,該間距經常為大約1 μπι,而最大疊對為大約60 。 因此,以上表達式可被線性化且僅保留心及外之線性項: Λ = Σ Σ sin(^,m - ^y〇 )sin(ra:0) n m =Σ Σ [Sin(^«,m )Q0^y〇) - cos(^w w )8ΐπ(^^0 )]sin(ra:0) n m 9 =Σ Σ 2Bn,m [sinfc,m COS}ny〇 }lX〇 n m = x〇K0+K x0y0 (19) 其中 =ΣΣ20(、) n m
心=ΣΣ2則lcoskJ n m (20) 可見存在-搞合項:4向上之不對稱性亦為經由輕合 項欠叮之7疊對之函數。若該2_D光柵具有9〇。旋轉對稱性" 若光偏振45。,則吾人可將尤及少方向上之不對稱性寫 下形式: .、、、或如 Λ = X0^0 + KxyX〇y〇 = y〇^0 ^xy^〇y〇 103634.doc (21) 20- 1294518 此專方知為具有兩個2-D光栅對之xj;疊對量測技術之基 礎。在第一光柵對中,偏差W被引入該上部光柵中且在第 一光柵對中,引入偏差-J。此偏差同時應用於尤及少兩個方 向。現在可量測四個不對稱項··該第一光柵對中之尤及少不
Ax Aiy K〇 {〇vy +d)+ Kxy (〇Vy + d\〇Vx + d) K〇 (〇K (〇Vy - d\〇Vx + d) KQ(ovy 一d)+ Kxy(OVy 一d\〇Vx -d) (22)
此提供四個具有四個未知係數A、尺叮、^之非 線性方程,其可求解以提供疊對。 在一實施例中,當產生該(該等)光柵圖案時,一或多個 孔徑可提供給該散射計以模擬微影曝光條件。接著該等孔 徑可使用該散射計而用於產生該(該等)光柵圖案之角度分 辨光譜影像。 在一實施例中,可將該基板與該偵測器之間的至少部分 空間(更具體言之,如圖3中所示之該透鏡!^與該基板6之 間的空間)浸入在液體中。該液體可為水。此有利於增加 該基板6與該透鏡!^之間的媒介之空間頻寬。此意謂 如)在空氣中漸漸消失之繞射可傳播並為透鏡所俘獲。由 於該空間浸入液體中,因此偵測一較高繞射級變得可能, 該較高繞射級含有關於該研究中之光栅之詳細資訊多於 (例如)空間中之空氣。 該散射計之數值孔徑(NA)較佳至少為〇9,甚至可為〇 % 或大於1。 · 103634.doc -21 · 1294518 將L1與該物件之間的空間浸入一高折射率流體中增加該 媒/1之二間頻寬且允許傳播一小間距之較高繞射級。產生 傳播第-級光譜之最小間距為。假設NA等於13且 λ等於4〇〇 nm,此產生一 154 nm之最小間距。此對應於一 臨界尺寸(CD)或約20至80 nm之重構光柵寬度。當參看諸 如圖2中所示之輪廓時,臨界尺寸為峰值之平均寬度且間 距為一個峰值至下一個峰值之距離。 该浸潰流體相對於(例如)該基板6上之抗蝕劑應具有一 大的扣數步長(index step)。此可允許偵測器影像中之最大 對比度。滿足此等要求之可能液體為水。 圖5根據本發明之一實施例展示用以監控源輸出強度及 散射輻射之強度的同一偵測器之使用,其避免同步問題且 允許即時補償源輸出變化。 該散射計可包含—非偏振射束分㈣及-詩麵合斷開 (C0Uple Off)由該輻射源發射之韓射束之一部分的傾斜鏡 面’從而用㈤一偵測器來單獨量測。在一實施例中,該 射束之部分用於量測該輻射束之強度,且該散射計可調= 以補償該輻射束之強度中的波動。在主要量測射束側面使 用用於強度量測射束之相同CCD偵測器之 額外的偵測器’且因此一參考感應器與一量測:應器二 的光性質及熱性質不存在差異;且無需額外的電子設備來 觸發、讀出並儲存參考訊號。任何強度變化均可被量 補償。 輻射路徑中之一非偏振射束分離器50使散射輻射在_2 103634.doc •22- 1294518 維偵測器32上成像。一額外透鏡使瞳孔平面在該ccd偵測 器上成像重新。入射在該偵測器上之強度係展示為影像 36 °該非偏振射束分離器50亦耦合輸出該輻射束之一部分 以將該部分用於監控強度雜訊。替代以一獨立偵測器來量 測此輕射部分,使用傾斜鏡面52來回射光並將光傳輸至同 一偵測器32之一獨立部分。一可選擇曈孔光闌54限制該輻 射部分之範圍且該鏡面傾角確保該輻射部分係在該主要輻 射束側面投影。該光譜係在L1之瞳孔平面處之該偵測器3 2 上成像。 在先前方法中,角度分辨散射量測技術已在一單一波長 下完成。不同波長下之量測本應接著連續完成且不同波長 可經時間複用。然而,該等波長之時間複用可使生產量降 級。 在一實施例中,該散射計包含一在該輻射源與該基板之 間的波長多工器及一在該基板與該偵測器之間的解多工 器。此允許同時量測若干不同波長(或色彩),從而在一較 短時段内提供更多資訊及因此如上所述之穩健性。該波長 多工器可包含一置放在一背面投影之物平面處之色散元件 或一置放在瞳孔平面處之色散元件。 該輕射源之表面區域較佳被分成N個部分,該等部分各 自耦接至一波長多工器,其中N為離散波長之數目。此分 裂可用(例如)纖維束及其類似物來完成。 在一實施例中,該多工器包含一置放在一背面投影之物 平面處之色散組件。該色散元件可為一經調適以容納^^個 103634.doc -23- 1294518 離散波長之光柵或稜鏡,該等離散波長各自具有一頻寬从 及一至少兩倍頻寬(意即,2δλ)的間距。此可最大化一外加 光源之使用。因為不同波長之量測可同時完成,所以其不 再需要時間複用,且因此一主要優勢為生產量得到增加。 在一實施例中,該解多工器包含一置放在一瞳孔平面處 之色散元件。可於該物平面處插入一或多個光學劈片以在 該瞳孔平面中達成角度分辨光譜之明確界定之分離。 在一實鉍例中,使用一外加的寬頻輻射源,諸如氙氣、 > 氮或石英鎢鹵素光源。此等光源具有一大的展度,其提供 可为成離散波長且如上所述提供更多資訊之表面區域。 該等波長可在193至800 nm之範圍。 在一實施例中,組合N個離散波長之色散稜鏡或光柵係 用於照明分枝(或圖2中之該源2與該基板6之間的輻射路徑) 中,且一光栅或稜鏡用於偵測分枝(或該基板6與該谓測器 4之間的輻射路徑之間的空間)以空間地分離該等波長。 一複用光柵之一實例係展示於圖6中。兩個光源s丨及S2 & 傳輸穿過一透鏡系統L2且碰撞在物平面42中之利特羅黏著 光柵(Littrow mounted grating)16且在穿過一透鏡系統乙“專 輸至另一物平面42之前聚焦在該瞳孔平面40上並視情況進 入一照明纖維60中。該曈孔平面含有適合尺寸之矩形孔 徑:其寬度確定了入射在該光栅上之光的角範圍。此角範 圍及該光栅間距確定經由該瞳孔平面中之孔徑傳輸之返回 光之頻寬。舉例而言,一具有1200條線/毫米之光柵產生 一約1.2 mrad/nm之色散。一 4 nm之有效頻寬對應於一 3.33 103634.doc -24- 1294518 mrad之照明射束之全角度寬。該基板6之光斑尺寸為約40 μιη且其NA為0.95。因此該光栅上之射束直徑為約23 mm。 若該透鏡L1之焦距為1〇〇茁茁,則該瞳孔平面中之孔徑孔 之寬度必須為333 μπι。若使用一照明纖維,則照明NA必 須為約0.22。 清楚地’可同時使用兩個以上的輻射源(具有不同波 長)。 圖7展不該偵測分枝中之一波長解多工器之一實例。為 簡單起見,再次展示僅兩個波長之分離。除光栅係置放在 瞳孔平面而非物平面中,該解多工器類似於多工器。藉由 該利特羅黏著光柵16中之光栅繞射之光係藉由該透鏡L2來 傳輸,此使在該物平面中產生波長為λ1&λ2之兩個物像。 此平面可含有具有η個(在此狀況下η=2)孔之視野闌,其應 足夠覓以避免空間濾光從而避免干擾光譜。該視野闌之 每-孔亦具有-有一獨特楔角之劈片62。此劈片62確保每 一波長之角度分辨散射光譜係在該⑽偵測器32之一不同 部分上成像。該CCD债測器位於該第二瞳孔平面4〇之底 部。 一 由於該劈片62可使光在兩個方向上偏轉,故可能實現一 具有許多角度分辨光譜之CCD偵測器之有效填充。 為了獲得可重現結果,應使該等目標充分聚焦。為了達 成此目的,-高ΝΑ物鏡之瞳孔平面4〇係根據本發明之一 ::例在一如圖8中所示之具有-雙焦閣系統之谓測器上 成像。在所有實施例中,财是高的;較佳至少為〇9。 103634.doc -25- 1294518 中間體物平面42中之一刀口 70將中間物像的一半擋住。 該刀口可為一佛科(Foucault)刀口。 該刀口有助於將該輻射之影像聚焦至該基板上。對於每 一定向而言,取樣該曈孔平面4〇之外部區域(或實際而 言,兩個-半)中之強度。在散焦之狀況下,產生強度_ 強度12之間的差。已知焦距ρ為·· F=k /1 - /27Γ+ η (23)
比例因數k獨立於該影像且僅需要確定一次,雖然由於 焦距感應器可用於一積分反饋迴路中,但是k之精確值不 甚重要。 照明源不總是均勻的且必須校準及校正以保證基板之 確曝光。不均勻性可由照明源自身引起,或由照明路徑 之反射器之表面塗層的粗糙而引起。先前,該照明射束 標準化已使用一銘質鏡面來完成。然而,當待測物件( 即,光柵或基板)產生較高繞射級時,此標準化失效。」 等較高繞射級在疊對應用中導致工具誘發性移位誤差。1 因此,在一實施例中,該散射量測系統進一步包含在i 照明射束中之一或多個鏡面。更具體言之,該等鏡面可; 該基板臺上之基準且可由㈣成。此等鏡面或傾斜或以^ :傾斜角而存在,以便產生至少兩個以不同角度反射之予 。對於母—傾斜角,㈣測光譜以與傾斜方向相同之力 向位移°_此等影像並將其組合錢分方程,輕 照明輪靡可由該微分方程確i所得照明輪廓用於校 103634.doc -26- 1294518 高繞射級下之反射光譜之性質的量測。 該量測訊號M。㈨表示為: ⑻=[4-咖。(灸)+4一灸土、)及?1(文)+".+4-灸土焉)及(24) 其中: 4⑹為瞳孔平面中位置々處之未知照明強度; 5⑹為感應器之偵測分枝中之未知光損失;且 Αν為光拇物件之第N級之繞射效率。
實務上,因為一緩慢改變之不均勻照明射束及照明路徑 中之光學器件及塗層之表面粗糙度,所以照明強度改變。 光予塗層之表面粗縫度通常在瞳孔平面中引起照明射束之 粒狀現象。 一參考量測可在一高反射鋁質鏡面上進行,其產生以〕 量測訊號: (25) MA[— k)RM(k)B[k) 用該參考來標準化物件之量測得到: = R〇{k\ A{rk±kG) Rn(x) ^A^k±Nkr)R^r(x) mm rm^)+ 4-k) (26) 可見此標準化消除偵測分枝中之損耗。 然而’照明中之不均句性僅在。繞射級時消除(意即, 面反射)。較高繞射級保留一以下形式之未知誤差項: AjrkiNk。、 、 斗·灸) (27) 為了消除此項,照明輪廓j㈨必須校準如下。 鏡面可為一單一凸面鏡或凹面鏡,或其可為一在相 103634.doc -27-
1294518 間主動以一角度範圍傾斜之平面鏡。或者,存在具不同傾 角之一系列鏡面。所量測之反射角可在一徑向方向(此改 變傾斜之幅度)或一方位方向(此改變傾斜之方向)上。 現將在一維中描述用於確定微分方程之方法。至二維之 擴展是容易的。 為級數為1 mrad之兩個小相對鏡面傾角士~量測一參考 鏡面。由於此傾斜,所量測之瞳孔影像將位移。因此,量 測到兩個稍經位移之影像: Μ±θ (k) = A{-k± A(k))RM (k)B{k)c(k;±e) (28) 此處,Δ為曈孔平面中之位移,其通常視該瞳孔平面中 之位置A而定。對於一等光程系統而言: Δ(Α:) = 2Θμ λ/Γ— k2 (29) 等式(18)中之c說明反射強度之重新分佈且對於_等 程系統: (30) (31) 其中及从—分別為以小的正傾角及小的負傾角量之 譜。 ’、光 此處,ρ之下標” M”用於強調其與量測資料相關 小的傾角,一近似值可為: A(k + A(k))^A(k) + ^iAik\ dk (32) 使用此線性化得出2之微分方程: 。對於 幽=雄) 1 dA A(k) dk 103634.doc (33) 28- 1294518 容易解出此方程以求得: 以上推導可容易地擴展至二維。實務上 () 是不連續的,但是其為數字化取樣 所I測之資料 變以上推導出之概念。 “、、而,此未改
實務上’可採用一使用致動器而以機械方 鏡。一種更優雅且簡單之方法為使用一曲率、三斜之平面 向位置為X之凹面鏡或凸面鏡。一曲 “半仏為及且橫 述為: 自鏡2之局部高度係描
2R |面0之局部傾斜與該橫向位置X成線性比例(3:5) Θ = — 4 dx
___ X
一 J (36) 因為恰當傾角係藉由將基準移至該偵測器下之恰當位置而 簡單達成,所以,該基板平臺上之一凹面或凸面之球形鋁 負基準提供直接校準。本發明之一實施例使用一在該基板 之一共軛平面中具有一環形強度分佈之輻射束。為產生該 環形強度分佈’輻射源可包含機械刀片、空間光調變器或 空間同调寬頻雷射器及一變焦旋轉三稜鏡(意即,以產生 一環狀雷射光)。該環形輻射束較佳包含小的_φ照明。 因為”使用’’ 了幾乎所有光子而無光損失,所以建構環形 輻射具有優於插入一刀片之優勢。此對於使用諸如UV或 DUV光源之處尤其重要,因為其發射比更豐富之光源少的 103634.doc -29- 1294518 光子’因此損失大量光子更加引人注意。詳言之,因為若 光強度低,則微影卫具經受___定量之延遲,所以此在訊 號收集中尤為明顯。環形光源具有的另一優勢為:不會像 刀片-樣可能引起内反射。内反射需阻斷以避免光假影。 备然’可使用提供相同優勢之其它照明技術,諸如四極照 明〇 、,理心地,忒裱形輻射之環係置放在該高NA透鏡之瞳孔 :面中 '然而,該瞳孔平面不可直接接近,且實務上,該 %係置放在散射計之照明分枝中之該瞳孔平面的背面投影 影像中。環形照射之優勢在於:可分別量測具有_^組級 小間距光栅之+ 1/-1繞射級之強度。 此只細例可用於藉由將一成形視障⑽叩ed如㈣⑷⑽) 放入輻射束中來計算基板傾角的變化及用於㈣由基板傾 角之變化導致之該基板上的該成形視障之寬度及形狀的改 變。該成形視障可為⑼如如圖9aA9b中所示之十_ 絲。當'然,其可為任何其它形狀且其不必位於瞳孔平面之 中心〇 量測晶圓傾角之想法係基於以下基本關係:晶圓平面中 之傾角在瞳孔平面中導致—位移。在本實施例中,一十字 絲形㈣係置放在該照明射束之中心。此以散射光在瞳孔 平面中產生一如圖9a中所示之黑色十字絲。 f該基板傾角改變’則此十字絲之位置將變化。因此, 可:測此圖案(〇傾角)與一未知傾角之實際量測之間的差以 獲传-如圖9b中所示之影像。該基板之—小傾角不會導致 103634.doc -30 - 1294518 該光環形狀完全改變,但是,其將導致該瞳孔平面影像之 一位移。此位移通常較小且為大約^丨像素級數。為了能 偵測此一小位移,可藉由(例如)曲線擬合來内插像素之間 的值。藉由擬合一在環之邊緣處出現之黑光過渡中之曲 線’可量測環之子像素位移。 此等過渡之寬度及符號可用於計算並校正二維之基板傾 角。以此方式,可以恆定(0)傾角量測該基板。 圖10展示小間距光柵之繞射級,其使用具有一該美 一共輛平面中之環形強度分佈之輻射束來_。使2一環 形強度分佈允許該等影像之形m时所示且進而允許 確地㈣基板傾角。標記為G之影像係成像 在該摘測器中財心0級繞射、級。標記為-2、-1、⑴之影 像係較高繞射級的影像。此等較高繞射級相對於較低繞: 級位移且因此更容易量測隔離的Μ及2_d特徵之疊對量 ^ 了增加計算次數,在某些狀況下,尤其在期待平滑變 無需計算瞳孔平面中之每一單一位置中的一模擬訊 ^在狀況T,可量測—粗柵格且-像素插值技術用 心里孔平面處插入全部形狀。在此 束亦更為有利’因為該瞳孔平面中之有些區域僅接收衣= ^繞射光。若(例如则—阻斷射束,則該瞳孔平面中 :·點將接收來自〇級或〇級與第一級之 導致該瞳孔平面處之量測誤差。 使用放射計之正規量测涉及同時量測具有一單一偏振 103634.doc -31 - 1294518 之一單一基板上之一單一目標的性質。此限制該散射量測 技術中之基板的生產量及潛在地曝光步驟。本發明之一實 施例使用照明源將複數個照明點投影至一基板上。該散射 計之偵測器同時偵測由該基板之一表面反射之複數個照明 點的角度分辨光譜。可使用一用於產生兩個正交偏振照明 點之雙照明纖維或一渥拉斯頓(W〇llast〇n)稜鏡來產生該等 複數個照明點。 圖11展示該散射計硬體之部分。兩個照明點70在穿過位 於該瞳孔平面40中之高數值孔徑物鏡向下傳輸至該基板6 上之剷在射束分離器5〇中分裂。反射射束穿過分離曈孔平 面中之兩個角度分辨光譜之兩個劈片62向上傳輸,該等劈 片自身係定位在該中間像平面42中。接著用在圖丨丨之頂部 處的4重新成像之瞳孔平面4〇上之CCD來偵測該照明射 束。藉此可得到兩個(或甚至更多)平行量測,例如,用於 一單一偏振之水平線及垂直線,或甚至用於TE&TM偏振 兩者之水平線及垂直線兩者。 本發明之一實施例將一散射計換成一橢圓偏光計。為完 成此步驟,照明分枝進一步包括:一經組態以使輻射束線 性偏振之第一偏光器;一經組態以將該輻射束分成兩個正 父分量(orthogonal component)(ETE、ETH)之射束分離器; 一經組態以使散射射束偏振之第二偏光器;一定位在該第 一偏光器與該第二偏光器之間的可變補償器(波卡爾裝置 (Pockells Cell)、渥拉斯頓稜鏡對或索累·巴比奈特(s〇leil_ Babinet)補償器),該可變補償器可經組態以改變正交偏振 103634.doc -32- 1294518 分篁之間(且視情況之該射束分離器與該高數值孔徑透鏡 之間)的光%差,及一用於偵測合成射束分量之正弦強度 變化之二維偵測器。雖然該補償器當然可位於一第二照明 勿枝中,但是其最常見於散射計之主要照明分枝中。 諸如一互補金氧半導體(CMOS)偵測器之二維(2_D)偵測 器具有一較南的框速率,意即,大約1〇〇〇框/秒。 Η展示角度分辨光譜概念如何轉換成一角度分辨光 譜橢圓偏光計。一具有兩個波長λιΑλ2之照明射束傳輸穿 過45偏光器72、經基板6反射並在被再次偏振之前傳輸 穿過一可變補償器74。在該射束分離器與該可變補償器Μ 之間,該照明射束被分成兩個射束,並且ΤΕ偏振射束與 ΤΜ偏振射束之間具有一相位差△。圖以中之桃格%展示l D伯測為陣列及此陣列之—像素中之與時間相關之強度變 化。其它像素將展示一可比較之變化。該等射束穿過兩個 帶通濾光片76以獲得照明輪廓心及^。合成橢圓偏光參數 、sin(~及tan(W實際上不受内部感應器散射影響, 且因此訊雜比可得以改良。雖然亦可使用使數學模型中之 光學組件包含不完整性的繆勒(Mueller)矩陣來模型化,但 是運算可用下面的瓊斯向量及矩陣來模型化。 該第一偏光器後之照明場經45。偏振且用瓊斯向量描述 如下:
Einc=\\ (37) 向量對應於入射在一樣本上之一目標上的丁£及TM偏 103634.doc -33 - 1294518 光。反射該樣本之行為導致散射的TE分量及TM分量之振 幅及相位的改變。此可用一瓊斯矩陣來表示: Rte 〇 sample (38) 其中A為散射場之TE分量與TM分量之間的相位差,且及 及及γμ分別為TE及TM散射場之振幅。 此等參數為入射角度及波長之函數。對於該補償器上之 入射場,可忽略由該高NA透鏡及該射束分離器弓丨i之任 何相位及振幅變化 ^c_in ~ ^sample Rte (39) 該補償器在該TE分量與該TM分量之間弓丨入—士 差(〇pD)變化。若光之波長為人’則該』償二二二變光程 為: 烫斯矩陣 0 0 且因此該補償器後之場為 (40) out ^compel ^TE if Α+2π· OPD(t)) 偏光器係以45。定向且具有一瓊斯矩陣 (41) J pol 且因此該偏光器後之場為 103634.doc -34- (42) (43)1294518
E P〇l —out • Jpol Ec _〇ut (ΔΑ 手] 2 j Δ+2λγ -及TE +及T 入射至該偵測器陣列上之強度為 ^d ~~ ^pol _〇ut * ^pol_out 2
Rte +Rm + 2RTERm cos OPDjt) λ 若OPD隨量測時間間隔線性地增加 此產生一時間諧和的強度變化: 1d = 2 fe +Rm + 2RTERm c〇s(A + Ωί)] 其中 Ω = 2π— λ (44) 則 (45) (46) 強度變化之對比度直接與橢圓偏光參數tan(XI/)相關且該 正弦變化之相位直接產生該等橢圓偏光參數cos(A)及 δΐη(Δ)。在一標準橢圓偏光散射計中,tar^T)及cos(A)係經 量測並模擬以獲得輪廓資訊之訊號。在彼狀況下,將tan〇F)及e〇S(A)記作波長的函數。在本發明中,tanCF)及 cos(A)係作為目里孔平面中之位置的函數而獲得且可用於類 似分析。詳言之’該等橢圓偏光參數用於藉由解決一散射 反算問題來量測層厚度,意即’將該等量測參數與模型化多數進仃比#乂且堆豐參數係藉由最小化該等量 該等模型化參數之間的均方根差。 乂再匕適合量度)來確 定 因為變化之頻率視波長而定,所以可用一帶通遽光片來 103634.doc -35- 1294518 分離各種波長。此可經由經(例如)離散的傅立葉變換技術 處理之訊號來容易地實現。 該補償器亦可置放在該照明分枝中。此外,其亦可置放 在該射束分離器與一高數值孔徑物鏡之間。此配置之優勢 在於:OPD變化被加倍了。 $亥2-D^貞測係此概念之關鍵部分;為了確保量測時間 足夠短,必須具有一較高的框速率。CMOS偵測器可達到 極高的框速率,例如1 0 0 0框/秒。 籲 如以上該等段落5 1至8 〇中所述地量測疊對不能量測到大 的疊對誤差,詳言之,疊對誤差等於光柵間距之整數倍。 清楚地’若存在一意謂光柵線彼此排列成行但移位了若干 光柵間距X度之疊對誤差,則偵測小於光栅間距之疊對誤 差之能力係無用的。 因此,本發明之一實施例使用已存在於用於進行粗疊對 篁測之散射計(上文中已論述)中之該第二偵測器分枝以判 定粗誤差是否存在,諸如光柵疊對誤差事實上是否大於光 栅之間距。一粗疊對量測法為一基於成像之技術,其中一 第一相機注視一具有兩個重疊光柵之一影像且藉由比較一 基板上之標記的邊緣之位置來判定是否存在較大的位移。 2吴的豐對冑具有完全對準之標記邊緣。冑用樣式辨識演 算法來確定處理層中之一光柵的邊緣及抗餘劑層中之光栅 的邊緣。此量測係在-光栅對之四侧或角上完成。使用量 測邊緣位置來計算相對於該處理層中之該光拇之位置的該 抗餘劑光柵的位置。 103634.doc -36 - 1294518 因為量測原則係基於隨光桃間距而週期性地變化之光柵 麵合’所以此事實:散射量測技術不能獨自量測等於光拇 間距之倍數的疊對為一重要限制。換言之,〇疊對及等於 間距之疊對產生一樣的結果。 本發明之散射計允許一極簡單的解決方法。該散射計包 含一將基板表面成像在一 CCD相機上之單獨成像分枝。需 要此第二相機分枝在一對準及樣式辨識步驟中量測該基板 之位置。該第二分枝係示意地展示於圖13中。 瞳孔平面40量測(實際上的角度分辨量測)需要一不填滿 物平面42處之目標之照明源(意即,量測點小於量測目 標)。瞳孔平面成像照明射束係如圖13中之實線所示。在 此狀況下,僅量測該目標之一部分且不照明該目標區域外 之結構。若量測點填滿或過度填充該量測目標,則量測訊 號受包圍該目標之區域干擾,且不必複雜化資料解釋及訊 號分析。 另-方面’因為必須取樣包括該目標之輪廓的完整瞳孔 平面,所以像平面量測必須過量填充該目標以偵測對準。 用則象平面量測之光線如虛線所示。物平面之影像係形成 於-弟- CCD相機8G上且曈孔平面之影像係形成於一第二 CCD相機82上。 圖14展示0疊對(左側圖)及—等於兩倍光柵間距之X最對 (右側圖)之一疊對目標的-個可能實例。瞳孔平面量測將 使兩種情形產生相同的量測疊對〇,#而使其成為一不可 罪罝測。然而’像平面量測可清楚區別此等兩種情形。以 103634.doc -37- 1294518 此方式,一穩健的二階段量測方案可進行如下: ⑴,行兩個像平面量測以驗證不存在大的疊對誤差。 (2)右先刖置測指示疊對小 且了 j於約200 nm,則進行瞳孔平 面篁測。 2〇〇 nm標準為一指示性實例 枯J 只巧丹口J馮任一可覺察之臨限 =假設像平面CCD具有卿xl_像素,且假設基板階 S处像素間距為1〇〇 nm,則總視場將為100χ100 μιη2,其 合適樣式辨識及對準同時仍允許粗疊對量測具有一大: 20-50 nm之精確度。 右使用曝光工具中之邊緣預對準及粗晶圓對準之結果, 則擷取相關影像所需之視角甚至可進—步減小。利用此等 資料,在於疊對量測技術模組中完成邊緣預對準之後,可 以μηι精確度預測基板上之該等標記之位置。 粗疊對僅可在整個對準標記可看見該CCD時量測。若 (二如)僅該標記之中心部分可見,則該基板台需移動至該 標記之邊緣以能夠進行粗4對之量測。此要求該台之額^ 移動’藉此減慢量測發生過程。—大視角允許在—個”衝 程"中擷取該標記且快速進行一粗量測,同時一第二相機 能夠自由擷取瞳孔平面上之影像且獲得詳細之疊對資訊。 本發明之一實施例不僅偵測疊對誤差,而且亦使用為該 等光柵或其它週期性結構上之CD量測配置之散射計來偵 測遭損壞之光柵。該散射計通常偵測鏡面反射光;意即,' 已由該光柵直接反射之最低級光。該光栅中之局部畸變破 壞該光柵之週期性且在一非鏡面反射方向上導致散射。該 103634.doc -38 - 1294518 散射計可用於以其鏡面反射方向外之各種角度偵測散射射 束之一角度分辨光譜。具有一環形強度分佈或小·φ照明之 輻射可用於較高精確度及較易讀出之影像。 本發明之一實施例可用於偵測一浸潰式微影裝置中之氣 泡缺陷,其中一液體被引入如上所述的該投影系統與該基 板之間。以前,使用離線缺陷檢測工具來量測氣泡缺陷。 因為必須將基板自生產線取出並排隊,所以離線工具耗用 比線上工具長的時間才能產生結果。液體中之氣泡導致基 板上之表面缺陷,此將在該表面曝至光中時導致光散射。 此散射輻射係使用本發明之散射計來量測,且散射之原因 推斷為氣泡缺陷。 雖然上文已描述了本發明之特定實施例,但是應瞭解, 本發明可能與已描述的不同方式實施。本說明書不欲限制 本發明。特定描述之實施例係一般工作原理之擴展且未必 互相排斥;其可全部組合在一單一量測工具中以基於一上 述偵測器中所見之結果來增強其有效性。此外,雖然本文 中所述之該等實施例與微影術應用相關,但是硬體及應用 並不限於此等實施例。其可用於其它應用,諸如監控敍刻 處理步驟及其類似者。 【圖式簡單說明】 圖1描述一可用於執行一根據本發明之一實施例之方法 的微影投影装置; 圖2描述一散射計; 圖3描述根據本發明之一眚 > R只軛例之在一兩ΝΑ透鏡之瞳孔 i03634.doc -39- 1294518 平面中里測一角度分辨光譜的一般工作原理; 圖4a及圖4b描述在確定疊對時本發明之一實施例之使 用; 圖5描述根據本發明之一實施例之一用於耦合斷開一輻 射束之一部分的非偏光射束分離器之使用; 圖6描述一根據本發明之一實施例之波長多工器; 圖7描述一根據本發明之一實施例之波長解多工器; 圖8描述根據本發明之一實施例之一處於一中間物平面 處之刀口; 圖9a及圖9b描述根據本發明之一實施例之一檢測射束中 之一成形視障; 圖10描述根據本發明之一實施例之散射光譜之不同繞射 級的偵測影像; 圖11描述根據本發明之一實施例之一具有兩個照明點之 散射計; 圖12描述根據本發明之一實施例之一橢圓偏光計; 圖13描述根據本發明之一實施例之一用於在瞳孔平面及 像平面中偵測影像的散射計;且 圖14描述一具兩倍光柵間距之光柵疊對。 【主要元件符號說明】 2 寬頻(白光)輻射源/光源 4 譜儀偵測器/角度分辨散射計 6 基板/基板表面 10 光譜 103634.doc -40 - 1294518 12 第二光柵 14 光柵/底部光柵 16 利特羅黏著光柵 30 干涉濾光片 32 CCD偵測器/二維偵測器 34 部分反射表面 36 栅格/影像 40 瞳孔平面/視野闌/第二瞳孔平面 42 物平面 50 射束分離器 52 傾斜鏡面 54 瞳孔光闌 60 照明纖維 62 劈片 70 刀口 /照明點 72 45°偏光器 76 帶通濾光片 80 第一 CCD相機 82 第二CCD相機 AM 調整構件 CO 聚光器 c 目標區 Ex 輻射系統/射束放大器 IF 干涉量測設備 103634.doc -41 - 1294518 IL 輻射系統/照明系統(照明器) IN 積光器 LI 顯微鏡接物鏡 L2 透鏡系統 LA 輕射源 MA 光罩 MT 第一載物台(光罩台) PB 輻射投影束 | PL 投影系統("投影透鏡π) Sl,S2 光源 w 基板 WT 第二載物台(基板台) 103634.doc -42-

Claims (1)

129始碰6274號專利申請案 价γν❿嫌⑵正替換頁j 申請專利範圍替換本(95年12月)i、- ________________________________.... .....1 十、申請專利範圍: • - 1 · 種經組悲以®測一基板之一性質之散射計,其包含·· 一高數值孔徑透鏡;及 一偵測器’其經組態以偵測由該基板之一表面反射之 一輻射束的一角度分辨光譜,以及一非偏振射束分離器 及一經組態以耦合斷開由一輻射源發射之輻射束之一部 分’俾以該彳貞測器單獨量測之傾斜鏡面, 其中該基板之該性質可藉由在該高數值孔徑透鏡之瞳 Φ 孔平面中以複數個角度同時量測該反射光譜之一性質來 量測。 2·如請求項1之散射計,其中該透鏡之該數值孔徑為至少 0.9。 3. 如請求項1之散射計,其中該反射光譜之該性質包含(a) 橫磁偏光及橫電偏光之一強度;(b)橫磁偏光與橫電偏光 之間的一相位差;或(a)及(b)兩者。 4. 如請求項1之散射計,其中該基板之該性質係藉由在該 _ 尚數值孔徑透鏡之該瞳孔平面中以複數個波長同時量測 該反射光譜之一性質來進一步量測。 5·如請求項4之散射計,其中該等複數個波長各自具有一 頻寬Aw及一至少2Aw的間距。 6·如請求項1之散射計,其中兩個未對準週期性結構之一 疊對可藉由量測該反射光譜中之不對稱性來量測,該不 對稱與該疊對之程度相關。 7·如請求項1之散射計,其包含: 103634-951228.doc 1294518 —^^.一〜} 經組態以提供該輻射束之轄射 一波長多工器,其在一 源與該基板之間;及 一解多工器,其在該基板與該偵測器之間。 8·如請求項7之散射計,其中該波長多工器為一諸如光柵 或稜鏡之色散元件,其經調適以容納各自具有一頻寬Aw 及一至少2Aw的間距之N個離散的波長。 9.如請求項6之散射計,其中一經組態以提供該輻射束之 輕射源的表面區域被分成N個部分,該等n個部分各自被 麵接至該波長多工器,其中N為離散波長之數目。 10·如叫求項1之散射計,其包含一在一物平面處之光學劈 片以在該瞳孔平面中達成角度分辨光譜之界定的分離。 11·如清求項1之散射計,其中該輻射,束之該部分用於量測 該輕射束之一強度及補償該輻射束之強度的波動。 12.如請求項i之散射計,其包含一瞳孔光闌,該光闌經組 態以限制該輻射束之該部分之尺寸並確保該輻射束之該 部分平行於該輻射束之剩餘部分。 13·如μ求項丨之散射計,其包含一在該基板與該高數值孔 徑透鏡之間且包含一液體之空間。 14·如睛求項1之散射計,其包含一經調適以置放在一中間 物平面之相對兩半的一處之邊緣。 15·如請求項1之散射計,該散射計進一步包含: 至少一反射器; 一债測器,其經組態以偵測並結合由該至少一鏡所反射 之至少兩影像, 103634-951228.doc • 2 - 1294518 β日修(更)正替換頁 一處理器,其經組態以產生一基於該等影像之微分方程 式,該輻射束之照明輪廓可由該等方程式確定。 16·如請求項15之散射計,其中該照明輪廓用於校正較高繞 射級下之該反射光譜之該性質的量測。 Ρ·如請求項15之散射計,其中該反射器包含一凹面鏡且該 政射a十包含一用於使β輪射束在該鏡之表面上移動以獲 得複數個反射角之機構。 18. 如請求項15之散射計,其中該反射器包含一凸面鏡且該 散射計包含一用於使該輻射束在該鏡之表面上移動以獲 付複數個反射角之機構。 19. 如請求項15之散射計,其中該反射器包含一平面鏡且該 散射計包含一用於使該鏡傾斜成複數個角度之機構。 20·如請求項15之散射計,其包含具不同傾角之複數個鏡。 21 ·如請求項15之散射計,其中所量測之反射角在一徑向方 向上。 22. 如請求項15之散射計,其中該所量測之反射角在一方位 角方向上。 23. 如請求項1之散射計,該散射計進一步包含: 一第一偏光器,其經組態以使該輻射束線性偏振; 一射束分離器,其經組態以將該輻射束分成兩個正交 勿里(Ετε、Ετη); 一第二偏光器,其經組態以使該散射射束偏振; 一可變補償器,其位於該第一偏光器與該第二偏光器 之間,其經組態以改變正交偏振分量之間的光程差;及 103634-951228.doc 1294518 i今終/v月乂曰t (¾正替換頁 1 >«ρ*«ι·ν»»·>· .一《:一 —一 丨· f _._丨.... — -叫.,一 _ 一 2維偵測器,其用於偵測該等合成射束分量之正弦 強度變化。 24·如請求項23之散射計,其中該補償器係置放在該散射計 之一照明分枝中。 2 5 ·如睛求項2 3之散射計,其中該補償器位於該射束分離器 與該高數值孔徑透鏡之間。 26·如請求項1之散射計,該散射計進一步包含一用於進行 粗疊對量測之第二偵測器分枝。 27·如請求項26之散射計,其中該第二偵測器分枝在該基板 之該像平面中。 28. 如請求項26之散射計,其中該第二偵測器分枝經組態以 量測一基板上之疊對誤差,該等誤差等於一整數乘以該 基板光柵之間距。 29. 如請求項1之散射計,該散射計進一步包含: 一投影儀,其經組態以將複數個照明點投影至一基板 上;以及 其中該偵測器經進一步組態以同時偵測由該基板之一 ' 表面反射之複數個輻射點之一角度分辨光譜。 3 0·如請求項29之散射計,其包含一用於產生兩個相同偏振 照明點的間隔物。 3 1 · —種量測一基板之一性質之方法,其包含: 將一圖案印刷至一基板上,該圖案包含兩個平行分層 但未對準之光柵,藉此產生一個光柵相對於另一個光栅 之一疊對; 103634-951228.doc -4- 1294518
個角度量測該反射光譜之一 之一反射光譜;及 導出該疊對之程度。 其中量測該反射光譜包含(a)以複數 譜之一性質;(b)複數個波長量;或 同時進行(a)及(b)兩者。 33·如請求項32之方法,其中該反射光 譜之該性質包含⑴橫 磁偏光及橫電偏光之一強度;(Η)橫磁偏光與橫電偏光之 間的一相位差;或⑴及(ii)兩者。 34·如請求項31之方法,該方法進一步包含:進行該等光柵 之粗疊對量測,其包含確定該疊對是否大於該光柵間距 寬度。 3 5.如請求項34之方法,其包含: 0進行一輻射束之兩個像平面量測以確定一大於該光柵 間距之疊對誤差的存在;及 H)若一經確定之疊對在一預定臨限值之下,則進行該輻 射束之一瞳孔平面量測。 103634-951228.doc -5-
TW094126274A 2004-08-16 2005-08-02 Scattermeter and method for measuring a property of a substrate TWI294518B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/918,742 US7791727B2 (en) 2004-08-16 2004-08-16 Method and apparatus for angular-resolved spectroscopic lithography characterization

Publications (2)

Publication Number Publication Date
TW200617372A TW200617372A (en) 2006-06-01
TWI294518B true TWI294518B (en) 2008-03-11

Family

ID=35197995

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094126274A TWI294518B (en) 2004-08-16 2005-08-02 Scattermeter and method for measuring a property of a substrate

Country Status (8)

Country Link
US (8) US7791727B2 (zh)
EP (2) EP1628164B1 (zh)
JP (2) JP4357464B2 (zh)
KR (1) KR100697277B1 (zh)
CN (1) CN1916603B (zh)
DE (1) DE602005023946D1 (zh)
SG (4) SG10201500569RA (zh)
TW (1) TWI294518B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI426018B (zh) * 2009-09-29 2014-02-11 Asml Netherlands Bv 壓印微影
TWI564539B (zh) * 2011-06-27 2017-01-01 克萊譚克公司 光學系統、用於其中之照射控制之方法及非暫時性電腦可讀媒體

Families Citing this family (871)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE60314484T2 (de) * 2002-11-01 2008-02-21 Asml Netherlands B.V. Untersuchungsverfahren und Verfahren zur Herstellung einer Vorrichtung
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
DE102004034953A1 (de) * 2004-07-16 2006-02-02 Carl Zeiss Jena Gmbh Beleuchtungsvorrichtung und Verwendung
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US7453577B2 (en) * 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
US20060204204A1 (en) * 2004-12-20 2006-09-14 Markus Zenzinger Method for improving the optical polarization properties of a microlithographic projection exposure apparatus
US7443486B2 (en) * 2005-02-25 2008-10-28 Asml Netherlands B.V. Method for predicting a critical dimension of a feature imaged by a lithographic apparatus
US20060285110A1 (en) 2005-02-25 2006-12-21 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7395516B2 (en) * 2005-05-20 2008-07-01 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
WO2006127538A2 (en) * 2005-05-20 2006-11-30 Cadence Design Systems, Inc. Manufacturing aware design and design aware manufacturing
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7532307B2 (en) * 2005-06-30 2009-05-12 Asml Netherlands B.V. Focus determination method, device manufacturing method, and mask
JP4806020B2 (ja) * 2005-08-08 2011-11-02 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスのフォーカス露光モデルを作成するための方法、公称条件で使用するためのリソグラフィプロセスの単一のモデルを作成するための方法、およびコンピュータ読取可能媒体
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US7440113B2 (en) * 2005-12-23 2008-10-21 Agilent Technologies, Inc. Littrow interferometer
US20070153274A1 (en) * 2005-12-30 2007-07-05 Asml Netherlands B.V. Optical metrology system and metrology mark characterization device
US7480050B2 (en) * 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate
US7821650B2 (en) * 2006-03-21 2010-10-26 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7486408B2 (en) * 2006-03-21 2009-02-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method with reduced scribe lane usage for substrate measurement
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) * 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
US7502103B2 (en) * 2006-05-31 2009-03-10 Asml Netherlands B.V. Metrology tool, system comprising a lithographic apparatus and a metrology tool, and a method for determining a parameter of a substrate
US7791724B2 (en) * 2006-06-13 2010-09-07 Asml Netherlands B.V. Characterization of transmission losses in an optical system
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7692792B2 (en) * 2006-06-22 2010-04-06 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7659988B2 (en) * 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
CN101109903B (zh) * 2006-07-18 2010-05-12 上海华虹Nec电子有限公司 在线监控透镜散光的方法
US7916284B2 (en) 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080018897A1 (en) * 2006-07-20 2008-01-24 Nanometrics Incorporated Methods and apparatuses for assessing overlay error on workpieces
DE102006034776B3 (de) * 2006-07-27 2008-03-06 Infineon Technologies Ag Verfahren und Messvorrichtung zur ellipsometrischen Vermessung von Strukturelementen sowie Verwendung des Verfahrens
US7352439B2 (en) 2006-08-02 2008-04-01 Asml Netherlands B.V. Lithography system, control system and device manufacturing method
FR2904690B1 (fr) * 2006-08-02 2009-04-03 Commissariat Energie Atomique Dispositif de caracterisation d'objets uniques
US7808613B2 (en) * 2006-08-03 2010-10-05 Asml Netherlands B.V. Individual wafer history storage for overlay corrections
US7643666B2 (en) 2006-08-08 2010-01-05 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7969577B2 (en) * 2006-09-14 2011-06-28 Asml Netherlands B.V. Inspection apparatus, an apparatus for projecting an image and a method of measuring a property of a substrate
US7532331B2 (en) 2006-09-14 2009-05-12 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US8294907B2 (en) 2006-10-13 2012-10-23 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8233155B2 (en) * 2006-10-13 2012-07-31 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7630087B2 (en) * 2006-11-22 2009-12-08 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080129986A1 (en) * 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
US7710572B2 (en) 2006-11-30 2010-05-04 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8237914B2 (en) 2006-12-01 2012-08-07 Asml Netherlands B.V. Process, apparatus, and device for determining intra-field correction to correct overlay errors between overlapping patterns
US8248579B2 (en) 2006-12-01 2012-08-21 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and device for correcting overlay errors between overlapping patterns
US7557934B2 (en) * 2006-12-07 2009-07-07 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20080135774A1 (en) * 2006-12-08 2008-06-12 Asml Netherlands B.V. Scatterometer, a lithographic apparatus and a focus analysis method
US20080148875A1 (en) * 2006-12-20 2008-06-26 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7619737B2 (en) * 2007-01-22 2009-11-17 Asml Netherlands B.V Method of measurement, an inspection apparatus and a lithographic apparatus
US7852459B2 (en) 2007-02-02 2010-12-14 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7889991B2 (en) * 2007-02-12 2011-02-15 Jds Uniphase Corporation Planar lightwave circuit based tunable 3 port filter
US7701577B2 (en) * 2007-02-21 2010-04-20 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7599064B2 (en) 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7605907B2 (en) * 2007-03-27 2009-10-20 Asml Netherlands B.V. Method of forming a substrate for use in calibrating a metrology tool, calibration substrate and metrology tool calibration method
US7656518B2 (en) * 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7580131B2 (en) * 2007-04-17 2009-08-25 Asml Netherlands B.V. Angularly resolved scatterometer and inspection method
US8189195B2 (en) * 2007-05-09 2012-05-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1992905A1 (en) * 2007-05-16 2008-11-19 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Optical sensor with tilt error correction
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7808638B2 (en) * 2007-07-13 2010-10-05 Kla-Tencor Corporation Scatterometry target and method
US7869022B2 (en) 2007-07-18 2011-01-11 Asml Netherlands B.V. Inspection method and apparatus lithographic apparatus, lithographic processing cell, device manufacturing method and distance measuring system
US7633689B2 (en) * 2007-07-18 2009-12-15 Asml Holding N.V. Catadioptric optical system for scatterometry
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US20090073448A1 (en) * 2007-09-18 2009-03-19 Asml Netherlands B.V. Method of measuring the overlay error, an inspection apparatus and a lithographic apparatus
EP2048543B1 (en) * 2007-10-09 2013-12-04 ASML Netherlands B.V. An optical focus sensor, an inspection apparatus and a lithographic apparatus
JP2009094512A (ja) * 2007-10-09 2009-04-30 Asml Netherlands Bv 位置合わせ方法及び装置、リソグラフィ装置、計測装置、及びデバイス製造方法
NL1036018A1 (nl) 2007-10-09 2009-04-15 Asml Netherlands Bv A method of optimizing a model, a method of measuring a property, a device manufacturing method, a spectrometer and a lithographic apparatus.
SG152187A1 (en) 2007-10-25 2009-05-29 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036098A1 (nl) 2007-11-08 2009-05-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus lithographic, processing cell and device manufacturing method.
NL1036123A1 (nl) * 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036188A1 (nl) 2007-12-05 2009-06-08 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036184A1 (nl) 2007-12-05 2009-06-08 Asml Netherlands Bv Inspection apparatus, lithographic apparatus and method of measuring a property of a substrate.
US8045170B2 (en) * 2007-12-05 2011-10-25 Canon Kabushiki Kaisha Light scattering property measurement method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036331A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Metrology apparatus, lithographic apparatus and method of measuring a property of a substrate.
NL1036333A1 (nl) * 2008-01-02 2009-07-07 Asml Netherlands Bv Immersion lithography.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036684A1 (nl) 2008-03-20 2009-09-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036685A1 (nl) 2008-03-24 2009-09-25 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036632A1 (nl) * 2008-03-26 2009-09-29 Asml Netherlands Bv Inspection Apparatus, Lithographic Apparatus and Method of Measuring a Property of a Substrate.
NL1036734A1 (nl) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
NL1036772A1 (nl) * 2008-04-15 2009-10-19 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036857A1 (nl) 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8248617B2 (en) * 2008-04-22 2012-08-21 Zygo Corporation Interferometer for overlay measurements
NL1036856A1 (nl) 2008-04-24 2009-10-27 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL1036859A1 (nl) 2008-04-29 2009-10-30 Asml Netherlands Bv Support structure, inspection apparatus, lithographic apparatus and methods for loading and unloading substrates.
NL1036886A1 (nl) 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
NL2002925A1 (nl) 2008-05-29 2009-12-01 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2009150031A1 (en) 2008-05-29 2009-12-17 Asml Netherlands B.V. Inspection method and apparatus
WO2010009930A1 (en) 2008-06-02 2010-01-28 Asml Netherlands B.V. Sub-wavelength segmentation in measurement targets on substrates
NL2002962A1 (nl) 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2304504B1 (en) 2008-06-26 2019-07-03 ASML Netherlands B.V. Overlay measurement apparatus, lithographic apparatus, and device manufacturing method using such overlay measurement apparatus
WO2010007010A1 (en) 2008-07-18 2010-01-21 Asml Netherlands B.V. Scatterometry method and measurement system for lithography
US9201310B2 (en) 2008-08-19 2015-12-01 Asml Netherlands B.V. Method of measuring overlay error and a device manufacturing method
US20110208342A1 (en) * 2008-08-21 2011-08-25 Asml Netherlands B.V. Inspection Method and Apparatus, and Lithographic Apparatus
NL2003331A (en) 2008-09-02 2010-03-12 Asml Netherlands Bv Device manufacturing method, control system, computer program and computer-readable medium.
US9081304B2 (en) 2008-09-08 2015-07-14 Asml Netherlands B.V. Substrate, an inspection apparatus, and a lithographic apparatus
WO2010025793A1 (en) 2008-09-08 2010-03-11 Asml Netherlands B.V. A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
NL2003497A (en) 2008-09-23 2010-03-24 Asml Netherlands Bv Lithographic system, lithographic method and device manufacturing method.
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
US8848195B2 (en) 2008-10-31 2014-09-30 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method for determining a property of a substrate
US8994921B2 (en) 2008-11-07 2015-03-31 Asml Netherlands B.V. Scatterometer and lithographic apparatus
US9188875B2 (en) 2008-12-16 2015-11-17 Asml Netherlands B.V. Calibration method, inspection method and apparatus, lithographic apparatus, and lithographic processing cell
US9182682B2 (en) 2008-12-30 2015-11-10 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8502955B2 (en) 2008-12-30 2013-08-06 Asml Netherlands B.V. Method of determining a characteristic
KR101330116B1 (ko) 2008-12-30 2013-11-15 에이에스엠엘 네델란즈 비.브이. 특성을 결정하는 방법
SG164292A1 (en) * 2009-01-13 2010-09-29 Semiconductor Technologies & Instruments Pte System and method for inspecting a wafer
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
TW201100787A (en) * 2009-02-18 2011-01-01 Nikon Corp Surface examining device and surface examining method
NL2004276A (en) 2009-03-04 2010-09-06 Asml Netherlands Bv A method of measuring a characteristic.
NL2004405A (en) 2009-04-07 2010-10-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102422226B (zh) * 2009-05-11 2014-04-09 Asml荷兰有限公司 确定重叠误差的方法
SG175246A1 (en) * 2009-05-12 2011-12-29 Asml Netherlands Bv Inspection method for lithography
WO2010130673A1 (en) * 2009-05-15 2010-11-18 Asml Netherlands B.V. Inspection method for lithography
NL2004803A (en) 2009-06-11 2010-12-15 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102460310B (zh) * 2009-06-17 2014-07-02 Asml荷兰有限公司 重叠测量的方法、光刻设备、检查设备、处理设备和光刻处理单元
WO2011003734A1 (en) 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
KR20120039725A (ko) 2009-07-22 2012-04-25 케이엘에이-텐코 코포레이션 각?분해형 반대칭 산란 측정
US20110028004A1 (en) 2009-07-30 2011-02-03 Asml Netherlands B.V. Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method
KR101429629B1 (ko) 2009-07-31 2014-08-12 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8731882B2 (en) * 2009-09-24 2014-05-20 Asml Netherlands B.V. Methods and apparatus for modeling electromagnetic scattering properties of microscopic structures and methods and apparatus for reconstruction of microscopic structures
US8525993B2 (en) * 2009-10-07 2013-09-03 Nanometrics Incorporated Scatterometry measurement of asymmetric structures
WO2011045132A1 (en) 2009-10-12 2011-04-21 Asml Netherlands B.V. Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate
WO2011045125A1 (en) 2009-10-13 2011-04-21 Asml Netherlands B.V. Inspection method and apparatus
NL2005521A (en) 2009-10-22 2011-04-26 Asml Netherlands Bv Methods and apparatus for calculating electromagnetic scattering properties of a structure using a normal-vector field and for reconstruction of approximate structures.
NL2005733A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Methods and apparatus for determining electromagnetic scattering properties and structural parameters of periodic structures.
NL2005459A (en) 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
NL2005719A (en) * 2009-12-18 2011-06-21 Asml Netherlands Bv Method of measuring properties of dynamic positioning errors in a lithographic apparatus, data processing apparatus, and computer program product.
NL2005863A (en) 2009-12-28 2011-06-29 Asml Netherlands Bv Calibration method and apparatus.
WO2011101192A1 (en) 2010-02-17 2011-08-25 Asml Netherlands B.V. Estimating substrate model parameters for lithographic apparatus control
NL2006099A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Calibration of lithographic apparatus.
NL2006002A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
IL210832A (en) 2010-02-19 2016-11-30 Asml Netherlands Bv Lithographic facility and method of manufacturing facility
WO2011101184A1 (en) 2010-02-19 2011-08-25 Asml Netherlands B.V. Lithographic apparatus and method of producing a reference substrate
NL2005997A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
EP2392970A3 (en) 2010-02-19 2017-08-23 ASML Netherlands BV Method and apparatus for controlling a lithographic apparatus
NL2006078A (en) 2010-02-19 2011-08-22 Asml Netherlands Bv Calibration of lithographic apparatus.
NL2006229A (en) 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection method and apparatus, and associated computer readable product.
NL2006321A (en) 2010-03-19 2011-09-20 Asml Netherlands Bv Control method and apparatus.
KR101725529B1 (ko) 2010-03-30 2017-04-10 지고 코포레이션 간섭계 인코더 시스템
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
NL2006935A (en) 2010-06-28 2011-12-29 Asml Netherlands Bv Inspection apparatus and method.
KR101793538B1 (ko) * 2010-07-19 2017-11-03 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 장치 및 방법
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007127A (en) 2010-08-06 2012-02-07 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
NL2007361A (en) 2010-09-29 2012-04-02 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus and lithographic processing cell.
US9239522B2 (en) 2010-10-08 2016-01-19 Kla-Tencor Corporation Method of determining an asymmetric property of a structure
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
US8593644B2 (en) * 2010-12-13 2013-11-26 Southwest Research Institute White light optical profilometer for measuring complex surfaces
CN102540752B (zh) * 2010-12-28 2014-02-19 上海微电子装备有限公司 一种光刻照明系统
US8867041B2 (en) * 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
IL217843A (en) 2011-02-11 2016-11-30 Asml Netherlands Bv A system and method for testing, a lithographic system, a cell for lithographic processing, and a method for producing a device
NL2008111A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
NL2008285A (en) 2011-03-11 2012-09-12 Asml Netherlands Bv Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process.
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
US9116834B2 (en) 2011-03-23 2015-08-25 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
NL2008317A (en) 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
WO2012153745A1 (en) * 2011-05-10 2012-11-15 Sumitomo Electric Industries, Ltd. Optical assembly and method for producing the same
NL2008681A (en) 2011-06-14 2012-12-17 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9310296B2 (en) * 2011-06-20 2016-04-12 Kla-Tencor Corporation Optimizing an optical parametric model for structural analysis using optical critical dimension (OCD) metrology
WO2012175253A1 (en) 2011-06-21 2012-12-27 Asml Netherlands B.V. Inspection method and apparatus
NL2008928A (en) * 2011-07-06 2013-01-08 Asml Netherlands Bv Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures.
NL2009001A (en) 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and patterning devices for measuring phase aberration.
US10890436B2 (en) 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
NL2008936A (en) 2011-07-28 2013-01-29 Asml Netherlands Bv Illumination source for use in inspection methods and/or lithography inspection and lithographic apparatus and inspection method.
NL2009066A (en) 2011-08-03 2013-02-05 Asml Netherlands Bv Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus.
CN203129697U (zh) * 2013-02-05 2013-08-14 客贝利(厦门)休闲用品有限公司 一种帐篷架杆
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
KR101229786B1 (ko) * 2011-08-23 2013-02-05 한국과학기술원 헤테로다인 간섭 리소그래피 장치, 그 장치를 이용한 미세패턴 형성방법, 웨이퍼 및 반도체 소자
NL2009294A (en) 2011-08-30 2013-03-04 Asml Netherlands Bv Method and apparatus for determining an overlay error.
KR20140068970A (ko) 2011-08-31 2014-06-09 에이에스엠엘 네델란즈 비.브이. 포커스 보정을 결정하는 방법, 리소그래피 처리 셀 및 디바이스 제조 방법
WO2013049001A2 (en) * 2011-09-27 2013-04-04 Kla-Tencor Corporation High throughput thin film characterization and defect detection
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US9400246B2 (en) * 2011-10-11 2016-07-26 Kla-Tencor Corporation Optical metrology tool equipped with modulated illumination sources
KR101765814B1 (ko) 2011-11-30 2017-08-08 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 대응하는 리소그래피 장치
US9163935B2 (en) 2011-12-12 2015-10-20 Asml Netherlands B.V. Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
US9127927B2 (en) 2011-12-16 2015-09-08 Kla-Tencor Corporation Techniques for optimized scatterometry
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US8762100B1 (en) * 2012-02-10 2014-06-24 Tokyo Electron Limited Numerical aperture integration for optical critical dimension (OCD) metrology
US10107621B2 (en) * 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
CN104220932B (zh) * 2012-02-21 2017-02-22 Asml荷兰有限公司 检查设备和方法
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
US8817273B2 (en) 2012-04-24 2014-08-26 Nanometrics Incorporated Dark field diffraction based overlay
US8860941B2 (en) * 2012-04-27 2014-10-14 Taiwan Semiconductor Manufacturing Co., Ltd. Tool induced shift reduction determination for overlay metrology
CN103454861B (zh) * 2012-06-05 2015-08-26 上海微电子装备有限公司 一种套刻测量的标记和方法
WO2014000998A1 (en) 2012-06-12 2014-01-03 Asml Netherlands B.V. Photon source, metrology apparatus, lithographic system and device manufacturing method
KR102231730B1 (ko) * 2012-06-26 2021-03-24 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
KR102102007B1 (ko) * 2012-06-26 2020-04-20 케이엘에이 코포레이션 근접장 계측
CN103529643B (zh) * 2012-07-05 2017-01-18 中国科学院物理研究所 一种纳米图形化系统及其光响应特性检测装置
WO2014006935A1 (ja) * 2012-07-06 2014-01-09 株式会社ニコン 位置計測装置、ステージ装置、露光装置、およびデバイス製造方法
NL2011000A (en) 2012-07-23 2014-01-27 Asml Netherlands Bv Inspection method and apparatus, lithographic system and device manufacturing method.
NL2011276A (en) 2012-09-06 2014-03-10 Asml Netherlands Bv Inspection method and apparatus and lithographic processing cell.
NL2011476A (en) 2012-10-02 2014-04-07 Asml Netherlands Bv Position measuring apparatus, position measuring method, lithographic apparatus and device manufacturing method.
NL2011477A (en) 2012-10-10 2014-04-14 Asml Netherlands Bv Mark position measuring apparatus and method, lithographic apparatus and device manufacturing method.
US9518936B2 (en) 2012-11-30 2016-12-13 Asml Netherlands B.V. Method and apparatus for determining lithographic quality of a structure
WO2014102792A1 (en) * 2012-12-27 2014-07-03 Nova Measuring Instruments Ltd. Optical method and system for critical dimensions and thickness characterization
US8976423B2 (en) * 2013-03-15 2015-03-10 Lexmark International, Inc. Optical scanning system and imaging apparatus for using same
CN104062859B (zh) * 2013-03-21 2016-08-10 上海微电子装备有限公司 一种光刻设备对准系统
US9740108B2 (en) * 2013-05-27 2017-08-22 Kla-Tencor Corporation Scatterometry overlay metrology targets and methods
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
US10481088B2 (en) * 2013-06-04 2019-11-19 Kla-Tencor Corporation Automatic determination of fourier harmonic order for computation of spectral information for diffraction structures
KR101826651B1 (ko) 2013-06-12 2018-02-07 에이에스엠엘 네델란즈 비.브이. 임계 치수 관련 특성을 결정하는 방법, 검사 장치, 및 디바이스 제조 방법
JP6275834B2 (ja) * 2013-07-03 2018-02-07 エーエスエムエル ネザーランズ ビー.ブイ. 検査装置及び方法、リソグラフィ装置、リソグラフィ処理セル並びにデバイス製造方法
US9719920B2 (en) * 2013-07-18 2017-08-01 Kla-Tencor Corporation Scatterometry system and method for generating non-overlapping and non-truncated diffraction images
CN105452962B (zh) * 2013-08-07 2018-02-09 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
US9189705B2 (en) 2013-08-08 2015-11-17 JSMSW Technology LLC Phase-controlled model-based overlay measurement systems and methods
CN105452963B (zh) 2013-08-13 2017-08-22 Asml荷兰有限公司 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
KR101794828B1 (ko) * 2013-08-28 2017-11-09 에이피시스템 주식회사 막 분리 장치
CN105814489B (zh) 2013-09-09 2017-10-27 Asml荷兰有限公司 用于计算结构的电磁散射性质和近似结构的重构的方法和设备
CN105814491B (zh) 2013-10-30 2017-12-05 Asml荷兰有限公司 检查设备和方法、具有量测目标的衬底、光刻系统和器件制造方法
US9939250B2 (en) 2013-11-26 2018-04-10 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for estimation of geometrical and material parameters thereof
WO2015082158A1 (en) 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
CN105814662B (zh) 2013-12-13 2019-05-03 Asml荷兰有限公司 辐射源、量测设备、光刻系统和器件制造方法
US10036962B2 (en) 2013-12-13 2018-07-31 Asml Netherlands B.V. Inspection apparatus and methods, lithographic system and device manufacturing method
US9913357B2 (en) 2013-12-13 2018-03-06 Asml Netherlands B.V. Radiation source, metrology apparatus, lithographic system and device manufacturing method
CN105980932B (zh) 2013-12-17 2018-08-03 Asml荷兰有限公司 检查方法、光刻设备、掩模以及衬底
KR101887924B1 (ko) 2013-12-18 2018-09-06 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 및 리소그래피 장치
WO2015090838A1 (en) 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
KR101860038B1 (ko) 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
WO2015101458A1 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
SG11201604739RA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
KR102246286B1 (ko) 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
US10025193B2 (en) 2014-01-10 2018-07-17 Asml Netherlands B.V. Lithographic apparatus, device manufacturing method and associated data processing apparatus and computer program product
WO2015110210A1 (en) 2014-01-24 2015-07-30 Asml Netherlands B.V. Apparatus operable to perform a measurement operation on a substrate, lithographic apparatus, and method of performing a measurement operation on a substrate
CN106030411B (zh) 2014-02-17 2018-02-06 Asml荷兰有限公司 确定边缘定位误差的方法、检测设备、图案形成装置、衬底及器件制造方法
WO2015124391A1 (en) * 2014-02-21 2015-08-27 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
US10331043B2 (en) 2014-02-21 2019-06-25 Asml Netherlands B.V. Optimization of target arrangement and associated target
CN106462076B (zh) 2014-06-02 2018-06-22 Asml荷兰有限公司 设计度量目标的方法、具有度量目标的衬底、测量重叠的方法、以及器件制造方法
CN106471613B (zh) * 2014-06-24 2020-12-29 科磊股份有限公司 光阑及目标的旋转边界
WO2016005167A1 (en) 2014-07-09 2016-01-14 Asml Netherlands B.V. Inspection apparatus, inspection method and device manufacturing method
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
US10133191B2 (en) 2014-07-21 2018-11-20 Asml Netherlands B.V. Method for determining a process window for a lithographic process, associated apparatuses and a computer program
NL2015160A (en) 2014-07-28 2016-07-07 Asml Netherlands Bv Illumination system, inspection apparatus including such an illumination system, inspection method and manufacturing method.
US9392188B2 (en) * 2014-08-10 2016-07-12 Corephotonics Ltd. Zoom dual-aperture camera with folded lens
WO2016030485A1 (en) 2014-08-28 2016-03-03 Asml Netherlands B.V. Laser-driven photon source and inspection apparatus including such a laser-driven photon source
CN113204173B (zh) * 2014-08-28 2024-04-09 Asml荷兰有限公司 检查设备、检查方法和制造方法
NL2015269A (en) 2014-08-29 2016-07-08 Asml Holding Nv Method and apparatus for spectrally broadening radiation.
WO2016030227A1 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Method for controlling a distance between two objects, inspection apparatus and method
WO2016034428A2 (en) 2014-09-01 2016-03-10 Asml Netherlands B.V. Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
WO2016036790A2 (en) * 2014-09-02 2016-03-10 Nikon Corporation Pattern edge placement predictor and monitor for lithographic exposure tool
KR20170092522A (ko) * 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
WO2016045945A1 (en) 2014-09-26 2016-03-31 Asml Netherlands B.V. Inspection apparatus and device manufacturing method
CN105527794B (zh) * 2014-09-28 2018-05-01 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
JP2017530394A (ja) 2014-09-29 2017-10-12 エーエスエムエル ホールディング エヌ.ブイ. 高開口数対物レンズシステム
WO2016050453A1 (en) 2014-10-03 2016-04-07 Asml Netherlands B.V. Focus monitoring arrangement and inspection apparatus including such an arragnement
KR102299921B1 (ko) 2014-10-07 2021-09-09 삼성전자주식회사 광학 장치
SG11201704036UA (en) 2014-11-26 2017-06-29 Asml Netherlands Bv Metrology method, computer product and system
US10072921B2 (en) * 2014-12-05 2018-09-11 Kla-Tencor Corporation Methods and systems for spectroscopic beam profile metrology having a first two dimensional detector to detect collected light transmitted by a first wavelength dispersive element
KR102330321B1 (ko) 2014-12-12 2021-11-23 에이에스엠엘 네델란즈 비.브이. 기판 모델 파라미터를 계산하고 리소그래피 처리를 제어하기 위한 방법 및 장치
KR101982694B1 (ko) 2014-12-15 2019-05-27 에이에스엠엘 홀딩 엔.브이. 광학적 퓨필 대칭화를 위한 방법 및 장치
US9885656B2 (en) * 2014-12-17 2018-02-06 Kla-Tencor Corporation Line scan knife edge height sensor for semiconductor inspection and metrology
KR101994385B1 (ko) 2014-12-19 2019-06-28 에이에스엠엘 네델란즈 비.브이. 비대칭 측정 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
WO2016124399A1 (en) 2015-02-06 2016-08-11 Asml Netherlands B.V. A method and apparatus for improving measurement accuracy
US10725372B2 (en) 2015-02-12 2020-07-28 Asml Netherlands B.V. Method and apparatus for reticle optimization
US9927722B2 (en) 2015-02-25 2018-03-27 Asml Netherlands B.V. Method and apparatus for inspection and metrology
CN107430349B (zh) 2015-03-05 2020-03-10 Asml荷兰有限公司 用于检查及量测的方法和设备
WO2016142214A2 (en) 2015-03-11 2016-09-15 Asml Netherlands B.V. Method and apparatus for inspection and metrology
WO2016146217A1 (en) 2015-03-13 2016-09-22 Asml Netherlands B.V. Lithographic method and lithographic apparatus
CN107430352B (zh) * 2015-03-25 2020-01-21 Asml荷兰有限公司 量测方法、量测设备和器件制造方法
NL2016509A (en) 2015-04-03 2016-10-10 Asml Netherlands Bv Inspection apparatus for measuring properties of a target structure, methods of operating an optical system, method of manufacturing devices.
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107438795A (zh) 2015-04-10 2017-12-05 Asml荷兰有限公司 用于检查和量测的方法和设备
WO2016162228A1 (en) 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology
NL2016543A (en) * 2015-04-16 2016-10-19 Asml Holding Nv Method and apparatus for optical fiber connection.
CN107771271B (zh) * 2015-04-21 2020-11-06 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
WO2016177568A1 (en) 2015-05-04 2016-11-10 Asml Netherlands B.V. Method and apparatus for inspection and metrology
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
NL2016864A (en) 2015-06-12 2016-12-12 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus, patterning device and manufacturing method
NL2016925A (en) 2015-06-18 2016-12-22 Asml Netherlands Bv Method of metrology, inspection apparatus, lithographic system and device manufacturing method
WO2017012857A1 (en) 2015-07-17 2017-01-26 Asml Netherlands B.V. Method and apparatus for inspection and metrology
NL2017123A (en) 2015-07-24 2017-01-24 Asml Netherlands Bv Inspection apparatus, inspection method, lithographic apparatus and manufacturing method
US9807294B2 (en) * 2015-08-05 2017-10-31 Omnivision Technologies, Inc. Image sensor with symmetric multi-pixel phase-difference detectors, and associated methods
KR102098034B1 (ko) 2015-08-12 2020-04-08 에이에스엠엘 네델란즈 비.브이. 검사 장치, 검사 방법 및 제조 방법
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10088298B2 (en) 2015-09-04 2018-10-02 Kla-Tencor Corporation Method of improving lateral resolution for height sensor using differential detection technology for semiconductor inspection and metrology
TWI656409B (zh) * 2015-09-09 2019-04-11 美商克萊譚克公司 基於輔助電磁場之引入之一階散射測量疊加之新方法
US10101676B2 (en) * 2015-09-23 2018-10-16 KLA—Tencor Corporation Spectroscopic beam profile overlay metrology
NL2017454A (en) 2015-09-28 2017-03-30 Asml Netherlands Bv Hierarchical representation of two-dimensional or threedimensional shapes
US10394136B2 (en) 2015-09-30 2019-08-27 Asml Netherlands B.V. Metrology method for process window definition
CN108139682B (zh) 2015-10-02 2020-12-25 Asml荷兰有限公司 量测方法和设备、计算机程序及光刻系统
NL2017505A (en) 2015-10-09 2017-04-11 Asml Netherlands Bv Method and apparatus for inspection and metrology
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US20180299770A1 (en) 2015-10-19 2018-10-18 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US20180314149A1 (en) 2015-10-19 2018-11-01 Aslm Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067757A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10691863B2 (en) 2015-10-19 2020-06-23 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102136796B1 (ko) 2015-10-19 2020-07-23 에이에스엠엘 네델란즈 비.브이. 비선형 거동의 영향을 저감시키는 방법 및 장치
DE102015221773A1 (de) * 2015-11-05 2017-05-11 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zur Charakterisierung eines durch wenigstens einen Lithographieschritt strukturierten Wafers
WO2017102327A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Polarization tuning in scatterometry
WO2017102299A1 (en) 2015-12-17 2017-06-22 Asml Netherlands B.V. Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement
NL2017933A (en) 2015-12-18 2017-06-26 Asml Netherlands Bv Focus monitoring arrangement and inspection apparatus including such an arrangement
WO2017102406A1 (en) * 2015-12-18 2017-06-22 Stichting Vu Inspection apparatus and method
SG11201804232QA (en) 2015-12-21 2018-06-28 Asml Netherlands Bv Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2017844A (en) 2015-12-22 2017-06-28 Asml Netherlands Bv Focus control arrangement and method
WO2017108411A1 (en) * 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology method and apparatus
KR20180096741A (ko) 2015-12-23 2018-08-29 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 측정 수행 방법
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
CN106959587B (zh) * 2016-01-11 2019-07-02 中芯国际集成电路制造(上海)有限公司 基于衍射的套刻测量标记、套刻测量方法和测量装置
JP6644898B2 (ja) 2016-02-19 2020-02-12 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、デバイス製造方法、およびそれらで使用する波長選択フィルタ
CN112255892B (zh) 2016-02-22 2023-07-18 Asml荷兰有限公司 对量测数据的贡献的分离
KR102188711B1 (ko) 2016-02-26 2020-12-09 에이에스엠엘 네델란즈 비.브이. 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US20170256465A1 (en) 2016-03-01 2017-09-07 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
WO2017148665A1 (en) * 2016-03-01 2017-09-08 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure and lithographic apparatus
WO2017153133A1 (en) 2016-03-08 2017-09-14 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, method of manufacturing devices and computer program
US11022896B2 (en) 2016-03-11 2021-06-01 Asml Netherlands B.V. Mark position determination method
US10684557B2 (en) 2016-04-15 2020-06-16 Asml Netherlands B.V. Method for adjusting actuation of a lithographic apparatus
KR102126322B1 (ko) 2016-04-19 2020-06-25 에이에스엠엘 홀딩 엔.브이. 테이퍼드 광섬유를 이용한 초연속체 발생에 의한 광폭 스펙트럼 방사선
JP6895985B2 (ja) 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法
CN107329373B (zh) * 2016-04-29 2019-01-18 上海微电子装备(集团)股份有限公司 一种套刻误差测量装置及方法
CN109073568B (zh) 2016-04-29 2022-01-11 Asml荷兰有限公司 用于确定结构的特性的方法和装置、器件制造方法
WO2017191084A1 (en) 2016-05-04 2017-11-09 Asml Netherlands B.V. Method and apparatus for generating illuminating radiation
WO2017202665A1 (en) 2016-05-25 2017-11-30 Asml Netherlands B.V. Focus and overlay improvement by modifying a patterning device
US10691029B2 (en) 2016-06-15 2020-06-23 Asml Netherlands B.V. Substrate measurement recipe configuration to improve device matching
US10488186B2 (en) * 2016-06-29 2019-11-26 Microsoft Technology Licensing, Llc Alignment detection for split camera
US10542201B2 (en) 2016-06-29 2020-01-21 Microsoft Technology Licensing, Llc Split-camera autoalignment
WO2018001747A1 (en) 2016-07-01 2018-01-04 Asml Netherlands B.V. Illumination system for a lithographic or inspection apparatus
EP3276419A1 (en) 2016-07-28 2018-01-31 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
CN109478019A (zh) 2016-07-05 2019-03-15 Asml荷兰有限公司 用于检查设备的照射源、检查设备和检查方法
CN109478023B (zh) 2016-07-15 2021-09-10 Asml荷兰有限公司 用于量测目标场的设计的方法和设备
WO2018015179A1 (en) 2016-07-21 2018-01-25 Asml Netherlands B.V. Method of measuring a target, substrate, metrology apparatus, and lithographic apparatus
EP3279736A1 (en) 2016-08-01 2018-02-07 ASML Netherlands B.V. Device and method for processing a radiation beam with coherence
US10578982B2 (en) 2016-08-17 2020-03-03 Asml Netherlands B.V. Substrate measurement recipe design of, or for, a target including a latent image
WO2018036828A1 (en) * 2016-08-23 2018-03-01 Asml Netherlands B.V. Metrology apparatus for measuring a structure formed on a substrate by a lithographic process, lithographic system, and method of measuring a structure formed on a substrate by a lithographic process
EP3290911A1 (en) 2016-09-02 2018-03-07 ASML Netherlands B.V. Method and system to monitor a process apparatus
EP3291008A1 (en) 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
EP3293574A1 (en) * 2016-09-09 2018-03-14 ASML Netherlands B.V. Metrology method, apparatus and computer program
US10712673B2 (en) 2016-09-12 2020-07-14 Asml Netherlands B.V. Method of determining a property of a structure, inspection apparatus and device manufacturing method
CN109690410B (zh) 2016-09-12 2021-08-17 Asml荷兰有限公司 用于导出校正的方法和设备、用于确定结构性质的方法和设备、器件制造方法
EP3296723A1 (en) 2016-09-14 2018-03-21 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
EP3299890A1 (en) 2016-09-27 2018-03-28 ASML Netherlands B.V. Metrology recipe selection
WO2018059824A1 (en) 2016-09-27 2018-04-05 Asml Netherlands B.V. Metrology recipe selection
EP3309616A1 (en) 2016-10-14 2018-04-18 ASML Netherlands B.V. Method of inspecting a substrate, metrology apparatus, and lithographic system
EP3318927A1 (en) 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
EP3321737A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
EP3321738A1 (en) 2016-11-10 2018-05-16 ASML Netherlands B.V. Method of measuring a parameter of a device manufacturing process, metrology apparatus, substrate, target, device manufacturing system, and device manufacturing method
EP3321739A1 (en) 2016-11-11 2018-05-16 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
US10288408B2 (en) 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
EP3333631A1 (en) 2016-12-06 2018-06-13 ASML Netherlands B.V. Method of measuring a target, metrology apparatus, polarizer assembly
EP3333633A1 (en) 2016-12-09 2018-06-13 ASML Netherlands B.V. Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
WO2018108503A1 (en) 2016-12-13 2018-06-21 Asml Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure and for reconstruction of approximate structures
EP3336605A1 (en) 2016-12-15 2018-06-20 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3336607A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method of measuring a property of a substrate, inspection apparatus, lithographic system and device manufacturing method
EP3336606A1 (en) 2016-12-16 2018-06-20 ASML Netherlands B.V. Method for monitoring a characteristic of illumination from a metrology apparatus
EP3796088A1 (en) 2019-09-23 2021-03-24 ASML Netherlands B.V. Method and apparatus for lithographic process performance determination
EP3343294A1 (en) 2016-12-30 2018-07-04 ASML Netherlands B.V. Lithographic process & apparatus and inspection process and apparatus
FR3062516B1 (fr) 2017-01-30 2019-04-12 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure du desalignement entre une premiere et une seconde zones de gravure
US10996573B2 (en) 2017-01-31 2021-05-04 Asml Netherlands B.V. Method and system for increasing accuracy of pattern positioning
EP3361315A1 (en) 2017-02-09 2018-08-15 ASML Netherlands B.V. Inspection apparatus and method of inspecting structures
US10990018B2 (en) 2017-02-22 2021-04-27 Asml Netherlands B.V. Computational metrology
EP3367165A1 (en) 2017-02-23 2018-08-29 ASML Netherlands B.V. Methods of aligning a diffractive optical system and diffractive optical element
CN110462521B (zh) 2017-03-15 2022-10-18 Asml荷兰有限公司 用于输送气体的设备及用于产生高谐波辐射的照射源
EP3376288A1 (en) 2017-03-15 2018-09-19 ASML Netherlands B.V. Apparatus for delivering gas
EP3376289A1 (en) 2017-03-17 2018-09-19 ASML Netherlands B.V. Stage system and metrology tool
KR102384553B1 (ko) 2017-03-23 2022-04-08 에이에스엠엘 네델란즈 비.브이. 구조체의 비대칭 모니터링
US9966725B1 (en) * 2017-03-24 2018-05-08 Cymer, Llc Pulsed light beam spectral feature control
WO2018184793A1 (en) 2017-04-04 2018-10-11 Asml Holding N.V. Anti-reflection coating
EP3385685A1 (en) 2017-04-06 2018-10-10 ASML Netherlands B.V. Radiation receiving system
WO2018197198A1 (en) 2017-04-28 2018-11-01 Asml Netherlands B.V. Metrology method and apparatus and associated computer program
JP7000454B2 (ja) * 2017-05-03 2022-02-04 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジパラメータ決定及びメトロロジレシピ選択
WO2018202388A1 (en) 2017-05-03 2018-11-08 Asml Netherlands B.V. Metrology parameter determination and metrology recipe selection
CN110582729B (zh) 2017-05-04 2022-03-08 Asml控股股份有限公司 测量光学量测的性能的方法、衬底和设备
EP3399371A1 (en) * 2017-05-05 2018-11-07 ASML Netherlands B.V. Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system
WO2018206227A1 (en) 2017-05-08 2018-11-15 Asml Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
EP3401733A1 (en) 2017-05-08 2018-11-14 ASML Netherlands B.V. Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
WO2018215177A1 (en) 2017-05-24 2018-11-29 Asml Netherlands B.V. Method of measuring a parameter of interest, inspection apparatus, lithographic system and device manufacturing method
EP3467589A1 (en) 2017-10-06 2019-04-10 ASML Netherlands B.V. Determining edge roughness parameters
WO2018233947A1 (en) 2017-06-20 2018-12-27 Asml Netherlands B.V. DETERMINATION OF EDGE ROUGHNESS PARAMETERS
US11092902B2 (en) 2017-06-21 2021-08-17 Asml Netherlands B.V. Method and apparatus for detecting substrate surface variations
EP3422102A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
EP3422103A1 (en) 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
EP3422105A1 (en) 2017-06-30 2019-01-02 ASML Netherlands B.V. Metrology parameter determination and metrology recipe selection
CN110870052B (zh) * 2017-07-06 2021-09-10 科磊股份有限公司 在成像技术中估计振幅及相位不对称性以在叠加计量中达到高精准度
DE102017115262B9 (de) 2017-07-07 2021-05-27 Carl Zeiss Smt Gmbh Verfahren zur Charakterisierung einer Maske für die Mikrolithographie
TWI818915B (zh) 2017-07-14 2023-10-21 荷蘭商Asml荷蘭公司 度量衡裝置及基板載物台處置器系統
WO2019015995A1 (en) 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
EP3432072A1 (en) 2017-07-18 2019-01-23 ASML Netherlands B.V. Methods and apparatus for measurement of a parameter of a feature fabricated on a semiconductor substrate
KR102374949B1 (ko) 2017-07-25 2022-03-15 에이에스엠엘 네델란즈 비.브이. 파라미터 결정 방법 및 그 장치
EP3441819A1 (en) 2017-08-07 2019-02-13 ASML Netherlands B.V. Computational metrology
CN110998449B (zh) 2017-08-07 2022-03-01 Asml荷兰有限公司 计算量测
EP3441820A1 (en) 2017-08-11 2019-02-13 ASML Netherlands B.V. Methods and apparatus for determining the position of a spot of radiation and inspection apparatus
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3447580A1 (en) 2017-08-21 2019-02-27 ASML Netherlands B.V. Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
WO2019038054A1 (en) 2017-08-23 2019-02-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF A PATTERN TRANSFER PROCESS, DEVICE MANUFACTURING METHOD
EP3451060A1 (en) 2017-08-28 2019-03-06 ASML Netherlands B.V. Substrate, metrology apparatus and associated methods for a lithographic process
WO2019042809A1 (en) 2017-09-01 2019-03-07 Asml Netherlands B.V. OPTICAL SYSTEMS, METROLOGY APPARATUS AND ASSOCIATED METHODS
EP3451061A1 (en) * 2017-09-04 2019-03-06 ASML Netherlands B.V. Method for monitoring a manufacturing process
EP3454123A1 (en) 2017-09-06 2019-03-13 ASML Netherlands B.V. Metrology method and apparatus
EP3454124A1 (en) 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
EP3462239A1 (en) 2017-09-27 2019-04-03 ASML Netherlands B.V. Metrology in lithographic processes
WO2019048147A1 (en) 2017-09-11 2019-03-14 Asml Netherlands B.V. METHODS AND DEVICES FOR FORMING PATTERNS AND APPARATUSES FOR MEASURING THE PERFORMANCE OF DEVELOPING A LITHOGRAPHIC APPARATUS, AND DEVICE MANUFACTURING METHOD
EP3454127A1 (en) 2017-09-11 2019-03-13 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
JP6979529B2 (ja) 2017-09-11 2021-12-15 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィプロセスにおける計測
CN111065887A (zh) 2017-09-12 2020-04-24 Asml控股股份有限公司 光束指向监测和补偿系统
EP3457211A1 (en) 2017-09-13 2019-03-20 ASML Netherlands B.V. A method of aligning a pair of complementary diffraction patterns and associated metrology method and apparatus
EP3457212A1 (en) 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
EP3460574A1 (en) 2017-09-22 2019-03-27 ASML Netherlands B.V. Method to determine a patterning process parameter
WO2019057578A1 (en) 2017-09-22 2019-03-28 Asml Netherlands B.V. METHOD FOR DETERMINING A PARAMETER OF PATTERN CREATION PROCESS
US11662198B2 (en) 2017-09-28 2023-05-30 Asml Holding N.V. Optical arrangement for an inspection apparatus
KR102388682B1 (ko) 2017-09-28 2022-04-19 에이에스엠엘 홀딩 엔.브이. 계측 방법 및 디바이스
IL273680B2 (en) 2017-10-05 2024-04-01 Asml Netherlands Bv A metrology system and method for determining a characteristic of one or more structures on a substrate
EP3480554A1 (en) 2017-11-02 2019-05-08 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3470923A1 (en) * 2017-10-10 2019-04-17 ASML Netherlands B.V. Metrology method
TW201923332A (zh) 2017-10-10 2019-06-16 荷蘭商Asml荷蘭公司 度量衡方法和設備、電腦程式及微影系統
EP3470924A1 (en) 2017-10-11 2019-04-17 ASML Netherlands B.V. Method of optimizing the position and/or size of a measurement illumination spot relative to a target on a substrate, and associated apparatus
EP3474074A1 (en) 2017-10-17 2019-04-24 ASML Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
EP3477389A1 (en) * 2017-10-24 2019-05-01 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
KR102390742B1 (ko) * 2017-10-24 2022-04-26 에이에스엠엘 네델란즈 비.브이. 마크, 오버레이 타겟, 및 정렬 및 오버레이 방법
WO2019081211A1 (en) 2017-10-26 2019-05-02 Asml Netherlands B.V. METHOD FOR DETERMINING A VALUE OF A PARAMETER OF INTEREST, METHOD FOR CLEANING A SIGNAL CONTAINING INFORMATION REGARDING THIS PARAMETER OF INTEREST
EP3477391A1 (en) 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
WO2019086221A1 (en) 2017-10-31 2019-05-09 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
EP3477392A1 (en) 2017-10-31 2019-05-01 ASML Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
EP3480659A1 (en) 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
WO2019091678A1 (en) 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3499312A1 (en) 2017-12-15 2019-06-19 ASML Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
EP3489756A1 (en) 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
EP3492984A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
KR102438502B1 (ko) 2017-12-04 2022-09-01 에이에스엠엘 네델란즈 비.브이. 측정 방법, 패터닝 디바이스 및 디바이스 제조 방법
EP3495888A1 (en) 2017-12-06 2019-06-12 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3495889A1 (en) 2017-12-07 2019-06-12 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
WO2019115218A1 (en) 2017-12-12 2019-06-20 Asml Netherlands B.V. Apparatus and method for determining a condition associated with a pellicle
CN111465902B (zh) 2017-12-13 2023-04-18 Asml控股股份有限公司 分束棱镜系统
EP3499311A1 (en) 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
KR20230048170A (ko) 2017-12-19 2023-04-10 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
KR102440337B1 (ko) 2017-12-22 2022-09-05 에이에스엠엘 네델란즈 비.브이. 결함 확률에 기초한 프로세스 윈도우
EP3528048A1 (en) 2018-02-15 2019-08-21 ASML Netherlands B.V. A metrology apparatus for and a method of determining a characteristic of interest of a structure on a substrate
EP3506011A1 (en) 2017-12-28 2019-07-03 ASML Netherlands B.V. Apparatus for and a method of removing contaminant particles from a component of a metrology apparatus
CN111542783A (zh) 2017-12-28 2020-08-14 Asml荷兰有限公司 用于确定衬底上的结构的感兴趣的特性的量测设备与方法
JP2021509927A (ja) * 2017-12-28 2021-04-08 日東電工株式会社 ガラスユニットの製造方法、粘着シートおよび腐食防止剤の使用
CN111512238B (zh) 2017-12-28 2024-01-30 Asml荷兰有限公司 从设备部件中移除污染物颗粒的设备和方法
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
EP3514628A1 (en) 2018-01-18 2019-07-24 ASML Netherlands B.V. Method of measuring a target, and metrology apparatus
JP7060695B2 (ja) 2018-01-17 2022-04-26 エーエスエムエル ネザーランズ ビー.ブイ. スキャン信号の特徴診断
WO2019141479A1 (en) 2018-01-17 2019-07-25 Asml Netherlands B.V. Method of measuring a target, and metrology apparatus
EP3514629A1 (en) 2018-01-23 2019-07-24 ASML Netherlands B.V. Methods and apparatus for constructing a parameterized geometric model of a structure and associated inspection apparatus and method
US11635698B2 (en) 2018-01-24 2023-04-25 Asml Netherlands B.V. Computational metrology based sampling scheme
WO2019149586A1 (en) 2018-01-30 2019-08-08 Asml Netherlands B.V. Method of patterning at least a layer of a semiconductor device
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3521930A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
EP3521929A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
EP3528047A1 (en) 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
EP3531205A1 (en) 2018-02-22 2019-08-28 ASML Netherlands B.V. Control based on probability density function of parameter
US11379970B2 (en) 2018-02-23 2022-07-05 Asml Netherlands B.V. Deep learning for semantic segmentation of pattern
KR102481755B1 (ko) 2018-02-23 2022-12-29 에이에스엠엘 네델란즈 비.브이. 가이드 패터닝 디바이스 검사
EP3531191A1 (en) 2018-02-27 2019-08-28 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
KR102544707B1 (ko) 2018-02-27 2023-06-16 에이에스엠엘 네델란즈 비.브이. 기판 상의 하나 이상의 구조체의 특성을 결정하기 위한 계측 장치 및 방법
IL277055B2 (en) 2018-03-06 2024-06-01 Asml Holding Nv Anti-reflection optical infrastructures and manufacturing methods
DE102018107112B9 (de) * 2018-03-26 2020-02-27 Carl Zeiss Smt Gmbh Verfahren zur Inspektion einer Maske
EP3547029A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Control method for a scanning exposure apparatus
WO2019185233A1 (en) 2018-03-29 2019-10-03 Asml Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
US11360395B2 (en) 2018-03-29 2022-06-14 Asml Netherlands B.V. Control method for a scanning exposure apparatus
EP3547030A1 (en) 2018-03-29 2019-10-02 ASML Netherlands B.V. Method for evaluating control strategies in a semicondcutor manufacturing process
KR102527672B1 (ko) 2018-04-06 2023-04-28 에이에스엠엘 네델란즈 비.브이. 비선형 광학계를 갖는 검사 장치
EP3553602A1 (en) 2018-04-09 2019-10-16 ASML Netherlands B.V. Model based reconstruction of semiconductor structures
NL2021848A (en) 2018-04-09 2018-11-06 Stichting Vu Holographic metrology apparatus.
EP3557327A1 (en) 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
NL2022852A (en) 2018-04-26 2019-10-31 Asml Holding Nv Alignment sensor apparatus for process sensivity compensation
EP3570108A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Methods and apparatus for calculating electromagnetic scattering properties of a structure
EP3570109A1 (en) 2018-05-14 2019-11-20 ASML Netherlands B.V. Illumination source for an inspection apparatus, inspection apparatus and inspection method
TWI791196B (zh) 2018-05-24 2023-02-01 荷蘭商Asml荷蘭公司 判定基板之堆疊組態之方法及其相關非暫時性電腦可讀媒體
US20190369307A1 (en) * 2018-05-30 2019-12-05 Key Technology, Inc. Electromagnetic Radiation Detector Assembly
EP3579052A1 (en) 2018-06-08 2019-12-11 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
CN116758012A (zh) 2018-06-08 2023-09-15 Asml荷兰有限公司 确定与在衬底上的结构相关的感兴趣的特性的方法、掩模版、衬底
IL310215A (en) 2018-06-13 2024-03-01 Asml Netherlands Bv Metrological device
EP3614207A1 (en) 2018-08-21 2020-02-26 ASML Netherlands B.V. Metrology apparatus
EP3582009A1 (en) 2018-06-15 2019-12-18 ASML Netherlands B.V. Reflector and method of manufacturing a reflector
KR20210013605A (ko) 2018-06-19 2021-02-04 에이에스엠엘 네델란즈 비.브이. 제조 장치 및 연계된 장치를 제어하는 방법
EP3584637A1 (en) 2018-06-19 2019-12-25 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated apparatuses
US11586114B2 (en) 2018-06-25 2023-02-21 Asml Netherlands B.V. Wavefront optimization for tuning scanner based on performance matching
EP3588190A1 (en) 2018-06-25 2020-01-01 ASML Netherlands B.V. Method for performing a manufacturing process and associated apparatuses
EP3598235A1 (en) 2018-07-18 2020-01-22 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic relating to one or more structures on a substrate
CN112543892A (zh) 2018-07-26 2021-03-23 Asml荷兰有限公司 针对模拟系统的用于确定晶片的层的蚀刻轮廓的方法
EP3605230A1 (en) 2018-08-01 2020-02-05 Stichting VU Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
NL2021852A (en) 2018-08-01 2018-11-09 Asml Netherlands Bv Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
WO2020035272A1 (en) 2018-08-14 2020-02-20 Asml Netherlands B.V. Model calibration and guided metrology based on smart sampling
EP3611570A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3611569A1 (en) 2018-08-16 2020-02-19 ASML Netherlands B.V. Metrology apparatus and photonic crystal fiber
TWI749355B (zh) 2018-08-17 2021-12-11 荷蘭商Asml荷蘭公司 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
EP3614194A1 (en) 2018-08-24 2020-02-26 ASML Netherlands B.V. Matching pupil determination
KR20210040134A (ko) 2018-09-04 2021-04-12 에이에스엠엘 네델란즈 비.브이. 계측 장치
EP3620857A1 (en) 2018-09-04 2020-03-11 ASML Netherlands B.V. Metrology apparatus
EP3623868A1 (en) 2018-09-12 2020-03-18 ASML Netherlands B.V. Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
EP3623869A1 (en) 2018-09-14 2020-03-18 ASML Netherlands B.V. Method for measuring a parameter of a structure formed using a lithographic process
CN112740109B (zh) 2018-09-19 2024-04-30 Asml荷兰有限公司 用于位置量测的量测传感器
CN113168103A (zh) 2018-09-19 2021-07-23 Asml荷兰有限公司 量测方法及其装置
EP3627226A1 (en) 2018-09-20 2020-03-25 ASML Netherlands B.V. Optical system, metrology apparatus and associated method
TWI722562B (zh) 2018-09-24 2021-03-21 荷蘭商Asml荷蘭公司 自圖案化製程之圖案組判定候選圖案的方法
EP3629086A1 (en) 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
EP3629087A1 (en) 2018-09-26 2020-04-01 ASML Netherlands B.V. Method of manufacturing devices
US11087065B2 (en) 2018-09-26 2021-08-10 Asml Netherlands B.V. Method of manufacturing devices
EP3629088A1 (en) 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
TW202020577A (zh) 2018-09-28 2020-06-01 荷蘭商Asml荷蘭公司 基於晶圓量測判定熱點排序
EP3637186A1 (en) 2018-10-09 2020-04-15 ASML Netherlands B.V. Method of calibrating a plurality of metrology apparatuses, method of determining a parameter of interest, and metrology apparatus
EP3637187A1 (en) 2018-10-12 2020-04-15 ASML Netherlands B.V. Method for measuring focus performance of a lithographic apparatus
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3640972A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. System and method for facilitating chemical mechanical polishing
EP3870547A1 (en) 2018-10-24 2021-09-01 ASML Netherlands B.V. Optical fibers and production methods therefor
EP3647874A1 (en) 2018-11-05 2020-05-06 ASML Netherlands B.V. Optical fibers and production methods therefor
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
JP2022514180A (ja) 2018-11-07 2022-02-10 アプライド マテリアルズ インコーポレイテッド 導波計測のための方法及び装置
CN112969968B (zh) 2018-11-08 2024-06-11 Asml荷兰有限公司 基于过程变化度的空间特性对不合格的预测
EP3650941A1 (en) 2018-11-12 2020-05-13 ASML Netherlands B.V. Method of determining the contribution of a processing apparatus to a substrate parameter
EP3654103A1 (en) 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
US20220026809A1 (en) 2018-11-16 2022-01-27 Asml Netherlands B.V. Method for monitoring lithographic apparatus
EP3654104A1 (en) 2018-11-16 2020-05-20 ASML Netherlands B.V. Method for monitoring lithographic apparatus
US20200158632A1 (en) * 2018-11-19 2020-05-21 Luminit Llc Test System for a Holographic Optical Element
EP3657256A1 (en) 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
EP3657257A1 (en) 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020114684A1 (en) 2018-12-03 2020-06-11 Asml Netherlands B.V. Method of manufacturing devices
EP3663856A1 (en) 2018-12-07 2020-06-10 ASML Netherlands B.V. Method for adjusting a target feature in a model of a patterning process based on local electric fields
CN113196173A (zh) 2018-12-14 2021-07-30 Asml荷兰有限公司 用于对图像图案分组以确定图案化过程中晶片行为的设备和方法
WO2020126257A1 (en) 2018-12-20 2020-06-25 Asml Netherlands B.V. Metrology sensor, illumination system and method of generating measurement illumination with a configurable illumination spot diameter
CN113227907A (zh) 2018-12-28 2021-08-06 Asml荷兰有限公司 基于来自经印刷的衬底的测量反馈确定图案分级
WO2020141050A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Position metrology apparatus and associated optical elements
US20220082944A1 (en) 2018-12-31 2022-03-17 Asml Netherlands B.V. Method for metrology optimization
WO2020141085A1 (en) 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for overlay metrology and apparatus thereof
EP3715951A1 (en) 2019-03-28 2020-09-30 ASML Netherlands B.V. Position metrology apparatus and associated optical elements
US12013647B2 (en) 2018-12-31 2024-06-18 Asml Netherlands B.V. Metrology method
CN113260926A (zh) 2019-01-03 2021-08-13 Asml荷兰有限公司 用于测量光刻设备的聚焦性能的方法、图案形成装置和设备、以及器件制造方法
US11333982B2 (en) 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
CN113366389A (zh) 2019-01-29 2021-09-07 Asml荷兰有限公司 用于控制光刻工艺的方法及设备
EP3696606A1 (en) 2019-02-15 2020-08-19 ASML Netherlands B.V. A metrology apparatus with radiation source having multiple broadband outputs
US11971665B2 (en) 2019-02-21 2024-04-30 Asml Holding N.V. Wafer alignment using form birefringence of targets or product
EP3703114A1 (en) 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
EP3702840A1 (en) 2019-03-01 2020-09-02 ASML Netherlands B.V. Alignment method and associated metrology device
EP3705942A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3705945A1 (en) 2019-03-08 2020-09-09 ASML Netherlands B.V. Methods and apparatus for estimating substrate shape
US20220146946A1 (en) 2019-03-22 2022-05-12 Asml Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3764164A1 (en) 2019-07-11 2021-01-13 ASML Netherlands B.V. Method for controlling a lithographic apparatus and associated apparatuses
EP3715944A1 (en) 2019-03-25 2020-09-30 ASML Netherlands B.V. Frequency broadening apparatus and method
CN116643348A (zh) 2019-03-25 2023-08-25 Asml荷兰有限公司 频率拓宽装置和方法
EP3719545A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Manufacturing a reflective diffraction grating
WO2020200637A1 (en) 2019-04-03 2020-10-08 Asml Netherlands B.V. Optical fiber
EP3719551A1 (en) 2019-04-03 2020-10-07 ASML Netherlands B.V. Optical fiber
CN113678063A (zh) 2019-04-04 2021-11-19 Asml荷兰有限公司 光刻工艺的子场控制和相关设备
EP3734366A1 (en) 2019-05-03 2020-11-04 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
WO2020207794A1 (en) * 2019-04-08 2020-10-15 Asml Holding N.V. Sensor apparatus and method for lithographic measurements
EP3731018A1 (en) 2019-04-23 2020-10-28 ASML Netherlands B.V. A method for re-imaging an image and associated metrology apparatus
WO2020224882A1 (en) 2019-05-06 2020-11-12 Asml Netherlands B.V. Dark field microscope
EP3742230A1 (en) 2019-05-23 2020-11-25 ASML Netherlands B.V. Detection apparatus for simultaneous acquisition of multiple diverse images of an object
US20220172347A1 (en) 2019-05-13 2022-06-02 Asml Netherlands B.V. Detection apparatus for simultaneous acquisition of multiple diverse images of an object
EP3739389A1 (en) 2019-05-17 2020-11-18 ASML Netherlands B.V. Metrology tools comprising aplanatic objective singlet
NL2025611A (en) 2019-05-30 2020-12-03 Asml Holding Nv Self-referencing interferometer and dual self-referencing interferometer devices
EP3751342A1 (en) 2019-06-13 2020-12-16 Stichting VU Metrology method and method for training a data structure for use in metrology
EP3754427A1 (en) 2019-06-17 2020-12-23 ASML Netherlands B.V. Metrology method and apparatus for of determining a complex-valued field
CN114008531A (zh) 2019-06-17 2022-02-01 Asml荷兰有限公司 用于确定复值场的量测方法和装置
US11875101B2 (en) 2019-06-20 2024-01-16 Asml Netherlands B.V. Method for patterning process modelling
CN114008499A (zh) 2019-06-21 2022-02-01 Asml荷兰有限公司 安装式中空芯部光纤布置
EP3767347A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Mounted hollow-core fibre arrangement
EP3758168A1 (en) 2019-06-25 2020-12-30 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3994523A1 (en) 2019-07-02 2022-05-11 ASML Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN114026500A (zh) 2019-07-03 2022-02-08 Asml荷兰有限公司 在半导体制造过程中应用沉积模式的方法
US20220244649A1 (en) 2019-07-04 2022-08-04 Asml Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
EP3767391A1 (en) 2019-07-17 2021-01-20 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
WO2021008794A1 (en) 2019-07-15 2021-01-21 Asml Netherlands B.V. Methods of alignment, overlay, configuration of marks, manufacturing of patterning devices and patterning the marks
EP3767394A1 (en) * 2019-07-18 2021-01-20 ASML Netherlands B.V. Mark, overlay target, and methods of alignment and overlay
EP3786712A1 (en) 2019-08-28 2021-03-03 ASML Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
WO2021008929A1 (en) 2019-07-16 2021-01-21 Asml Netherlands B.V. Light sources and methods of controlling; devices and methods for use in measurement applications
EP3767375A1 (en) 2019-07-19 2021-01-20 ASML Netherlands B.V. A light source and a method for use in metrology applications
EP3611567A3 (en) 2019-07-23 2020-05-13 ASML Netherlands B.V. Improvements in metrology targets
JP2022542070A (ja) 2019-07-24 2022-09-29 エーエスエムエル ネザーランズ ビー.ブイ. 放射源
EP3796080A1 (en) 2019-09-18 2021-03-24 ASML Netherlands B.V. Radiation source
WO2021028126A1 (en) 2019-08-13 2021-02-18 Asml Netherlands B.V. Modeling method for computational fingerprints
EP3779600A1 (en) 2019-08-14 2021-02-17 ASML Netherlands B.V. Method and metrology tool for determining information about a target structure, and cantilever probe
WO2021028174A1 (en) 2019-08-14 2021-02-18 Asml Netherlands B.V. Method and metrology tool for determining information about a target structure, and cantilever probe
EP3783436A1 (en) 2019-08-19 2021-02-24 ASML Netherlands B.V. Illumination and detection apparatus for a metrology apparatus
EP3783439A1 (en) 2019-08-22 2021-02-24 ASML Netherlands B.V. Metrology device and detection apparatus therefor
CN114303093A (zh) 2019-08-29 2022-04-08 Asml荷兰有限公司 用于光源的端部琢面保护和用于量测应用的方法
EP3786700A1 (en) 2019-08-29 2021-03-03 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
EP3812836A1 (en) 2019-10-21 2021-04-28 ASML Netherlands B.V. End facet protection for a light source and a method for use in metrology applications
CN114341739A (zh) 2019-08-30 2022-04-12 Asml控股股份有限公司 计量系统和方法
CN114430875A (zh) 2019-09-02 2022-05-03 Asml荷兰有限公司 基于光子晶体光纤的宽带光源的模式控制
EP3786702A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Mode control of photonic crystal fiber based broadband light sources
EP3786713A1 (en) 2019-09-02 2021-03-03 ASML Netherlands B.V. Metrology method and device for determining a complex-valued field
EP3792673A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Assembly for collimating broadband radiation
KR20220035963A (ko) 2019-09-03 2022-03-22 에이에스엠엘 네델란즈 비.브이. 광대역 방사선을 시준하기 위한 어셈블리
CN114341742A (zh) 2019-09-03 2022-04-12 Asml荷兰有限公司 确定图案的像差灵敏度的方法
US20220326152A1 (en) 2019-09-05 2022-10-13 Asml Netherlands B.V. An improved high harmonic generation apparatus
EP3790364A1 (en) 2019-09-05 2021-03-10 ASML Netherlands B.V. An improved high harmonic generation apparatus
EP3792693A1 (en) 2019-09-16 2021-03-17 ASML Netherlands B.V. Sub-field control of a lithographic process and associated apparatus
CN114514465A (zh) 2019-09-18 2022-05-17 Asml荷兰有限公司 中空芯部光纤中的改进的宽带辐射生成
EP3796089A1 (en) 2019-09-18 2021-03-24 ASML Holding N.V. A method for filtering an image and associated metrology apparatus
EP3805857A1 (en) 2019-10-09 2021-04-14 ASML Netherlands B.V. Improved broadband radiation generation in hollow-core fibres
EP3798729A1 (en) 2019-09-26 2021-03-31 ASML Netherlands B.V. Method for inferring a processing parameter such as focus and associated appratuses and manufacturing method
WO2021063728A1 (en) 2019-10-02 2021-04-08 Asml Netherlands B.V. Process monitoring and tuning using prediction models
EP3809190A1 (en) 2019-10-14 2021-04-21 ASML Netherlands B.V. Method and apparatus for coherence scrambling in metrology applications
JP6732243B1 (ja) * 2019-10-15 2020-07-29 英治 神谷 欠陥検出装置
EP3839621A1 (en) 2019-12-16 2021-06-23 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3809203A1 (en) 2019-10-17 2021-04-21 ASML Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
US20240118629A1 (en) 2019-10-17 2024-04-11 Asml Netherlands B.V. Methods of fitting measurement data to a model and modeling a performance parameter distribution and associated apparatuses
US20220382124A1 (en) 2019-10-17 2022-12-01 Asml Netherlands B.V. An illumination source and associated metrology apparatus
EP3839586A1 (en) 2019-12-18 2021-06-23 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP4365653A2 (en) 2019-10-24 2024-05-08 ASML Netherlands B.V. Hollow-core photonic crystal fiber based optical component for broadband radiation generation
EP3816721A1 (en) 2019-10-29 2021-05-05 ASML Netherlands B.V. Method and apparatus for efficient high harmonic generation
WO2021083608A1 (en) 2019-11-01 2021-05-06 Asml Netherlands B.V. Machine learning based image generation for model base alignments
WO2021083704A1 (en) 2019-11-01 2021-05-06 Asml Netherlands B.V. Metrology method and lithographic apparatuses
EP3869270A1 (en) 2020-02-18 2021-08-25 ASML Netherlands B.V. Assemblies and methods for guiding radiation
KR20220066963A (ko) 2019-11-05 2022-05-24 에이에스엠엘 네델란즈 비.브이. 측정 방법 및 측정 장치
EP4053086A1 (en) 2019-11-07 2022-09-07 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
JP7357151B2 (ja) 2019-11-07 2023-10-05 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置の一部を洗浄するためのシステム
EP3819266A1 (en) 2019-11-07 2021-05-12 ASML Netherlands B.V. Method of manufacture of a capillary for a hollow-core photonic crystal fiber
KR20220079662A (ko) 2019-11-11 2022-06-13 에이에스엠엘 네델란즈 비.브이. 리소그래피 시스템을 위한 교정 방법
CN110927116B (zh) * 2019-11-29 2022-08-02 中国科学院微电子研究所 一种测量标记结构的方法、装置及系统
WO2021104718A1 (en) 2019-11-29 2021-06-03 Asml Netherlands B.V. Method and system for predicting process information with a parameterized model
EP3828632A1 (en) 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
US11762305B2 (en) 2019-12-05 2023-09-19 Asml Netherlands B.V. Alignment method
US11927892B2 (en) 2019-12-12 2024-03-12 Asml Netherlands B.V. Alignment method and associated alignment and lithographic apparatuses
CN114868084A (zh) 2019-12-16 2022-08-05 Asml荷兰有限公司 量测方法和相关联的量测和光刻设备
EP3839635A1 (en) 2019-12-17 2021-06-23 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
IL293746A (en) 2019-12-17 2022-08-01 Asml Netherlands Bv Dark field digital holographic microscopy and associated metrology method
EP3851915A1 (en) 2020-01-14 2021-07-21 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
IL293749A (en) 2019-12-18 2022-08-01 Asml Netherlands Bv A method for correcting measurements in the production of integrated circuits and related devices
EP3839631A1 (en) * 2019-12-19 2021-06-23 ASML Netherlands B.V. Determining relative positions of different layers in a structure
WO2021123135A1 (en) 2019-12-19 2021-06-24 Asml Netherlands B.V. Scatterometer and method of scatterometry using acoustic radiation
WO2021121871A1 (en) * 2019-12-19 2021-06-24 Asml Netherlands B.V. Optically determining electrical contact between metallic features in different layers in a structure
EP3839632A1 (en) 2019-12-20 2021-06-23 ASML Netherlands B.V. Method for determining a measurement recipe and associated apparatuses
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
WO2021130315A1 (en) 2019-12-24 2021-07-01 Asml Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
CN113124751B (zh) * 2019-12-31 2022-07-29 上海微电子装备(集团)股份有限公司 一种散射测量装置及散射测量方法
EP3851904B1 (en) 2020-01-15 2023-02-01 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
EP3865931A1 (en) 2020-02-12 2021-08-18 ASML Netherlands B.V. Method, assembly, and apparatus for improved control of broadband radiation generation
WO2021151565A1 (en) 2020-01-28 2021-08-05 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
KR20220122730A (ko) 2020-01-29 2022-09-02 에이에스엠엘 홀딩 엔.브이. 소형 오버레이 측정 시스템의 광학 설계
KR20220122743A (ko) 2020-01-29 2022-09-02 에이에스엠엘 네델란즈 비.브이. 기판 상의 주기적 구조체를 측정하는 메트롤로지 방법 및 디바이스
EP3876037A1 (en) 2020-03-06 2021-09-08 ASML Netherlands B.V. Metrology method and device for measuring a periodic structure on a substrate
CN115023654A (zh) 2020-02-07 2022-09-06 Asml荷兰有限公司 工作台系统、工作台系统操作方法、检查工具、光刻设备、校准方法和装置制造方法
EP3869271A1 (en) 2020-02-20 2021-08-25 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN115066657A (zh) 2020-02-12 2022-09-16 Asml荷兰有限公司 用于控制制造过程的方法和关联设备
WO2021165419A1 (en) 2020-02-21 2021-08-26 Asml Netherlands B.V. Method for calibrating simulation process based on defect-based process window
EP3872567A1 (en) 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
KR20220132634A (ko) 2020-03-02 2022-09-30 에이에스엠엘 네델란즈 비.브이. 국부 균일성 메트릭을 추론하는 방법
EP3879342A1 (en) 2020-03-10 2021-09-15 ASML Netherlands B.V. Method for inferring a local uniformity metric and associated appratuses
WO2021175570A1 (en) 2020-03-03 2021-09-10 Asml Netherlands B.V. Machine learning based subresolution assist feature placement
KR20220137074A (ko) 2020-03-03 2022-10-11 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 방법 및 연관된 장치
EP3882701A1 (en) 2020-03-19 2021-09-22 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
EP3876036A1 (en) 2020-03-04 2021-09-08 ASML Netherlands B.V. Vibration isolation system and associated applications in lithography
EP3879343A1 (en) 2020-03-11 2021-09-15 ASML Netherlands B.V. Metrology measurement method and apparatus
EP3889681A1 (en) 2020-03-31 2021-10-06 ASML Netherlands B.V. An assembly including a non-linear element and a method of use thereof
WO2021197838A1 (en) 2020-04-03 2021-10-07 Asml Holding N.V. Systems and methods for forming structures on a surface
US20230205095A1 (en) 2020-04-23 2023-06-29 Teranova B.V. Method and system for determining one or more dimensions of one or more structures on a sample surface
EP3913429A1 (en) 2020-05-19 2021-11-24 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
US20230176494A1 (en) 2020-05-19 2023-06-08 Asml Holding N.V. Generating an alignment signal based on local alignment mark distortions
CN115668060A (zh) 2020-05-26 2023-01-31 Asml荷兰有限公司 用于优化采样方案的方法和相关设备
TW202331426A (zh) 2020-06-01 2023-08-01 荷蘭商Asml控股公司 用於清潔微影設備之一部分之清潔工具及方法
WO2021249711A1 (en) 2020-06-10 2021-12-16 Asml Netherlands B.V. Metrology method, metrology apparatus and lithographic apparatus
WO2021259559A1 (en) 2020-06-24 2021-12-30 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN115735162A (zh) 2020-07-03 2023-03-03 Asml荷兰有限公司 基于失效率的过程窗口
WO2022008160A1 (en) 2020-07-06 2022-01-13 Asml Netherlands B.V. Illumination apparatus and associated metrology and lithographic apparatuses
EP3936936A1 (en) 2020-07-08 2022-01-12 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator with extended fiber lifetime
EP3936937B1 (en) 2020-07-08 2022-08-03 ASML Netherlands B.V. Hollow-core fiber based broadband radiation generator with extended fiber lifetime
CN115989459A (zh) 2020-07-09 2023-04-18 Asml荷兰有限公司 使用人工神经网络的运动控制
EP3944020A1 (en) 2020-07-20 2022-01-26 ASML Netherlands B.V. Method for adjusting a patterning process
JP2023533491A (ja) 2020-07-09 2023-08-03 エーエスエムエル ネザーランズ ビー.ブイ. パターニングプロセスの調整方法
EP3945548A1 (en) 2020-07-30 2022-02-02 ASML Netherlands B.V. Method for classifying semiconductor wafers
CN116134972A (zh) 2020-07-21 2023-05-16 Asml荷兰有限公司 照射源和相关联的量测设备
EP3962241A1 (en) 2020-08-26 2022-03-02 ASML Netherlands B.V. An illumination source and associated metrology apparatus
EP3945367A1 (en) 2020-07-31 2022-02-02 ASML Netherlands B.V. Method for controlling a manufacturing process and associated apparatuses
CN116157744A (zh) 2020-07-22 2023-05-23 Asml荷兰有限公司 用于控制制造工艺的方法和相关联的装置
US20230305407A1 (en) 2020-07-28 2023-09-28 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
US20230273502A1 (en) 2020-08-03 2023-08-31 Asml Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
EP3974899A1 (en) 2020-09-28 2022-03-30 ASML Netherlands B.V. Method for generating broadband radiation and associated broadband source and metrology device
US20230296829A1 (en) 2020-08-06 2023-09-21 Asml Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
EP4001976A1 (en) 2020-11-13 2022-05-25 ASML Netherlands B.V. Hollow core fiber light source and a method for manufacturing a hollow core fiber
CN116113887A (zh) 2020-08-11 2023-05-12 Asml荷兰有限公司 半导体制造厂中的污染的标识方法和装置
EP3961303A1 (en) 2020-08-27 2022-03-02 ASML Netherlands B.V. Method and apparatus for identifying contamination in a semiconductor fab
EP3958052A1 (en) 2020-08-20 2022-02-23 ASML Netherlands B.V. Metrology method for measuring an exposed pattern and associated metrology apparatus
EP3961304A1 (en) 2020-08-31 2022-03-02 ASML Netherlands B.V. Mapping metrics between manufacturing systems
EP3964809A1 (en) 2020-09-02 2022-03-09 Stichting VU Wavefront metrology sensor and mask therefor, method for optimizing a mask and associated apparatuses
EP3964892A1 (en) 2020-09-02 2022-03-09 Stichting VU Illumination arrangement and associated dark field digital holographic microscope
JP2023540186A (ja) 2020-09-03 2023-09-22 エーエスエムエル ネザーランズ ビー.ブイ. 中空コアフォトニック結晶ファイバベースの広帯域放射ジェネレータ
EP3968090A1 (en) 2020-09-11 2022-03-16 ASML Netherlands B.V. Radiation source arrangement and metrology device
EP3988996A1 (en) 2020-10-20 2022-04-27 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
KR20220032922A (ko) 2020-09-08 2022-03-15 삼성전자주식회사 퓨필 타원 편광 계측 장치 및 방법, 및 그 방법을 이용한 반도체 소자 제조방법
EP3971555A1 (en) 2020-09-16 2022-03-23 ASML Netherlands B.V. Method of performing metrology
WO2022058111A1 (en) 2020-09-16 2022-03-24 Asml Netherlands B.V. Method of performing metrology, method of training a machine learning model, method of providing a layer comprising a two-dimensional material, metrology apparatus
WO2022064033A1 (en) 2020-09-28 2022-03-31 Asml Netherlands B.V. Target structure and associated methods and apparatus
EP3978964A1 (en) 2020-10-01 2022-04-06 ASML Netherlands B.V. Achromatic optical relay arrangement
EP4002015A1 (en) 2020-11-16 2022-05-25 ASML Netherlands B.V. Dark field digital holographic microscope and associated metrology method
JP2023549656A (ja) 2020-11-17 2023-11-29 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジシステム及びリソグラフィシステム
US20240012338A1 (en) * 2020-11-24 2024-01-11 Asml Holding N.V. Multiple objectives metrology system, lithographic apparatus, and methods thereof
WO2022111945A1 (en) 2020-11-24 2022-06-02 Asml Netherlands B.V. Method of determining mark structure for overlay fingerprints
EP4006640A1 (en) 2020-11-26 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
WO2022111967A2 (en) 2020-11-27 2022-06-02 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
EP4006641A1 (en) 2020-11-30 2022-06-01 Stichting Nederlandse Wetenschappelijk Onderzoek Instituten Metrology apparatus based on high harmonic generation and associated method
EP4252071A1 (en) 2020-11-30 2023-10-04 ASML Netherlands B.V. Metrology apparatus based on high harmonic generation and associated method
EP4009107A1 (en) 2020-12-01 2022-06-08 ASML Netherlands B.V. Method and apparatus for imaging nonstationary object
JP2023551776A (ja) 2020-12-08 2023-12-13 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジの方法及び関連装置
WO2022122325A1 (en) 2020-12-10 2022-06-16 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4012492A1 (en) 2020-12-10 2022-06-15 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4016186A1 (en) 2020-12-18 2022-06-22 ASML Netherlands B.V. Metrology method for measuring an etched trench and associated metrology apparatus
EP4017221A1 (en) 2020-12-21 2022-06-22 ASML Netherlands B.V. Methods and apparatus for controlling electron density distributions
US20240004309A1 (en) 2020-12-21 2024-01-04 Asml Netherlands B.V. A method of monitoring a lithographic process
EP4030236A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
EP4030230A1 (en) 2021-01-18 2022-07-20 ASML Netherlands B.V. Methods and apparatus for providing a broadband light source
US20240004319A1 (en) 2020-12-23 2024-01-04 Asml Netherlands B.V. Methods and apparatus for providing a broadband light source
EP4075340A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
IL304024A (en) 2020-12-30 2023-08-01 Asml Netherlands Bv A modular automatic coder model for estimating parameters of production processes
EP4075341A1 (en) 2021-04-18 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
EP4075339A1 (en) 2021-04-15 2022-10-19 ASML Netherlands B.V. Modular autoencoder model for manufacturing process parameter estimation
US11761906B2 (en) 2021-01-07 2023-09-19 Samsung Electronics Co., Ltd. Optical device
EP4030237A1 (en) 2021-01-19 2022-07-20 ASML Netherlands B.V. Metrology method and system and lithographic system
JP2024503585A (ja) 2021-01-19 2024-01-26 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィアライメント装置における強度を測定するためのシステム及び方法
WO2022161703A1 (en) 2021-01-27 2022-08-04 Asml Netherlands B.V. Hollow-core photonic crystal fiber
EP4036619A1 (en) 2021-01-27 2022-08-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber
EP4036646A1 (en) 2021-01-29 2022-08-03 ASML Netherlands B.V. Metrology methods and appratuses
EP4040233A1 (en) 2021-02-03 2022-08-10 ASML Netherlands B.V. A method of determining a measurement recipe and associated metrology methods and appratuses
JP2024512198A (ja) 2021-02-04 2024-03-19 エーエスエムエル ネザーランズ ビー.ブイ. 光パルスを空間的にフィルタリングするための方法および装置
EP4067968A1 (en) 2021-03-29 2022-10-05 ASML Netherlands B.V. Methods and apparatuses for spatially filtering optical pulses
EP4047400A1 (en) 2021-02-17 2022-08-24 ASML Netherlands B.V. Assembly for separating radiation in the far field
WO2022174991A1 (en) 2021-02-17 2022-08-25 Asml Netherlands B.V. Assembly for separating radiation in the far field
US11526086B2 (en) * 2021-03-08 2022-12-13 Kla Corporation Multi-field scanning overlay metrology
EP4057069A1 (en) 2021-03-11 2022-09-14 ASML Netherlands B.V. Methods and apparatus for characterizing a semiconductor manufacturing process
IL305428A (en) 2021-03-16 2023-10-01 Asml Netherlands Bv A radiation source based on hollow-core optical fibers
EP4060403A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Hollow-core photonic crystal fiber based multiple wavelength light source device
EP4086698A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
EP4060408A1 (en) 2021-03-16 2022-09-21 ASML Netherlands B.V. Method and system for predicting process information with a parameterized model
US20240160151A1 (en) 2021-03-22 2024-05-16 Asml Netherlands B.V. Digital holographic microscope and associated metrology method
EP4063971A1 (en) 2021-03-22 2022-09-28 ASML Netherlands B.V. Digital holographic microscope and associated metrology method
EP4071553A1 (en) 2021-04-07 2022-10-12 ASML Netherlands B.V. Method of determining at least a target layout and associated metrology apparatus
EP4080284A1 (en) 2021-04-19 2022-10-26 ASML Netherlands B.V. Metrology tool calibration method and associated metrology tool
IL306078A (en) 2021-04-19 2023-11-01 Asml Netherlands Bv Method of calibrating a metrology tool and a combined metrology tool
EP4170421A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. A cleaning method and associated illumination source metrology apparatus
KR20230171945A (ko) 2021-04-26 2023-12-21 에이에스엠엘 네델란즈 비.브이. 세정 방법 및 연관된 조명 소스 메트롤로지 장치
JP2024519279A (ja) 2021-05-03 2024-05-10 エーエスエムエル ネザーランズ ビー.ブイ. 広帯域放射を発生させるための光学素子
EP4105696A1 (en) 2021-06-15 2022-12-21 ASML Netherlands B.V. Optical element for generation of broadband radiation
CN117280281A (zh) 2021-05-04 2023-12-22 Asml荷兰有限公司 量测装置和光刻装置
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
EP4089484A1 (en) 2021-05-12 2022-11-16 ASML Netherlands B.V. System and method to ensure parameter measurement matching across metrology tools
KR20240016285A (ko) 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 계측 측정 방법 및 장치
EP4187321A1 (en) 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
WO2022253501A1 (en) 2021-05-31 2022-12-08 Asml Netherlands B.V. Metrology method and associated metrology tool
EP4134734A1 (en) 2021-08-11 2023-02-15 ASML Netherlands B.V. An illumination source and associated method apparatus
KR20240007276A (ko) 2021-06-14 2024-01-16 에이에스엠엘 네델란즈 비.브이. 조명 소스 및 연관된 방법, 장치
WO2022263231A1 (en) 2021-06-18 2022-12-22 Asml Netherlands B.V. Metrology method and device
EP4124909A1 (en) 2021-07-28 2023-02-01 ASML Netherlands B.V. Metrology method and device
EP4112572A1 (en) 2021-06-28 2023-01-04 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4113210A1 (en) 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
KR20240035467A (ko) 2021-07-16 2024-03-15 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치
EP4374226A1 (en) 2021-07-20 2024-05-29 ASML Netherlands B.V. Methods and computer programs for data mapping for low dimensional data analysis
EP4130880A1 (en) 2021-08-03 2023-02-08 ASML Netherlands B.V. Methods of data mapping for low dimensional data analysis
IL310095A (en) 2021-07-23 2024-03-01 Asml Netherlands Bv Metrology method and metrology device
EP4124911A1 (en) 2021-07-29 2023-02-01 ASML Netherlands B.V. Metrology method and metrology device
CN117616319A (zh) 2021-08-02 2024-02-27 Asml荷兰有限公司 用于在量测系统中使用的光学元件
WO2023012338A1 (en) 2021-08-06 2023-02-09 Asml Netherlands B.V. Metrology target, patterning device and metrology method
WO2023020856A1 (en) 2021-08-18 2023-02-23 Universiteit Van Amsterdam Metrology method and apparatus
KR20240046486A (ko) 2021-08-25 2024-04-09 에이에스엠엘 네델란즈 비.브이. 광결정 또는 고도로 비선형인 섬유 내에서의 개선된 광대역 방사선 생성
EP4163715A1 (en) 2021-10-05 2023-04-12 ASML Netherlands B.V. Improved broadband radiation generation in photonic crystal or highly non-linear fibres
WO2023025506A1 (en) 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
EP4194952A1 (en) 2021-12-13 2023-06-14 ASML Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
KR20240056509A (ko) 2021-09-07 2024-04-30 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정을 모니터링하는 방법 및 관련된 장치
EP4191337A1 (en) 2021-12-01 2023-06-07 ASML Netherlands B.V. A method of monitoring a lithographic process and associated apparatuses
KR20240063113A (ko) 2021-09-08 2024-05-10 에이에스엠엘 네델란즈 비.브이. 계측 방법 그리고 관련된 계측 및 리소그래피 장치
IL310977A (en) 2021-09-14 2024-04-01 Asml Netherlands Bv Metrology method and instrument
EP4184426A1 (en) 2021-11-22 2023-05-24 ASML Netherlands B.V. Metrology method and device
CN117980829A (zh) 2021-09-22 2024-05-03 Asml荷兰有限公司 源选择模块以及相关联的量测和光刻设备
EP4155821A1 (en) 2021-09-27 2023-03-29 ASML Netherlands B.V. Method for focus metrology and associated apparatuses
EP4155822A1 (en) 2021-09-28 2023-03-29 ASML Netherlands B.V. Metrology method and system and lithographic system
EP4160314A1 (en) 2021-10-04 2023-04-05 ASML Netherlands B.V. Method for measuring at least one target on a substrate
EP4163687A1 (en) 2021-10-06 2023-04-12 ASML Netherlands B.V. Fiber alignment monitoring tool and associated fiber alignment method
EP4167031A1 (en) 2021-10-18 2023-04-19 ASML Netherlands B.V. Method of determining a measurement recipe in a metrology method
EP4170429A1 (en) 2021-10-19 2023-04-26 ASML Netherlands B.V. Out-of-band leakage correction method and metrology apparatus
EP4170430A1 (en) 2021-10-25 2023-04-26 ASML Netherlands B.V. Metrology apparatus and metrology methods based on high harmonic generation from a diffractive structure
WO2023072880A1 (en) * 2021-10-29 2023-05-04 Asml Netherlands B.V. Inspection apparatus, polarization-maintaining rotatable beam displacer, and method
EP4174568A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174577A1 (en) 2021-11-01 2023-05-03 ASML Netherlands B.V. Method of determining a performance parameter distribution
WO2023078619A1 (en) 2021-11-02 2023-05-11 Asml Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
EP4174567A1 (en) 2021-11-02 2023-05-03 ASML Netherlands B.V. Hollow-core photonic crystal fiber based broadband radiation generator
FR3128779B1 (fr) 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
EP4181018A1 (en) 2021-11-12 2023-05-17 ASML Netherlands B.V. Latent space synchronization of machine learning models for in-device metrology inference
CN114111625B (zh) * 2021-11-15 2024-06-04 郑州升达经贸管理学院 一种光学成像系统及方法
EP4184250A1 (en) 2021-11-23 2023-05-24 ASML Netherlands B.V. Obtaining a parameter characterizing a fabrication process
EP4191338A1 (en) 2021-12-03 2023-06-07 ASML Netherlands B.V. Metrology calibration method
WO2023104504A1 (en) 2021-12-09 2023-06-15 Asml Netherlands B.V. Surrounding pattern and process aware metrology
WO2023110318A1 (en) 2021-12-17 2023-06-22 Asml Netherlands B.V. Machine learning model for asymmetry-induced overlay error correction
WO2023117263A1 (en) 2021-12-20 2023-06-29 Asml Netherlands B.V. Method and apparatus for lithographic imaging
WO2023117610A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. Generating an alignment signal without dedicated alignment structures
WO2023117611A1 (en) 2021-12-23 2023-06-29 Asml Netherlands B.V. Systems and methods for generating multiple illumination spots from a single illumination source
WO2023126300A1 (en) 2021-12-28 2023-07-06 Asml Netherlands B.V. Element of an afm tool
EP4261616A1 (en) 2022-04-13 2023-10-18 ASML Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131476A1 (en) 2022-01-07 2023-07-13 Asml Netherlands B.V. Method and computer program for grouping pattern features of a substantially irregular pattern layout
WO2023131589A1 (en) 2022-01-10 2023-07-13 Asml Netherlands B.V. Mechanically controlled stress-engineered optical systems and methods
WO2023138916A1 (en) 2022-01-21 2023-07-27 Asml Netherlands B.V. Systems and methods for inspecting a portion of a lithography apparatus
WO2023138892A1 (en) 2022-01-24 2023-07-27 Asml Netherlands B.V. Method and apparatus for illumination adjustment
WO2023151973A1 (en) 2022-02-10 2023-08-17 Asml Netherlands B.V. Systems and methods for generating sem-quality metrology data from optical metrology data using machine learning
EP4231090A1 (en) 2022-02-17 2023-08-23 ASML Netherlands B.V. A supercontinuum radiation source and associated metrology devices
WO2023160924A1 (en) 2022-02-22 2023-08-31 Asml Netherlands B.V. Method and apparatus for reflecting pulsed radiation
WO2023160925A1 (en) 2022-02-25 2023-08-31 Asml Netherlands B.V. Systems and methods for cleaning a portion of a lithography apparatus
EP4250010A1 (en) 2022-03-25 2023-09-27 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
WO2023165783A1 (en) 2022-03-01 2023-09-07 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4242744A1 (en) 2022-03-09 2023-09-13 ASML Netherlands B.V. Method for correcting measurements in the manufacture of integrated circuits and associated apparatuses
WO2023174648A1 (en) 2022-03-18 2023-09-21 Stichting Vu Illumination arrangement for a metrology device and associated method
EP4246232A1 (en) 2022-03-18 2023-09-20 Stichting VU Illumination arrangement for a metrology device and associated method
EP4246231A1 (en) 2022-03-18 2023-09-20 Stichting VU A method for determining a vertical position of a structure on a substrate and associated apparatuses
EP4254068A1 (en) 2022-03-28 2023-10-04 ASML Netherlands B.V. Method for determining a spatial distribution of a parameter of interest over at least one substrate or portion thereof
EP4254266A1 (en) 2022-03-29 2023-10-04 ASML Netherlands B.V. Methods related to an autoencoder model or similar for manufacturing process parameter estimation
WO2023196072A1 (en) * 2022-04-04 2023-10-12 Applied Materials, Inc. Methods for high-resolution, stable measurement of pitch and orientation in optical gratings
WO2023194036A1 (en) 2022-04-05 2023-10-12 Asml Netherlands B.V. Imaging method and metrology device
EP4296780A1 (en) 2022-06-24 2023-12-27 ASML Netherlands B.V. Imaging method and metrology device
EP4273622A1 (en) 2022-05-02 2023-11-08 ASML Netherlands B.V. Hollow-core optical fiber based radiation source
WO2023194049A1 (en) 2022-04-08 2023-10-12 Asml Netherlands B.V. Hollow-core optical fiber based radiation source
EP4261618A1 (en) 2022-04-14 2023-10-18 ASML Netherlands B.V. A method of determining a correction for control of a lithography and/or metrology process, and associated devices
WO2023208487A1 (en) 2022-04-25 2023-11-02 Asml Netherlands B.V. Source selection module and associated metrology apparatus
EP4279993A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Source selection module and associated metrology apparatus
WO2023213527A1 (en) 2022-05-03 2023-11-09 Asml Netherlands B.V. Illumination mode selector and associated optical metrology tool
EP4276537A1 (en) 2022-05-09 2023-11-15 ASML Netherlands B.V. Illumination mode selector and associated optical metrology tool
EP4279992A1 (en) 2022-05-18 2023-11-22 ASML Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
WO2023222310A1 (en) 2022-05-16 2023-11-23 Asml Netherlands B.V. Method of optimizing maintenance of a lithographic apparatus
WO2023222342A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Measurement of fabrication parameters based on moiré interference pattern components
WO2023222328A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Illumination module and associated methods and metrology apparatus
EP4279994A1 (en) 2022-05-20 2023-11-22 ASML Netherlands B.V. Illumination module and associated methods and metrology apparatus
WO2023222349A1 (en) 2022-05-20 2023-11-23 Asml Netherlands B.V. Single pad overlay measurement
EP4303655A1 (en) 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232408A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
WO2023232360A1 (en) 2022-05-31 2023-12-07 Asml Netherlands B.V. Method for determining a failure event on a lithography system and associated failure detection module
WO2023232478A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
EP4296779A1 (en) 2022-06-21 2023-12-27 ASML Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4328670A1 (en) 2022-08-23 2024-02-28 ASML Netherlands B.V. Method for parameter reconstruction of a metrology device and associated metrology device
WO2023232397A1 (en) 2022-06-02 2023-12-07 Asml Netherlands B.V. Method for aligning an illumination-detection system of a metrology device and associated metrology device
EP4289798A1 (en) 2022-06-07 2023-12-13 ASML Netherlands B.V. Method of producing photonic crystal fibers
EP4300193A1 (en) 2022-06-27 2024-01-03 ASML Netherlands B.V. Focus measurment and control in metrology and associated wedge arrangement
EP4300183A1 (en) 2022-06-30 2024-01-03 ASML Netherlands B.V. Apparatus for broadband radiation generation
EP4303658A1 (en) 2022-07-05 2024-01-10 ASML Netherlands B.V. Method of correction metrology signal data
WO2024012772A1 (en) 2022-07-14 2024-01-18 Asml Netherlands B.V. Metrology target and associated metrology method
EP4312079A1 (en) 2022-07-29 2024-01-31 ASML Netherlands B.V. Methods of mitigating crosstalk in metrology images
EP4312005A1 (en) 2022-07-29 2024-01-31 Stichting VU Method and apparatuses for fourier transform spectrometry
EP4318131A1 (en) 2022-08-01 2024-02-07 ASML Netherlands B.V. Sensor module, illuminator, metrology device and associated metrology method
WO2024033036A1 (en) 2022-08-08 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024033005A1 (en) 2022-08-09 2024-02-15 Asml Netherlands B.V. Inference model training
EP4321933A1 (en) 2022-08-09 2024-02-14 ASML Netherlands B.V. A radiation source
EP4361726A1 (en) 2022-10-24 2024-05-01 ASML Netherlands B.V. Inference model training
WO2024033035A1 (en) 2022-08-10 2024-02-15 Asml Netherlands B.V. Metrology method and associated metrology device
EP4332678A1 (en) 2022-09-05 2024-03-06 ASML Netherlands B.V. Holographic metrology apparatus and method
WO2024052057A1 (en) 2022-09-06 2024-03-14 Asml Netherlands B.V. Method for monitoring proper functioning of one or more components of a lithography system
EP4336262A1 (en) 2022-09-07 2024-03-13 ASML Netherlands B.V. Metrology method and associated metrology device
WO2024052012A1 (en) 2022-09-07 2024-03-14 Asml Netherlands B.V. Metrology method and associated metrology device
EP4336251A1 (en) 2022-09-12 2024-03-13 ASML Netherlands B.V. A multi-pass radiation device
WO2024056296A1 (en) 2022-09-13 2024-03-21 Asml Netherlands B.V. Metrology method and associated metrology device
WO2024078813A1 (en) 2022-10-11 2024-04-18 Asml Netherlands B.V. An aberration correction optical system
EP4354200A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. An aberration correction optical system
EP4354224A1 (en) 2022-10-11 2024-04-17 ASML Netherlands B.V. Method for operating a detection system of a metrology device and associated metrology device
WO2024083559A1 (en) 2022-10-17 2024-04-25 Asml Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4357853A1 (en) 2022-10-17 2024-04-24 ASML Netherlands B.V. Apparatus and methods for filtering measurement radiation
EP4361703A1 (en) 2022-10-27 2024-05-01 ASML Netherlands B.V. An illumination module for a metrology device
WO2024099740A1 (en) 2022-11-07 2024-05-16 Asml Netherlands B.V. Method and apparatus for inspection focus measurement
EP4372462A1 (en) 2022-11-16 2024-05-22 ASML Netherlands B.V. A broadband radiation source
EP4371949A1 (en) 2022-11-17 2024-05-22 ASML Netherlands B.V. A fiber manufacturing intermediate product and method of producing photonic crystal fibers
EP4371951A1 (en) 2022-11-17 2024-05-22 ASML Netherlands B.V. A method of producing photonic crystal fibers
EP4372463A1 (en) 2022-11-21 2024-05-22 ASML Netherlands B.V. Method and source modul for generating broadband radiation
EP4375744A1 (en) 2022-11-24 2024-05-29 ASML Netherlands B.V. Photonic integrated circuit for generating broadband radiation
WO2024115048A1 (en) 2022-12-02 2024-06-06 Asml Netherlands B.V. Method for labeling time series data relating to one or more machines
WO2024120709A1 (en) 2022-12-07 2024-06-13 Asml Netherlands B.V. Supercontinuum radiation source

Family Cites Families (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US192577A (en) * 1877-07-03 Improvement in wringer-rolls
US2155431A (en) 1935-12-31 1939-04-25 Hoe & Co R Web roll control mechanism for printing machines
US3048699A (en) * 1958-05-15 1962-08-07 Texaco Inc Method and apparatus for measuring absorption spectra
US3909602A (en) * 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4236823A (en) * 1978-09-18 1980-12-02 Rca Corporation Diffractometer for measuring signal depth and width
JPS58108745A (ja) * 1981-12-23 1983-06-28 Canon Inc 転写装置
US4672196A (en) * 1984-02-02 1987-06-09 Canino Lawrence S Method and apparatus for measuring properties of thin materials using polarized light
JPH01303721A (ja) * 1988-05-31 1989-12-07 Canon Inc 面傾き検出装置
US5218415A (en) * 1988-05-31 1993-06-08 Canon Kabushiki Kaisha Device for optically detecting inclination of a surface
US5042951A (en) * 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
JPH06103252B2 (ja) 1989-05-04 1994-12-14 サーマ―ウェイブ・インク 高分解能エリプソメータ装置と方法
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
GB9014263D0 (en) * 1990-06-27 1990-08-15 Dixon Arthur E Apparatus and method for spatially- and spectrally- resolvedmeasurements
US5106196A (en) * 1990-08-21 1992-04-21 Brierley Philip R Single adjustment specular reflection accessory for spectroscopy
IL100655A (en) * 1991-02-08 1994-11-28 Hughes Aircraft Co Profile gauge for interferometric laser
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US6078380A (en) 1991-10-08 2000-06-20 Nikon Corporation Projection exposure apparatus and method involving variation and correction of light intensity distributions, detection and control of imaging characteristics, and control of exposure
JPH05259031A (ja) * 1992-03-12 1993-10-08 Nikon Corp 傾き検出装置
US5747813A (en) * 1992-06-16 1998-05-05 Kla-Tencop. Corporation Broadband microspectro-reflectometer
US5461237A (en) * 1993-03-26 1995-10-24 Nikon Corporation Surface-position setting apparatus
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
EP0787286A1 (en) * 1994-10-21 1997-08-06 Therma-Wave Inc. Spectroscopic ellipsometer
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5541731A (en) * 1995-04-28 1996-07-30 International Business Machines Corporation Interferometric measurement and alignment technique for laser scanners
US5713364A (en) * 1995-08-01 1998-02-03 Medispectra, Inc. Spectral volume microprobe analysis of materials
US5703692A (en) * 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5880838A (en) * 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US5877859A (en) * 1996-07-24 1999-03-02 Therma-Wave, Inc. Broadband spectroscopic rotating compensator ellipsometer
US5771094A (en) * 1997-01-29 1998-06-23 Kla-Tencor Corporation Film measurement system with improved calibration
JP3713354B2 (ja) 1997-03-21 2005-11-09 株式会社トプコン 位置測定装置
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US6122051A (en) * 1998-06-04 2000-09-19 Raytheon Company Multi-slit spectrometer
DE19829278C1 (de) * 1998-06-30 2000-02-03 Sirona Dental Systems Gmbh 3-D-Kamera zur Erfassung von Oberflächenstrukturen, insbesondere für zahnmedizinische Zwecke
JP4722244B2 (ja) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
GB9818351D0 (en) 1998-08-22 1998-10-14 Malvern Instr Ltd Improvements relating to the measurement of particle size distribution
DE19859725C2 (de) * 1998-12-23 2001-02-22 Stefan Dengler Vorrichtung zur Ermittlung von Verformungen an einer Objektoberfläche, insbesondere einer diffus streuenden Objektoberfläche und Verwendung der Vorichtung
AU5159600A (en) * 1999-05-28 2000-12-18 Hi-Tech Environmental Products, Llc. Synthetic thermoplastic compositions and articles made therefrom
KR100301067B1 (ko) * 1999-08-23 2001-11-01 윤종용 마이크로 스크래치 검사방법 및 이를 적용한 장치
US6453006B1 (en) * 2000-03-16 2002-09-17 Therma-Wave, Inc. Calibration and alignment of X-ray reflectometric systems
US6429943B1 (en) * 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6532076B1 (en) * 2000-04-04 2003-03-11 Therma-Wave, Inc. Method and apparatus for multidomain data analysis
JP2003532306A (ja) * 2000-05-04 2003-10-28 ケーエルエー・テンコール・テクノロジーズ・コーポレーション リソグラフィ・プロセス制御のための方法およびシステム
JP2004536440A (ja) * 2000-08-11 2004-12-02 サーマ−ウェーブ・インコーポレイテッド 半導体ウェハ処理ツールへ組込まれた光学臨界寸法計測学システム
US6710876B1 (en) 2000-08-14 2004-03-23 Kla-Tencor Technologies Corporation Metrology system using optical phase
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6753961B1 (en) * 2000-09-18 2004-06-22 Therma-Wave, Inc. Spectroscopic ellipsometer without rotating components
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7115858B1 (en) 2000-09-25 2006-10-03 Nanometrics Incorporated Apparatus and method for the measurement of diffracting structures
US7099005B1 (en) * 2000-09-27 2006-08-29 Kla-Tencor Technologies Corporation System for scatterometric measurements and applications
US6750968B2 (en) * 2000-10-03 2004-06-15 Accent Optical Technologies, Inc. Differential numerical aperture methods and device
US6768983B1 (en) * 2000-11-28 2004-07-27 Timbre Technologies, Inc. System and method for real-time library generation of grating profiles
US6515744B2 (en) * 2001-02-08 2003-02-04 Therma-Wave, Inc. Small spot ellipsometer
WO2002065545A2 (en) 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6699624B2 (en) * 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
EP1370828B1 (en) * 2001-03-02 2016-11-23 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7089075B2 (en) 2001-05-04 2006-08-08 Tokyo Electron Limited Systems and methods for metrology recipe and model generation
JP2002334826A (ja) 2001-05-09 2002-11-22 Canon Inc 露光方法、面位置合わせ方法、露光装置及びデバイス製造方法
US6651825B2 (en) * 2001-06-06 2003-11-25 Fresh Creek Technologies, Inc. Disposable net assemblies for apparatus for collecting floating debris
US20020192577A1 (en) 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6704661B1 (en) * 2001-07-16 2004-03-09 Therma-Wave, Inc. Real time analysis of periodic structures on semiconductors
AU2002337666A1 (en) * 2001-08-03 2003-02-17 Joseph A. Izatt Aspects of basic oct engine technologies for high speed optical coherence tomography and light source and other improvements in oct
US6785638B2 (en) * 2001-08-06 2004-08-31 Timbre Technologies, Inc. Method and system of dynamic learning through a regression-based library generation process
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
DE10146945A1 (de) * 2001-09-24 2003-04-10 Zeiss Carl Jena Gmbh Meßanordnung und Meßverfahren
KR20050035153A (ko) * 2001-10-10 2005-04-15 액센트 옵티칼 테크놀로지스 인코포레이티드 단면 분석법에 의한 초점 중심의 결정
US20030081216A1 (en) * 2001-11-01 2003-05-01 Martin Ebert Graphical user interface for sample positioning
US6608690B2 (en) * 2001-12-04 2003-08-19 Timbre Technologies, Inc. Optical profilometry of additional-material deviations in a periodic grating
US7365858B2 (en) * 2001-12-18 2008-04-29 Massachusetts Institute Of Technology Systems and methods for phase measurements
JP4938219B2 (ja) * 2001-12-19 2012-05-23 ケーエルエー−テンカー コーポレイション 光学分光システムを使用するパラメトリック・プロフィーリング
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US7050162B2 (en) 2002-01-16 2006-05-23 Therma-Wave, Inc. Optical metrology tool having improved contrast
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US6772084B2 (en) * 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US6813034B2 (en) 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7136796B2 (en) * 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148484A (en) 2002-03-04 2008-11-26 Nova Measuring Instr Ltd Optical measurements of patterned structures
US7061627B2 (en) * 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6721691B2 (en) * 2002-03-26 2004-04-13 Timbre Technologies, Inc. Metrology hardware specification using a hardware simulator
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US6804005B2 (en) * 2002-05-02 2004-10-12 Timbre Technologies, Inc. Overlay measurements using zero-order cross polarization measurements
IL149557A (en) 2002-05-09 2008-11-03 Nova Measuring Instr Ltd Optical system operating with variable angle of incidence
US6985229B2 (en) 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7216045B2 (en) 2002-06-03 2007-05-08 Timbre Technologies, Inc. Selection of wavelengths for integrated circuit optical metrology
US6928628B2 (en) * 2002-06-05 2005-08-09 Kla-Tencor Technologies Corporation Use of overlay diagnostics for enhanced automatic process control
DE60319462T2 (de) * 2002-06-11 2009-03-12 Asml Netherlands B.V. Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
US6775015B2 (en) * 2002-06-18 2004-08-10 Timbre Technologies, Inc. Optical metrology of single features
CA2390072C (en) * 2002-06-28 2018-02-27 Adrian Gh Podoleanu Optical mapping apparatus with adjustable depth resolution and multiple functionality
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US6767844B2 (en) * 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
US7399643B2 (en) 2002-09-12 2008-07-15 Cyvera Corporation Method and apparatus for aligning microbeads in order to interrogate the same
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
US7869057B2 (en) * 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
EP1400855A3 (en) 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
CN100337089C (zh) * 2002-09-20 2007-09-12 Asml荷兰有限公司 器件检验
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7427521B2 (en) * 2002-10-17 2008-09-23 Timbre Technologies, Inc. Generating simulated diffraction signals for two-dimensional structures
US20040133362A1 (en) * 2002-10-21 2004-07-08 Emit Systems Co. Method for significantly increasing computational speed, accuracy and versatility of electromagnetic reconstruction of shapes and composition of complex targets containing lossy materials
DE60314484T2 (de) 2002-11-01 2008-02-21 Asml Netherlands B.V. Untersuchungsverfahren und Verfahren zur Herstellung einer Vorrichtung
US7369233B2 (en) * 2002-11-26 2008-05-06 Kla-Tencor Technologies Corporation Optical system for measuring samples using short wavelength radiation
WO2004053426A1 (en) 2002-12-05 2004-06-24 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7440105B2 (en) 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7136143B2 (en) * 2002-12-13 2006-11-14 Smith Bruce W Method for aberration detection and measurement
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6791679B2 (en) * 2003-02-04 2004-09-14 Timbre Technologies, Inc. Adaptive correlation of pattern resist structures using optical metrology
US7630873B2 (en) * 2003-02-26 2009-12-08 Tokyo Electron Limited Approximating eigensolutions for use in determining the profile of a structure formed on a semiconductor wafer
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US20040181768A1 (en) * 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps
US6952261B2 (en) 2003-03-31 2005-10-04 Therma-Wave, Inc. System for performing ellipsometry using an auxiliary pump beam to reduce effective measurement spot size
US7233390B2 (en) 2003-03-31 2007-06-19 Therma-Wave, Inc. Scatterometry for samples with non-uniform edges
EP1630857A4 (en) 2003-05-28 2008-04-16 Nikon Corp METHOD AND DEVICE FOR MEASURING POSITION INFORMATION, AND METHOD AND SYSTEM FOR EXPOSURE
US7274472B2 (en) * 2003-05-28 2007-09-25 Timbre Technologies, Inc. Resolution enhanced optical metrology
US7068363B2 (en) * 2003-06-06 2006-06-27 Kla-Tencor Technologies Corp. Systems for inspection of patterned or unpatterned wafers and other specimen
US7230703B2 (en) * 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
JP2007505322A (ja) 2003-09-12 2007-03-08 アクセント・オプティカル・テクノロジーズ・インコーポレイテッド 線プロファイル非対称性測定
US7089164B2 (en) * 2003-09-19 2006-08-08 Model Predictive Systems, Inc. Control of overlay registration
US7265850B2 (en) 2003-10-23 2007-09-04 International Business Machines Corporation Fortified, compensated and uncompensated process-sensitive scatterometry targets
JP4074867B2 (ja) * 2003-11-04 2008-04-16 エーエスエムエル ネザーランズ ビー.ブイ. 第1及び第2位置合せマークの相対位置を計測する方法及び装置
US7198873B2 (en) * 2003-11-18 2007-04-03 Asml Netherlands B.V. Lithographic processing optimization based on hypersampled correlations
DE60333688D1 (de) 2003-12-19 2010-09-16 Ibm Differentielle metrologie für kritische abmessung und überlagerung
JP4734261B2 (ja) 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7215431B2 (en) * 2004-03-04 2007-05-08 Therma-Wave, Inc. Systems and methods for immersion metrology
US7388677B2 (en) * 2004-03-22 2008-06-17 Timbre Technologies, Inc. Optical metrology optimization for repetitive structures
US7224456B1 (en) * 2004-06-02 2007-05-29 Advanced Micro Devices, Inc. In-situ defect monitor and control system for immersion medium in immersion lithography
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060109463A1 (en) * 2004-11-22 2006-05-25 Asml Netherlands B.V. Latent overlay metrology
US20060117293A1 (en) 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7453577B2 (en) 2004-12-14 2008-11-18 Asml Netherlands B.V. Apparatus and method for inspecting a patterned part of a sample
TWI269870B (en) 2004-12-30 2007-01-01 Ind Tech Res Inst Method for deciding structure parameters of a grating
US20060285110A1 (en) * 2005-02-25 2006-12-21 Accent Optical Technologies, Inc. Apparatus and method for enhanced critical dimension scatterometry
US7277172B2 (en) 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7573584B2 (en) * 2006-09-25 2009-08-11 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI426018B (zh) * 2009-09-29 2014-02-11 Asml Netherlands Bv 壓印微影
TWI564539B (zh) * 2011-06-27 2017-01-01 克萊譚克公司 光學系統、用於其中之照射控制之方法及非暫時性電腦可讀媒體

Also Published As

Publication number Publication date
JP2006060214A (ja) 2006-03-02
SG120263A1 (en) 2006-03-28
KR100697277B1 (ko) 2007-03-20
US20110007314A1 (en) 2011-01-13
US20120038929A1 (en) 2012-02-16
EP1628164B1 (en) 2010-10-06
US8760662B2 (en) 2014-06-24
SG139763A1 (en) 2008-02-29
JP4898869B2 (ja) 2012-03-21
US10955353B2 (en) 2021-03-23
KR20060050488A (ko) 2006-05-19
SG173420A1 (en) 2011-08-29
US20210208083A1 (en) 2021-07-08
US20060066855A1 (en) 2006-03-30
DE602005023946D1 (de) 2010-11-18
US20060033921A1 (en) 2006-02-16
EP1628164A2 (en) 2006-02-22
US8553230B2 (en) 2013-10-08
US7791732B2 (en) 2010-09-07
US7791727B2 (en) 2010-09-07
EP2239632B1 (en) 2021-05-05
EP1628164A3 (en) 2006-04-12
US20190170657A1 (en) 2019-06-06
US10241055B2 (en) 2019-03-26
US20140233025A1 (en) 2014-08-21
JP2009204621A (ja) 2009-09-10
US20140055788A1 (en) 2014-02-27
CN1916603B (zh) 2010-11-24
US8054467B2 (en) 2011-11-08
JP4357464B2 (ja) 2009-11-04
SG10201500569RA (en) 2015-03-30
CN1916603A (zh) 2007-02-21
TW200617372A (en) 2006-06-01
US11525786B2 (en) 2022-12-13
EP2239632A1 (en) 2010-10-13

Similar Documents

Publication Publication Date Title
TWI294518B (en) Scattermeter and method for measuring a property of a substrate
TWI461857B (zh) 用於角度解析分光鏡微影特性描述之方法及裝置
TWI360653B (en) Inspection method and apparatus, lithographic appa
TWI551956B (zh) 檢查方法和裝置,微影裝置,微影製程單元及器件製造方法
TWI360640B (en) A method of measurement, an inspection apparatus a
TW201931008A (zh) 用於判定基板上之結構之關注特徵的度量衡裝置與方法
JP2009200466A (ja) 検査方法及び装置、リソグラフィ装置、リソグラフィ処理セル、並びに、デバイス製造方法
TW200925794A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TW201107735A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US10698226B2 (en) Flexible illuminator
CN102265220B (zh) 确定特性的方法
JP2020535431A (ja) 検査装置のための光学配置