WO2021249711A1 - Metrology method, metrology apparatus and lithographic apparatus - Google Patents

Metrology method, metrology apparatus and lithographic apparatus Download PDF

Info

Publication number
WO2021249711A1
WO2021249711A1 PCT/EP2021/062487 EP2021062487W WO2021249711A1 WO 2021249711 A1 WO2021249711 A1 WO 2021249711A1 EP 2021062487 W EP2021062487 W EP 2021062487W WO 2021249711 A1 WO2021249711 A1 WO 2021249711A1
Authority
WO
WIPO (PCT)
Prior art keywords
target
parameter
distribution
measurement
distributions
Prior art date
Application number
PCT/EP2021/062487
Other languages
French (fr)
Inventor
Sebastianus Adrianus GOORDEN
Simon Reinald HUISMAN
Henricus Petrus Maria Pellemans
Filippo ALPEGGIANI
Ralph Brinkhof
Original Assignee
Asml Netherlands B.V.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands B.V. filed Critical Asml Netherlands B.V.
Publication of WO2021249711A1 publication Critical patent/WO2021249711A1/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Definitions

  • the present invention relates to methods and apparatus usable, for example, in the manufacture of devices by lithographic techniques, and to methods of manufacturing devices using lithographic techniques.
  • the invention relates more particularly to metrology sensors, such as position sensors.
  • a lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate.
  • a lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs).
  • a patterning device which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC.
  • This pattern can be transferred onto a target portion (e.g. including part of a die, one die, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate.
  • a single substrate will contain a network of adjacent target portions that are successively patterned. These target portions are commonly referred to as “fields”.
  • the substrate is provided with one or more sets of alignment marks.
  • Each mark is a structure whose position can be measured at a later time using a position sensor, typically an optical position sensor.
  • the lithographic apparatus includes one or more alignment sensors by which positions of marks on a substrate can be measured accurately. Different types of marks and different types of alignment sensors are known from different manufacturers and different products of the same manufacturer.
  • metrology sensors are used for measuring exposed structures on a substrate (either in resist and/or after etch).
  • a fast and non-invasive form of specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered or reflected beam are measured.
  • known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US2010201963A1.
  • diffraction based overlay can be measured using such apparatus, as described in published patent application US2006066855A1. Diffraction-based overlay metrology using dark-field imaging of the diffraction orders enables overlay measurements on smaller targets.
  • WO2013178422A1 These targets can be smaller than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of ah these applications are also incorporated herein by reference.
  • Metrology targets or marks used for alignment typically comprise asymmetries due to processing effects and other issues which can undesirably impact the measured position, as can interaction between the non-ideal mark and a non-ideal sensor. It is desirable to improve accuracy of alignment methods on such imperfect targets.
  • the invention in a first aspect provides a method of determining a position value relating to at least one target, the method comprising: obtaining measurement data relating to measurement of at least one target; wherein the measurement data comprises at least two parameter distributions which each describe variation of a parameter value over at least part of said target, and where said at least two parameter distributions comprises at least one position distribution which describes variation of said position value over at least part of said target; and determining a weighting factor for at least one of said at least two parameter distributions and a corresponding weighted position distribution, wherein the weighting factor(s) minimizes a variation metric in the weighted position distribution, and said weighted position distribution comprises a combination of said at least two parameter distributions subject to said weighting factor(s).
  • Also disclosed is a computer program, processing device metrology apparatus and a lithographic apparatus comprising a metrology device being operable to perform the method of the first aspect.
  • Figure 1 depicts a lithographic apparatus
  • FIG 2 illustrates schematically measurement and exposure processes in the apparatus of Figure 1;
  • Figure 3 is a schematic illustration of an example metrology device adaptable according to an embodiment of the invention.
  • Figure 4 comprises (a) a pupil image of input radiation (b) pupil image of off-axis illumination beams illustrating an operational principle of the metrology device of Figure 3; and (c) pupil image of off-axis illumination beams illustrating another operational principle of the metrology device of Figure 3; and
  • Figure 5 shows (a) an example target usable in alignment, (b) a pupil image of the detection pupil corresponding to detection of a single order, (c) a pupil image of the detection pupil corresponding to detection of four diffraction orders, and (d) a schematic example of an imaged interference pattern following measurement of the target of Figure 4(a);
  • Figure 6 shows schematically during an alignment measurement, an imaged interference pattern corresponding to (a) a first substrate position and (b) a second substrate position;
  • Figure 7 conceptually illustrates (a) a weighting determination for position distributions according to an embodiment of the invention and (b) a weighting determination for a position distribution and a non-position parameter distribution according to an embodiment of the invention
  • Figure 8 is a flowchart of a method according to an embodiment of the invention.
  • FIG. 1 schematically depicts a lithographic apparatus FA.
  • the apparatus includes an illumination system (illuminator) IF configured to condition a radiation beam B (e.g., UV radiation or DUV radiation), a patterning device support or support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; two substrate tables (e.g., a wafer table) WTa and WTb each constructed to hold a substrate (e.g., a resist coated wafer) W and each connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., including one or more dies) of the substrate W.
  • the illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • optical components such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
  • the patterning device support MT holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment.
  • the patterning device support can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device.
  • the patterning device support MT may be a frame or a table, for example, which may be fixed or movable as required. The patterning device support may ensure that the patterning device is at a desired position, for example with respect to the projection system.
  • patterning device used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
  • the apparatus is of a transmissive type (e.g., employing a transmissive patterning device).
  • the apparatus may be of a reflective type (e.g., employing a programmable mirror array of a type as referred to above, or employing a reflective mask).
  • patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.”
  • the term “patterning device” can also be interpreted as referring to a device storing in digital form pattern information for use in controlling such a programmable patterning device.
  • projection system used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
  • the lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate.
  • a liquid having a relatively high refractive index e.g., water
  • An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems.
  • the illuminator IL receives a radiation beam from a radiation source SO.
  • the source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser.
  • the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD including, for example, suitable directing mirrors and/or a beam expander.
  • the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp.
  • the source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
  • the illuminator IL may for example include an adjuster AD for adjusting the angular intensity distribution of the radiation beam, an integrator IN and a condenser CO.
  • the illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
  • the radiation beam B is incident on the patterning device MA, which is held on the patterning device support MT, and is patterned by the patterning device. Having traversed the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W.
  • the substrate table WTa or WTb can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B.
  • first positioner PM and another position sensor can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B, e.g., after mechanical retrieval from a mask library, or during a scan.
  • Patterning device (e.g., mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2.
  • the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks).
  • the mask alignment marks may be located between the dies.
  • Small alignment marks may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features. The alignment system, which detects the alignment markers is described further below.
  • the depicted apparatus could be used in a variety of modes.
  • the patterning device support (e.g., mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure).
  • the speed and direction of the substrate table WT relative to the patterning device support (e.g., mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS.
  • the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion.
  • Other types of lithographic apparatus and modes of operation are possible, as is well-known in the art. For example, a step mode is known. In so-called “maskless” lithography, a programmable patterning device is held stationary but with a changing pattern, and the substrate table WT is moved or scanned.
  • Lithographic apparatus LA is of a so-called dual stage type which has two substrate tables WTa, WTb and two stations - an exposure station EXP and a measurement station MEA - between which the substrate tables can be exchanged. While one substrate on one substrate table is being exposed at the exposure station, another substrate can be loaded onto the other substrate table at the measurement station and various preparatory steps carried out. This enables a substantial increase in the throughput of the apparatus.
  • the preparatory steps may include mapping the surface height contours of the substrate using a level sensor LS and measuring the position of alignment markers on the substrate using an alignment sensor AS.
  • a second position sensor may be provided to enable the positions of the substrate table to be tracked at both stations, relative to reference frame RF.
  • Other arrangements are known and usable instead of the dual-stage arrangement shown.
  • other lithographic apparatuses are known in which a substrate table and a measurement table are provided. These are docked together when performing preparatory measurements, and then undocked while the substrate table undergoes exposure.
  • Figure 2 illustrates the steps to expose target portions (e.g. dies) on a substrate W in the dual stage apparatus of Figure 1.
  • steps performed at a measurement station MEA On the left hand side within a dotted box are steps performed at a measurement station MEA, while the right hand side shows steps performed at the exposure station EXP.
  • one of the substrate tables WTa, WTb will be at the exposure station, while the other is at the measurement station, as described above.
  • a substrate W has already been loaded into the exposure station.
  • a new substrate W’ is loaded to the apparatus by a mechanism not shown. These two substrates are processed in parallel in order to increase the throughput of the lithographic apparatus.
  • the newly-loaded substrate W’ may be a previously unprocessed substrate, prepared with a new photo resist for first time exposure in the apparatus.
  • the lithography process described will be merely one step in a series of exposure and processing steps, so that substrate W’ has been through this apparatus and/or other lithography apparatuses, several times already, and may have subsequent processes to undergo as well.
  • the task is to ensure that new patterns are applied in exactly the correct position on a substrate that has already been subjected to one or more cycles of patterning and processing. These processing steps progressively introduce distortions in the substrate that must be measured and corrected for, to achieve satisfactory overlay performance.
  • the previous and/or subsequent patterning step may be performed in other lithography apparatuses, as just mentioned, and may even be performed in different types of lithography apparatus.
  • some layers in the device manufacturing process which are very demanding in parameters such as resolution and overlay may be performed in a more advanced lithography tool than other layers that are less demanding. Therefore some layers may be exposed in an immersion type lithography tool, while others are exposed in a ‘dry’ tool. Some layers may be exposed in a tool working at DUV wavelengths, while others are exposed using EUV wavelength radiation.
  • alignment measurements using the substrate marks PI etc. and image sensors are used to measure and record alignment of the substrate relative to substrate table WTa/WTb.
  • alignment sensor AS several alignment marks across the substrate W’ will be measured using alignment sensor AS. These measurements are used in one embodiment to establish a “wafer grid”, which maps very accurately the distribution of marks across the substrate, including any distortion relative to a nominal rectangular grid.
  • a map of wafer height (Z) against X-Y position is measured also using the level sensor LS.
  • the height map is used only to achieve accurate focusing of the exposed pattern. It may be used for other purposes in addition.
  • recipe data 206 were received, defining the exposures to be performed, and also properties of the wafer and the patterns previously made and to be made upon it.
  • recipe data are added the measurements of wafer position, wafer grid and height map that were made at 202, 204, so that a complete set of recipe and measurement data 208 can be passed to the exposure station EXP.
  • the measurements of alignment data for example comprise X and Y positions of alignment targets formed in a fixed or nominally fixed relationship to the product patterns that are the product of the lithographic process. These alignment data, taken just before exposure, are used to generate an alignment model with parameters that fit the model to the data.
  • a conventional alignment model might comprise four, five or six parameters, together defining translation, rotation and scaling of the ‘ideal’ grid, in different dimensions. Advanced models are known that use more parameters.
  • wafers W’ and W are swapped, so that the measured substrate W’ becomes the substrate W entering the exposure station EXP.
  • this swapping is performed by exchanging the supports WTa and WTb within the apparatus, so that the substrates W, W’ remain accurately clamped and positioned on those supports, to preserve relative alignment between the substrate tables and substrates themselves. Accordingly, once the tables have been swapped, determining the relative position between projection system PS and substrate table WTb (formerly WTa) is all that is necessary to make use of the measurement information 202, 204 for the substrate W (formerly W’) in control of the exposure steps.
  • reticle alignment is performed using the mask alignment marks Ml, M2.
  • scanning motions and radiation pulses are applied at successive target locations across the substrate W, in order to complete the exposure of a number of patterns.
  • the metrology device is configured to produce a plurality of spatially incoherent beams of measurement illumination, each of said beams (or both beams of measurement pairs of said beams, each measurement pair corresponding to a measurement direction) having corresponding regions within their cross-section for which the phase relationship between the beams at these regions is known; i.e., there is mutual spatial coherence for the corresponding regions.
  • Such a metrology device is able to measure small pitch targets with acceptable (minimal) interference artifacts (speckle) and will also be operable in a dark-field mode.
  • a metrology device may be used as a position or alignment sensor for measuring substrate position (e.g., measuring the position of a periodic structure or alignment mark with respect to a fixed reference position).
  • the metrology device is also usable for measurement of overlay (e.g., measurement of relative position of periodic structures in different layers, or even the same layer in the case of stitching marks).
  • the metrology device is also able to measure asymmetry in periodic structures, and therefore could be used to measure any parameter which is based on a target asymmetry measurement (e.g., overlay using diffraction based overlay (DBO) techniques or focus using diffraction based focus (DBF) techniques).
  • a target asymmetry measurement e.g., overlay using diffraction based overlay (DBO) techniques or focus using diffraction based focus (DBF) techniques.
  • Figure 3 shows a possible implementation of such a metrology device.
  • the metrology device essentially operates as a standard microscope with a novel illumination mode.
  • the metrology device 300 comprises an optical module 305 comprising the main components of the device.
  • An illumination source 310 (which may be located outside the module 305 and optically coupled thereto by a multimode fiber 315) provides a spatially incoherent radiation beam 320 to the optical module 305.
  • Optical components 317 deliver the spatially incoherent radiation beam 320 to a coherent off-axis illumination generator 325. This component is of particular importance to the concepts herein and will be described in greater detail.
  • the coherent off-axis illumination generator 325 generates a plurality (e.g., four) off- axis beams 330 from the spatially incoherent radiation beam 320. The characteristics of these off-axis beams 330 will be described in detail further below.
  • the zeroth order of the illumination generator may be blocked by an illumination zero order block element 375.
  • the off-axis beams 330 are delivered (via optical components 335 and) a spot mirror 340 to an (e.g., high NA) objective lens 345.
  • the objective lens focusses the off-axis beams 330 onto a sample (e.g., periodic structure/alignment mark) located on a substrate 350, where they scatter and diffract.
  • the scattered higher diffraction orders 355+, 355- propagate back via the spot mirror 340, and are focused by optical component 360 onto a sensor or camera 365 where they interfere to form an interference pattern.
  • a processor 380 running suitable software can then process the image(s) of the interference pattern captured by camera 365.
  • the zeroth order diffracted (specularly reflected) radiation is blocked at a suitable location in the detection branch; e.g., by the spot mirror 340 and/or a separate detection zero-order block element. It should be noted that there is a zeroth order reflection for each of the off-axis illumination beams, i.e. in the current embodiment there are four of these zeroth order reflections in total.
  • An example aperture profile suitable for blocking the four zeroth order reflections is shown in Figures 4(b) and (c), labelled 422. As such, the metrology device operated as a “dark field” metrology device.
  • a main concept of the proposed metrology device is to induce spatial coherence in the measurement illumination only where required. More specifically, spatial coherence is induced between corresponding sets of pupil points in each of the off-axis beams 330. More specifically, a set of pupil points comprises a corresponding single pupil point in each of the off-axis beams, the set of pupil points being mutually spatially coherent, but where each pupil point is incoherent with respect to all other pupil points in the same beam.
  • Figure 4 shows three pupil images to illustrate the concept.
  • Figure 4(a) shows a first pupil image which relates to pupil plane PI in Figure 2
  • Figures 4(b) and 4(c) each show a second pupil image which relates to pupil plane P2 in Figure 2.
  • Figure 4(a) shows (in cross-section) the spatially incoherent radiation beam 320
  • Figures 4(b) and 4(c) show (in cross-section) the off-axis beams 330 generated by coherent off-axis illumination generator 325 in two different embodiments.
  • the extent of the outer circle 395 corresponds to the maximum detection NA of the microscope objective; this may be, purely by way of an example 0.95 NA.
  • the triangles 400 in each of the pupils indicate a set of pupil points that are spatially coherent with respect to each other.
  • the crosses 405 indicate another set of pupil points which are spatially coherent with respect to each other.
  • the triangles are spatially incoherent with respect to crosses and all other pupil points corresponding to beam propagation.
  • the general principle in the example shown in Figure 4(b) is that each set of pupil points which are mutually spatially coherent (each coherent set of points) have identical spacings within the illumination pupil P2 as all other coherent sets of points.
  • each coherent sets of points is a translation within the pupil of all other coherent sets of points.
  • each of the off-axis beams 330 comprises by itself incoherent radiation; however the off-axis beams 330 together comprise identical beams having corresponding sets of points within their cross- section that have a known phase relationship (spatial coherence).
  • the off-axis beams 330 do not have to be arranged symmetrically within the pupil.
  • Figure 4(c) shows that this basic concept can be extended to providing for a mutual spatial coherence between only the beams corresponding to a single measurement direction where beams 330X correspond to a first direction (X-direction) and beams 330Y correspond to a second direction (Y- direction).
  • the squares and plus signs each indicate a set of pupil points which correspond to, but are not necessarily spatially coherent with, the sets of pupil points represented by the triangles and crosses.
  • the crosses are mutually spatially coherent, as are the plus signs, and the crosses are a geometric translation in the pupil of the plus signs.
  • the off- axis beams are only pair-wise coherent.
  • the off-axis beams are considered separately by direction, e.g., X direction 330X and Y direction 330Y.
  • the pair of beams 330X which generate the captured X direction diffraction orders need only be coherent with one another (such that pair of points 400X are mutually coherent, as are pair of points 405X).
  • the pair of beams 330Y which generate the captured Y direction diffraction orders need only be coherent with one another (such that pair of points 400Y are mutually coherent, as are pair of points 405 Y).
  • Figure 5 illustrates the working principle of the metrology system, e.g., for alignment/Position sensing.
  • Figure 5(a) illustrates a target 410 which can be used as an alignment mark in some embodiments.
  • the target 410 may be similar to those used in micro diffraction based overlay techniques (pDBO), although typically comprised only in a single layer when forming an alignment mark.
  • pDBO micro diffraction based overlay techniques
  • the target 410 comprises four sub-targets, comprising two gratings (periodic structures) 415a in a first direction (X-direction) and two gratings 415b in a second, perpendicular, direction (Y-direction).
  • the pitch of the gratings may comprise an order of magnitude of lOOnm (more specifically within the range of 300-800nm), for example.
  • Figure 5(b) shows a pupil representation corresponding to (with reference to Figure 2) pupil plane P3.
  • the Figure shows the resulting radiation following scattering of only a single one of the off- axis illumination beams, more specifically (the left-most in this representation) off-axis illumination beam 420 (which will not be in this pupil, its location in pupil plane P2 corresponds to its location in the illumination pupil and is shown here only for illustration).
  • the shaded region 422 corresponds to the blocking (i.e., reflecting or absorbing) region of a specific spot mirror design (white represents the transmitting region) used in an embodiment.
  • a spot mirror design is purely an example of a pupil block which ensures that undesired light (e.g. zeroth orders and light surrounding the zeroth orders) are not detected.
  • Other spot mirror profiles (or zero order blocks generally) can be used.
  • the +1 X direction diffraction order 430, the -1 Y direction diffraction order 435 and the +1 Y direction diffraction order 440 fall outside of the pupil (detection NA represented by the extent of spot mirror 422) and are not captured. Any higher orders (not illustrated) also fall outside the detection NA.
  • the zeroth order 445 is shown for illustration, but will actually be blocked by the spot mirror or zero order block 422.
  • Figure 5(c) shows the resultant pupil (captured orders only) resultant from all four off-axis beams 420 (again shown purely for illustration).
  • the captured orders include the -1 X direction diffraction order 425, a +1 X direction diffraction order 430’, a -1 Y direction diffraction order 435’ and a +1 Y direction diffraction order 440’.
  • These diffraction orders are imaged on the camera where they interfere forming a fringe pattern 450, such as shown in Figure 5(d).
  • the fringe pattern is diagonal as the diffracted orders are diagonally arranged in the pupil, although other arrangements are possible with a resulting different fringe pattern orientation.
  • a shift in the target grating position causes a phase shift between the +1 and -1 diffracted orders per direction. Since the diffraction orders interfere on the camera, a phase shift between the diffracted orders results in a corresponding shift of the interference fringes on the camera. Therefore, it is possible to determine the alignment position from the position of the interference fringes on the camera.
  • Figure 6 illustrates how the alignment position can be determined from the interference fringes.
  • Figure 6(a) shows one set of interference fringes 500 (i.e., corresponding to one quadrant of the fringe pattern 450), when the target is at a first position and
  • Figure 6(b) the set of interference fringes 500’ when the target is at a second position.
  • a fixed reference line 510 i.e., in the same position for both images is shown to highlight the movement of the fringe pattern between the two positions.
  • Alignment can be determined by comparing a position determined from the pattern to a position obtained from measurement of a fixed reference (e.g., transmission image sensor (TIS) fiducial) in a known manner.
  • TIS transmission image sensor
  • a single fringe pattern (e.g., from a single grating alignment mark), or single pattern per direction (e.g., from a two grating alignment mark), can be used for alignment.
  • Another option for performing alignment in two directions may use an alignment mark having a single 2D periodic pattern.
  • non periodic patterns could be measured with the metrology device described herein.
  • Another alignment mark option may comprise a four grating target design, such as illustrated in Figure 5(a), which is similar to that commonly used for measuring overlay, at present. As such, targets such as these are typically already present on wafers, and therefore similar sampling could be used for alignment and overlay. Such alignment methods are known and will not be described further.
  • WO 2020/057900 further describes the possibility to measure multiple wavelengths (and possibly higher diffraction orders) in order to be more process robust (facilitate measurement diversity). It was proposed that this would enable, for example, use of techniques such as optimal color weighing (OCW), to become robust to grating asymmetry.
  • OCW optimal color weighing
  • target asymmetry typically results in a different aligned position per wavelength. Thereby, by measuring this difference in aligned position for different wavelengths, it is possible to determine asymmetry in the target.
  • measurements corresponding to multiple wavelengths could be imaged sequentially on the same camera, to obtain a sequence of individual images, each corresponding to a different wavelength.
  • each of these wavelengths could be imaged in parallel on separate cameras (or separate regions of the same camera), with the wavelengths being separated using suitable optical components such as dichroic mirrors.
  • illumination beams corresponding to different wavelengths are at the same location in the pupil, the corresponding fringes on the camera image will have different orientations for the different wavelengths. This will tend to be the case for most off-axis illumination generator arrangements (an exception is a single grating, for which the wavelength dependence of the illumination grating and target grating tend to cancel each other).
  • alignment positions can be determined for multiple wavelengths (and orders) in a single capture. These multiple positions can e.g. be used as an input for OCW-like algorithms.
  • variable region of interest selection and variable pixel weighting to enhance accuracy/robustness.
  • ROI region of interest
  • pixel weighting instead of determining the alignment position based on the whole target image or on a fixed region of interest (such as over a central region of each quadrant or the whole target; i.e., excluding edge regions), it is possible to optimize the ROI on a per-target basis.
  • the optimization may determine an ROI, or plurality of ROIs, of any arbitrary shape. It is also possible to determine an optimized weighted combination of ROIs, with the weighting assigned according to one or more quality metrics or key performance indicators (KPIs).
  • KPIs key performance indicators
  • Targets generally, and small targets in particular, typically suffer deformations during their formation (e.g., due to processing). These deformations may lead to e.g., random edge effects, wedging over the mark, local grating asymmetry variations, local thickness variations and/or (local) surface roughness.
  • deformations may lead to e.g., random edge effects, wedging over the mark, local grating asymmetry variations, local thickness variations and/or (local) surface roughness.
  • averaging over the whole mark or over a fixed region of interest will typically lead to alignment errors.
  • OCW Optimal Color Weighing
  • the present disclosure enables a weighting to be determined using only the alignment images (i.e., measurements on the mark itself) although embodiments which use other data from other sources are also disclosed and fall within the present scope.
  • the aligned position can be determined from combined local per-pixel information from at least two parameter distributions (parameter per-pixel maps) which each describe variation of a parameter value over at least part of a captured image, and where at least one of the parameter distributions comprises a position distribution (or local position map), which describes variation of aligned position over at least part of a captured image or local position per pixel or per pixel group (e.g., groups of neighboring pixels).
  • the weighting factors may be determined by minimizing a within-mark variation metric in the overall combined distribution, forming a self-referenced method.
  • the improved alignment position can be found by finding the optimal coefficients C and (optionally) D which minimize the within-target variations in a combined position map X described by:
  • Equation 1 where l is the wavelength index, P is the polarization index, x is the position distribution (local position per pixel/pixel group), C is a weighting factor for the position distribution, 0 is a non-position parameter distribution which correlates with target deformation and D is a weighting factor for the non-position parameter distribution.
  • Equation 1 is purely an example of an equation for a combined position map X. In another embodiment, for example, only position distributions are used and therefore the second term is unnecessary and the combined position map X can be determined from only the first term (and therefore to only find weighting C).
  • only one position distribution i.e., for a single measurement setting; e.g., an illumination setting such as a wavelength/polarization combination
  • one or more non-position parameter distributions e.g., also for a single measurement setting or, as recited, the sum of non-position parameter distributions for more than one single measurement setting. Additional terms may be added for different non-position parameters (e.g., distributions for more than one non-position parameter).
  • the measurement settings may vary in more than wavelength/polarization; for example, measurement settings may vary in one or more of (in any combination): wavelength, polarization, the angular distribution of the illumination, the spatial and/or temporal coherence properties of the illumination.
  • the measurement data comprises only pre-exposure measurement data, to enable wafer-to-wafer corrections for target asymmetries to be made.
  • Pre-exposure data may comprise any data performed on a wafer (e.g., per wafer) prior to exposure of a layer; e.g., data measured using an alignment sensor, as opposed to post-exposure measurement data measured on the exposed wafer (e.g., overlay metrology).
  • the scope of this disclosure may also include the use of some post-exposure measurement data.
  • the result is a weighted position map X with minimum variations within the mark, or minimum variations with respect to a nominal target shape.
  • the nominal target shape may be an average target shape or designed target shape. In this manner, target-to-target variations (for nominally similar targets) can be minimized.
  • the weighing factors can be determined by the mark measurement itself, and does not need to rely on other external data sources, such as simulations, measured overlay values, wafer shapes, etc..
  • FIG. 7(a) conceptually illustrates the optimization of weighting coefficients Ci, C2 based on two position distributions or local position maps PMi, PM2 corresponding to first wavelength l 1 and second wavelength l 2 respectively, so as to minimize variation in the combined weighted position map X.
  • the weighting coefficients Ci, C2 may be also a function of (for example) pixel index or mark coordinate. This approach is shares some similarities with OCW, but self-referenced and not relying on external data or training.
  • Figure 7(b) conceptually illustrates the optimization of weighting coefficient D based on one position distribution or local position map PM and a non-position parameter map IAM (e.g., a local intensity asymmetry map describing a difference between intensities of corresponding pixels of a +1 diffraction order and -1 diffraction order having diffracted from the target) so as to minimize variation in the weighted position map X.
  • a non-position parameter map IAM e.g., a local intensity asymmetry map describing a difference between intensities of corresponding pixels of a +1 diffraction order and -1 diffraction order having diffracted from the target
  • the local position map PM and a non-position parameter map IAM, and therefore the corrected weighted position map X may be obtained from a single measurement.
  • weighting coefficient C for the position map is determined here because only 1 position measurement is used in this example. Due to the preference that the sum of weighting factors C for the position maps should be 1, the weight for a single position measurement should typically be 1. In general, when there are n position measurements and m other non-position parameter measurements, the weights should all be co-optimized to make the image(s) as flat or close to ‘nominal’ as possible. Where there are more measurements available (e.g., position maps and/or non position parameter maps for other measurement settings) then the method may co-optimize weighting factors C and D for both data sets.
  • a single aligned position may be determined as an average or other statistical measure of the weighted position map X.
  • the average may be, for example, a mean of the positions described in the weighted position map, for example. Other averages which may be used, for example, include median, circular mean or circular median. Optionally the average may be determined after removing any outliers.
  • Statistical tools such as a histogram can be made per position map from which an aligned position can be determined.
  • FIG. 8 is a flowchart describing a method according to an embodiment.
  • a measurement is performed on a target or alignment mark and at least one image of the target is obtained; e.g., using an apparatus of the type illustrated in Figure 3.
  • a local position distribution or local position map is determined from the image. This may comprise measuring the fringe position individually for each pixel or each group of neighboring pixels to obtain a per-pixel/per-group-of-pixels position (it is not always possible to assign a position from a single pixel).
  • the image may result to multiple wavelengths (or else separate images for different wavelengths may be obtained), and step 810 may comprise determining a local position map for each of the wavelengths.
  • Step 810 may further comprise determining a further non-position parameter distribution; e.g., from the same image or images.
  • a non-position parameter distribution may comprise a per-pixel intensity asymmetry (e.g., the difference in intensity between complementary diffraction orders (optionally normalized by the sum of these intensities, optionally calibrated to compensate for tool imperfections and/or optionally pre-processed to compensate for nominal stack properties).
  • per-pixel intensity asymmetry information may be obtained using a different apparatus or tool such as a scatterometery based metrology tool.
  • Other non-position parameters which may be measured from the same tool or a different tool as the images include one or more of (per pixel or pixel group): fringe visibility of an alignment pattern within an image, local intensity, wafer quality, and amplitude of an alignment pattern.
  • Measurements using other devices such as microscope-like metrology sensors, scanning electron microscopes or scanning diffraction based alignment sensors may be used rather than the apparatus depicted in Figure 3.
  • these alignment sensors measure interferograms based on a line trace over a mark rather than an ‘image’ or a ‘map’ from which a position is extracted.
  • This line trace which describes intensity as a function of position, yields an interferogram from which the aligned position is determined.
  • the interferogram may be interpreted as a position distribution; i.e., a ID position map.
  • Many of these apparatuses also measure corresponding intensity asymmetries and therefore can determine a non-position parameter distribution also.
  • first images may be obtained using first tool, which measures e.g., intensity asymmetry (or other non-position parameter), from which non-position parameter maps are determined.
  • a second tool (such as an alignment sensor inside the scanner) may obtain second image of the same targets to determine e.g., position maps. These measurements and the determination of respective maps may be performed in any (logical) order.
  • the weighting factors C and/or D are determined for the weighted position map. As described above, this may be done by minimizing a variation metric in the resulting combined position map. More specifically, this can be done in many ways, using e.g., according to different norms.
  • One such method may comprise minimizing variation metric such as variance, e.g. minimizing
  • V is the weighted position map for pixel coordinates (i,j) and ⁇ F > is the mean over the weighted position map (i.e., mean over all pixels).
  • ⁇ F > is the mean over the weighted position map (i.e., mean over all pixels).
  • portions of a mark for which e.g., no weights can be found to improve the variation metric so that it better matches the rest of the mark or the nominal mark (or for which the weights would be very different from the other weights of the mark) may be discarded entirely.
  • ⁇ F > could be replaced by another statistical measure e.g. the median over the position map.
  • Another example may comprise minimizing the variations (rather than the variance). This could be done in many ways, including manually, judging by eye.
  • a single position value is determined from the weighted position map. This can also be done either by determining an average (e.g., mean) over the weighted position map (e.g., within a region-of-interest), or by using a median, outlier removal, etc.. For example, a histogram of pixel count against aligned position bins can be determined from the weighted position map. This histogram can be used to determine the aligned position, via the mean, median, outlier filters, etc..
  • an average e.g., mean
  • a histogram of pixel count against aligned position bins can be determined from the weighted position map. This histogram can be used to determine the aligned position, via the mean, median, outlier filters, etc.
  • An advantage of an image based measurement apparatus such as illustrated in Figure 3, is that all the parameter distributions may be obtained from the same images.
  • a device may provide two or more images (either separately or combined), each corresponding to a particular measurement setting (e.g., wavelength/polarization combination), from which corresponding local position maps can be determined and weighting factors 0c R calculated which minimize variation/variance (e.g., based on the first term of Equation 1).
  • the same image can be used to yield non-position parameter distributions/maps as described, such that the weighting optimization may optimize weighting factors €c r , Oc r based on both terms of Equation 1, for a more robust alignment measurement.
  • the weighing factors may be determined per target or mark.
  • an approach may be impacted by, e.g., sensor noise and uncorrected mark process noise. Therefore, it may be more robust to use average weighting factors over a portion of the wafer, the entire wafer or over multiple wafers (e.g., a lot).
  • the average may be a mean, median, or any other statistical measure.
  • Such a method may comprise jointly determining the weighting factors for the corresponding images of each mark to minimize variance/variations in a combined position map corresponding to the multiple marks.
  • the more measurement settings or wavelengths used or more of other different types of other data may result in a better estimator for the aligned position.
  • minimizing the variations may result in an overall offset from the ideal position of the mark, caused by e.g. insufficient different wavelengths for a particular stack. This can be partly corrected by using other sources, such as wafer or lot statistics, overlay feedback loop, etc., or else measuring with more wavelengths.
  • KPIs key performance indicators
  • Such KPIs may be determined, for example, from an aforementioned histogram of pixel count against aligned position bins.
  • the determined coefficients C and/or D can also be used as KPIs for process monitoring.
  • Equation 1 is described as a linear superposition of observables x and or 0.
  • nonlinear terms may also be included in the optimization, such as quadratic, or cubic terms, and/or higher orders.
  • the weighting factors C and or D are dependent on the position of the mark (select smaller ROIs in the position/non-position parameter maps for which weighting factors C and/or D are optimized).
  • the weighting factors C and/or D may vary within the mark (or may vary as function of pixel index), e.g. a different weighting may be assigned to targets in a region at the edge of the mark compared to a region at the center of the mark.
  • imprint lithography a topography in a patterning device defines the pattern created on a substrate.
  • the topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof.
  • the patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
  • UV radiation e.g., having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm
  • EUV radiation e.g., having a wavelength in the range of 1-100 nm
  • particle beams such as ion beams or electron beams.
  • optical components may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. Reflective components are likely to be used in an apparatus operating in the UV and/or EUV ranges.
  • a method of determining a position value relating to at least one target comprising: obtaining measurement data relating to measurement of at least one target; wherein the measurement data comprises at least two parameter distributions which each describe variation of a parameter value over at least part of said target, and where said at least two parameter distributions comprises at least one position distribution which describes variation of said position value over at least part of said target; and determining a weighting factor for at least one of said at least two parameter distributions and a corresponding weighted position distribution, wherein the weighting factor(s) minimizes a variation metric in the weighted position distribution, and said weighted position distribution comprises a combination of said at least two parameter distributions subject to said weighting factor(s).
  • the at least two parameter distributions comprise at least two position distributions, each relating to a different measurement setting.
  • non-position parameter comprises one or more of: intensity asymmetry between complementary diffraction orders, fringe visibility of a pattern within an image of the target, local intensity, wafer quality, and amplitude of a pattern within an image of the target.
  • a method as claimed in any preceding clause comprising determining a single position value from said combined parameter distribution.
  • said single position value comprises an average of the position values in said weighted position distribution.
  • each parameter distribution comprises a per-pixel or per-group-of-pixels parameter distribution over at least part of said target.
  • the measurement data relates to measurement of a plurality of targets and comprises respective sets of said at least two parameter distributions for each of the plurality of targets; and the method comprises determining an averaged weighting factor and corresponding weighted position distribution for said plurality of targets.
  • variation metric comprises minimizing variation within the target and/or with respect to a nominal target.
  • variation metric comprises minimizing variance within the target and or with respect to a nominal target.
  • said weighting factor for at least one of said at least two parameter distributions is dependent on a position within the target and/or within an image of the target.
  • a method as claimed in any preceding clause comprising determining at least one performance indicator relating to the target formation from said weighted position distribution.
  • a computer program comprising program instructions operable to perform the method of any preceding clause, when run on a suitable apparatus.
  • a processing arrangement comprising: the non-transient computer program carrier of clause 23; and a processor operable to run said computer program.
  • a metrology device comprising the processing arrangement of clause 24.
  • a lithographic apparatus comprises the metrology device of clause 25.
  • a lithographic apparatus comprising: a patterning device support for supporting a patterning device; a substrate support for supporting a substrate; and a metrology device being operable to perform the method of any of clauses 1 to 20.
  • a metrology device as claimed in clause 27, being operable to use said position value in control for one or both of: said substrate support and or a substrate supported thereon, and said patterning device support and/or a patterning device supported thereon.

Abstract

Disclosed is method of determining a position value relating to at least one target, and associated apparatuses. The method comprises obtaining measurement data relating to measurement of at least one target; wherein the measurement data comprises at least two parameter distributions which each describe variation of a parameter value over at least part of said target, and where said at least two parameter distributions comprises at least one position distribution which describes variation of said position value over at least part of said target. The method further comprises determining a weighting factor for at least one of said at least two parameter distributions and a corresponding weighted position distribution, wherein the weighting factor(s) minimizes a variation metric in the weighted position distribution, and said weighted position distribution comprises a combination of said at least two parameter distributions subject to said weighting factor(s).

Description

METROLOGY METHOD. METROLOGY APPARATUS AND LITHOGRAPHIC APPARATUS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims priority of EP application 20179319.7 which was filed on 10 June 2020, and which is incorporated herein in its entirety by reference.
FIELD OF THE INVENTION
[0002] The present invention relates to methods and apparatus usable, for example, in the manufacture of devices by lithographic techniques, and to methods of manufacturing devices using lithographic techniques. The invention relates more particularly to metrology sensors, such as position sensors.
BACKGROUND ART
[0003] A lithographic apparatus is a machine that applies a desired pattern onto a substrate, usually onto a target portion of the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In that instance, a patterning device, which is alternatively referred to as a mask or a reticle, may be used to generate a circuit pattern to be formed on an individual layer of the IC. This pattern can be transferred onto a target portion (e.g. including part of a die, one die, or several dies) on a substrate (e.g., a silicon wafer). Transfer of the pattern is typically via imaging onto a layer of radiation-sensitive material (resist) provided on the substrate. In general, a single substrate will contain a network of adjacent target portions that are successively patterned. These target portions are commonly referred to as “fields”.
[0004] In the manufacture of complex devices, typically many lithographic patterning steps are performed, thereby forming functional features in successive layers on the substrate. A critical aspect of performance of the lithographic apparatus is therefore the ability to place the applied pattern correctly and accurately in relation to features laid down (by the same apparatus or a different lithographic apparatus) in previous layers. For this purpose, the substrate is provided with one or more sets of alignment marks. Each mark is a structure whose position can be measured at a later time using a position sensor, typically an optical position sensor. The lithographic apparatus includes one or more alignment sensors by which positions of marks on a substrate can be measured accurately. Different types of marks and different types of alignment sensors are known from different manufacturers and different products of the same manufacturer.
[0005] In other applications, metrology sensors are used for measuring exposed structures on a substrate (either in resist and/or after etch). A fast and non-invasive form of specialized inspection tool is a scatterometer in which a beam of radiation is directed onto a target on the surface of the substrate and properties of the scattered or reflected beam are measured. Examples of known scatterometers include angle-resolved scatterometers of the type described in US2006033921A1 and US2010201963A1. In addition to measurement of feature shapes by reconstruction, diffraction based overlay can be measured using such apparatus, as described in published patent application US2006066855A1. Diffraction-based overlay metrology using dark-field imaging of the diffraction orders enables overlay measurements on smaller targets. Examples of dark field imaging metrology can be found in international patent applications WO 2009/078708 and WO 2009/106279 which documents are hereby incorporated by reference in their entirety. Further developments of the technique have been described in published patent publications US20110027704A, US20110043791A, US2011102753A1, US20120044470A, US20120123581A, US20130258310A, US20130271740A and
WO2013178422A1. These targets can be smaller than the illumination spot and may be surrounded by product structures on a wafer. Multiple gratings can be measured in one image, using a composite grating target. The contents of ah these applications are also incorporated herein by reference.
[0006] In some metrology applications, such as in some scatterometers or alignment sensors, it is often desirable to be able to measure on increasingly smaller targets, while also maintaining compatibility with present, or larger target sizes.
[0007] Metrology targets or marks used for alignment typically comprise asymmetries due to processing effects and other issues which can undesirably impact the measured position, as can interaction between the non-ideal mark and a non-ideal sensor. It is desirable to improve accuracy of alignment methods on such imperfect targets.
SUMMARY OF THE INVENTION
[0008] The invention in a first aspect provides a method of determining a position value relating to at least one target, the method comprising: obtaining measurement data relating to measurement of at least one target; wherein the measurement data comprises at least two parameter distributions which each describe variation of a parameter value over at least part of said target, and where said at least two parameter distributions comprises at least one position distribution which describes variation of said position value over at least part of said target; and determining a weighting factor for at least one of said at least two parameter distributions and a corresponding weighted position distribution, wherein the weighting factor(s) minimizes a variation metric in the weighted position distribution, and said weighted position distribution comprises a combination of said at least two parameter distributions subject to said weighting factor(s).
[0009] Also disclosed is a computer program, processing device metrology apparatus and a lithographic apparatus comprising a metrology device being operable to perform the method of the first aspect.
[0010] The above and other aspects of the invention will be understood from a consideration of the examples described below.
BRIEF DESCRIPTION OF THE DRAWINGS [0011] Embodiments of the invention will now be described, by way of example only, with reference to the accompanying drawings, in which:
Figure 1 depicts a lithographic apparatus;
Figure 2 illustrates schematically measurement and exposure processes in the apparatus of Figure 1;
Figure 3 is a schematic illustration of an example metrology device adaptable according to an embodiment of the invention;
Figure 4 comprises (a) a pupil image of input radiation (b) pupil image of off-axis illumination beams illustrating an operational principle of the metrology device of Figure 3; and (c) pupil image of off-axis illumination beams illustrating another operational principle of the metrology device of Figure 3; and
Figure 5 shows (a) an example target usable in alignment, (b) a pupil image of the detection pupil corresponding to detection of a single order, (c) a pupil image of the detection pupil corresponding to detection of four diffraction orders, and (d) a schematic example of an imaged interference pattern following measurement of the target of Figure 4(a);
Figure 6 shows schematically during an alignment measurement, an imaged interference pattern corresponding to (a) a first substrate position and (b) a second substrate position;
Figure 7 conceptually illustrates (a) a weighting determination for position distributions according to an embodiment of the invention and (b) a weighting determination for a position distribution and a non-position parameter distribution according to an embodiment of the invention; and
Figure 8 is a flowchart of a method according to an embodiment of the invention.
DETAIFED DESCRIPTION OF EMBODIMENTS
[0012] Before describing embodiments of the invention in detail, it is instructive to present an example environment in which embodiments of the present invention may be implemented.
[0013] Figure 1 schematically depicts a lithographic apparatus FA. The apparatus includes an illumination system (illuminator) IF configured to condition a radiation beam B (e.g., UV radiation or DUV radiation), a patterning device support or support structure (e.g., a mask table) MT constructed to support a patterning device (e.g., a mask) MA and connected to a first positioner PM configured to accurately position the patterning device in accordance with certain parameters; two substrate tables (e.g., a wafer table) WTa and WTb each constructed to hold a substrate (e.g., a resist coated wafer) W and each connected to a second positioner PW configured to accurately position the substrate in accordance with certain parameters; and a projection system (e.g., a refractive projection lens system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g., including one or more dies) of the substrate W. A reference frame RF connects the various components, and serves as a reference for setting and measuring positions of the patterning device and substrate and of features on them.
[0014] The illumination system may include various types of optical components, such as refractive, reflective, magnetic, electromagnetic, electrostatic or other types of optical components, or any combination thereof, for directing, shaping, or controlling radiation.
[0015] The patterning device support MT holds the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as for example whether or not the patterning device is held in a vacuum environment. The patterning device support can use mechanical, vacuum, electrostatic or other clamping techniques to hold the patterning device. The patterning device support MT may be a frame or a table, for example, which may be fixed or movable as required. The patterning device support may ensure that the patterning device is at a desired position, for example with respect to the projection system.
[0016] The term “patterning device” used herein should be broadly interpreted as referring to any device that can be used to impart a radiation beam with a pattern in its cross-section such as to create a pattern in a target portion of the substrate. It should be noted that the pattern imparted to the radiation beam may not exactly correspond to the desired pattern in the target portion of the substrate, for example if the pattern includes phase-shifting features or so called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in a device being created in the target portion, such as an integrated circuit.
[0017] As here depicted, the apparatus is of a transmissive type (e.g., employing a transmissive patterning device). Alternatively, the apparatus may be of a reflective type (e.g., employing a programmable mirror array of a type as referred to above, or employing a reflective mask). Examples of patterning devices include masks, programmable mirror arrays, and programmable LCD panels. Any use of the terms “reticle” or “mask” herein may be considered synonymous with the more general term “patterning device.” The term “patterning device” can also be interpreted as referring to a device storing in digital form pattern information for use in controlling such a programmable patterning device. [0018] The term “projection system” used herein should be broadly interpreted as encompassing any type of projection system, including refractive, reflective, catadioptric, magnetic, electromagnetic and electrostatic optical systems, or any combination thereof, as appropriate for the exposure radiation being used, or for other factors such as the use of an immersion liquid or the use of a vacuum. Any use of the term “projection lens” herein may be considered as synonymous with the more general term “projection system”.
[0019] The lithographic apparatus may also be of a type wherein at least a portion of the substrate may be covered by a liquid having a relatively high refractive index, e.g., water, so as to fill a space between the projection system and the substrate. An immersion liquid may also be applied to other spaces in the lithographic apparatus, for example, between the mask and the projection system. Immersion techniques are well known in the art for increasing the numerical aperture of projection systems. [0020] In operation, the illuminator IL receives a radiation beam from a radiation source SO. The source and the lithographic apparatus may be separate entities, for example when the source is an excimer laser. In such cases, the source is not considered to form part of the lithographic apparatus and the radiation beam is passed from the source SO to the illuminator IL with the aid of a beam delivery system BD including, for example, suitable directing mirrors and/or a beam expander. In other cases the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The source SO and the illuminator IL, together with the beam delivery system BD if required, may be referred to as a radiation system.
[0021] The illuminator IL may for example include an adjuster AD for adjusting the angular intensity distribution of the radiation beam, an integrator IN and a condenser CO. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross section.
[0022] The radiation beam B is incident on the patterning device MA, which is held on the patterning device support MT, and is patterned by the patterning device. Having traversed the patterning device (e.g., mask) MA, the radiation beam B passes through the projection system PS, which focuses the beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor IF (e.g., an interferometric device, linear encoder, 2-D encoder or capacitive sensor), the substrate table WTa or WTb can be moved accurately, e.g., so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor (which is not explicitly depicted in Figure 1) can be used to accurately position the patterning device (e.g., mask) MA with respect to the path of the radiation beam B, e.g., after mechanical retrieval from a mask library, or during a scan.
[0023] Patterning device (e.g., mask) MA and substrate W may be aligned using mask alignment marks Ml, M2 and substrate alignment marks PI, P2. Although the substrate alignment marks as illustrated occupy dedicated target portions, they may be located in spaces between target portions (these are known as scribe-lane alignment marks). Similarly, in situations in which more than one die is provided on the patterning device (e.g., mask) MA, the mask alignment marks may be located between the dies. Small alignment marks may also be included within dies, in amongst the device features, in which case it is desirable that the markers be as small as possible and not require any different imaging or process conditions than adjacent features. The alignment system, which detects the alignment markers is described further below.
[0024] The depicted apparatus could be used in a variety of modes. In a scan mode, the patterning device support (e.g., mask table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e., a single dynamic exposure). The speed and direction of the substrate table WT relative to the patterning device support (e.g., mask table) MT may be determined by the (de-)magnification and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scanning direction) of the target portion in a single dynamic exposure, whereas the length of the scanning motion determines the height (in the scanning direction) of the target portion. Other types of lithographic apparatus and modes of operation are possible, as is well-known in the art. For example, a step mode is known. In so-called “maskless” lithography, a programmable patterning device is held stationary but with a changing pattern, and the substrate table WT is moved or scanned.
[0025] Combinations and/or variations on the above described modes of use or entirely different modes of use may also be employed.
[0026] Lithographic apparatus LA is of a so-called dual stage type which has two substrate tables WTa, WTb and two stations - an exposure station EXP and a measurement station MEA - between which the substrate tables can be exchanged. While one substrate on one substrate table is being exposed at the exposure station, another substrate can be loaded onto the other substrate table at the measurement station and various preparatory steps carried out. This enables a substantial increase in the throughput of the apparatus. The preparatory steps may include mapping the surface height contours of the substrate using a level sensor LS and measuring the position of alignment markers on the substrate using an alignment sensor AS. If the position sensor IF is not capable of measuring the position of the substrate table while it is at the measurement station as well as at the exposure station, a second position sensor may be provided to enable the positions of the substrate table to be tracked at both stations, relative to reference frame RF. Other arrangements are known and usable instead of the dual-stage arrangement shown. For example, other lithographic apparatuses are known in which a substrate table and a measurement table are provided. These are docked together when performing preparatory measurements, and then undocked while the substrate table undergoes exposure.
[0027] Figure 2 illustrates the steps to expose target portions (e.g. dies) on a substrate W in the dual stage apparatus of Figure 1. On the left hand side within a dotted box are steps performed at a measurement station MEA, while the right hand side shows steps performed at the exposure station EXP. From time to time, one of the substrate tables WTa, WTb will be at the exposure station, while the other is at the measurement station, as described above. For the purposes of this description, it is assumed that a substrate W has already been loaded into the exposure station. At step 200, a new substrate W’ is loaded to the apparatus by a mechanism not shown. These two substrates are processed in parallel in order to increase the throughput of the lithographic apparatus.
[0028] Referring initially to the newly-loaded substrate W’, this may be a previously unprocessed substrate, prepared with a new photo resist for first time exposure in the apparatus. In general, however, the lithography process described will be merely one step in a series of exposure and processing steps, so that substrate W’ has been through this apparatus and/or other lithography apparatuses, several times already, and may have subsequent processes to undergo as well. Particularly for the problem of improving overlay performance, the task is to ensure that new patterns are applied in exactly the correct position on a substrate that has already been subjected to one or more cycles of patterning and processing. These processing steps progressively introduce distortions in the substrate that must be measured and corrected for, to achieve satisfactory overlay performance.
[0029] The previous and/or subsequent patterning step may be performed in other lithography apparatuses, as just mentioned, and may even be performed in different types of lithography apparatus. For example, some layers in the device manufacturing process which are very demanding in parameters such as resolution and overlay may be performed in a more advanced lithography tool than other layers that are less demanding. Therefore some layers may be exposed in an immersion type lithography tool, while others are exposed in a ‘dry’ tool. Some layers may be exposed in a tool working at DUV wavelengths, while others are exposed using EUV wavelength radiation.
[0030] At 202, alignment measurements using the substrate marks PI etc. and image sensors (not shown) are used to measure and record alignment of the substrate relative to substrate table WTa/WTb. In addition, several alignment marks across the substrate W’ will be measured using alignment sensor AS. These measurements are used in one embodiment to establish a “wafer grid”, which maps very accurately the distribution of marks across the substrate, including any distortion relative to a nominal rectangular grid.
[0031] At step 204, a map of wafer height (Z) against X-Y position is measured also using the level sensor LS. Conventionally, the height map is used only to achieve accurate focusing of the exposed pattern. It may be used for other purposes in addition.
[0032] When substrate W’ was loaded, recipe data 206 were received, defining the exposures to be performed, and also properties of the wafer and the patterns previously made and to be made upon it. To these recipe data are added the measurements of wafer position, wafer grid and height map that were made at 202, 204, so that a complete set of recipe and measurement data 208 can be passed to the exposure station EXP. The measurements of alignment data for example comprise X and Y positions of alignment targets formed in a fixed or nominally fixed relationship to the product patterns that are the product of the lithographic process. These alignment data, taken just before exposure, are used to generate an alignment model with parameters that fit the model to the data. These parameters and the alignment model will be used during the exposure operation to correct positions of patterns applied in the current lithographic step. The model in use interpolates positional deviations between the measured positions. A conventional alignment model might comprise four, five or six parameters, together defining translation, rotation and scaling of the ‘ideal’ grid, in different dimensions. Advanced models are known that use more parameters.
[0033] At 210, wafers W’ and W are swapped, so that the measured substrate W’ becomes the substrate W entering the exposure station EXP. In the example apparatus of Figure 1, this swapping is performed by exchanging the supports WTa and WTb within the apparatus, so that the substrates W, W’ remain accurately clamped and positioned on those supports, to preserve relative alignment between the substrate tables and substrates themselves. Accordingly, once the tables have been swapped, determining the relative position between projection system PS and substrate table WTb (formerly WTa) is all that is necessary to make use of the measurement information 202, 204 for the substrate W (formerly W’) in control of the exposure steps. At step 212, reticle alignment is performed using the mask alignment marks Ml, M2. In steps 214, 216, 218, scanning motions and radiation pulses are applied at successive target locations across the substrate W, in order to complete the exposure of a number of patterns.
[0034] By using the alignment data and height map obtained at the measuring station in the performance of the exposure steps, these patterns are accurately aligned with respect to the desired locations, and, in particular, with respect to features previously laid down on the same substrate. The exposed substrate, now labeled W” is unloaded from the apparatus at step 220, to undergo etching or other processes, in accordance with the exposed pattern.
[0035] The skilled person will know that the above description is a simplified overview of a number of very detailed steps involved in one example of a real manufacturing situation. For example rather than measuring alignment in a single pass, often there will be separate phases of coarse and fine measurement, using the same or different marks. The coarse and/or fine alignment measurement steps can be performed before or after the height measurement, or interleaved.
[0036] A specific type of metrology sensor, which as both alignment and product/process monitoring metrology applications is described in PCT patent application WO 2020/057900 Al, which is incorporated herein by reference. This describes a metrology device with optimized coherence. More specifically, the metrology device is configured to produce a plurality of spatially incoherent beams of measurement illumination, each of said beams (or both beams of measurement pairs of said beams, each measurement pair corresponding to a measurement direction) having corresponding regions within their cross-section for which the phase relationship between the beams at these regions is known; i.e., there is mutual spatial coherence for the corresponding regions.
[0037] Such a metrology device is able to measure small pitch targets with acceptable (minimal) interference artifacts (speckle) and will also be operable in a dark-field mode. Such a metrology device may be used as a position or alignment sensor for measuring substrate position (e.g., measuring the position of a periodic structure or alignment mark with respect to a fixed reference position). However, the metrology device is also usable for measurement of overlay (e.g., measurement of relative position of periodic structures in different layers, or even the same layer in the case of stitching marks). The metrology device is also able to measure asymmetry in periodic structures, and therefore could be used to measure any parameter which is based on a target asymmetry measurement (e.g., overlay using diffraction based overlay (DBO) techniques or focus using diffraction based focus (DBF) techniques). [0038] Figure 3 shows a possible implementation of such a metrology device. The metrology device essentially operates as a standard microscope with a novel illumination mode. The metrology device 300 comprises an optical module 305 comprising the main components of the device. An illumination source 310 (which may be located outside the module 305 and optically coupled thereto by a multimode fiber 315) provides a spatially incoherent radiation beam 320 to the optical module 305. Optical components 317 deliver the spatially incoherent radiation beam 320 to a coherent off-axis illumination generator 325. This component is of particular importance to the concepts herein and will be described in greater detail. The coherent off-axis illumination generator 325 generates a plurality (e.g., four) off- axis beams 330 from the spatially incoherent radiation beam 320. The characteristics of these off-axis beams 330 will be described in detail further below. The zeroth order of the illumination generator may be blocked by an illumination zero order block element 375. This zeroth order will only be present for some of the coherent off-axis illumination generator examples described in this document (e.g., phase grating based illumination generators), and therefore may be omitted when such zeroth order illumination is not generated. The off-axis beams 330 are delivered (via optical components 335 and) a spot mirror 340 to an (e.g., high NA) objective lens 345. The objective lens focusses the off-axis beams 330 onto a sample (e.g., periodic structure/alignment mark) located on a substrate 350, where they scatter and diffract. The scattered higher diffraction orders 355+, 355- (e.g., +1 and -1 orders respectively), propagate back via the spot mirror 340, and are focused by optical component 360 onto a sensor or camera 365 where they interfere to form an interference pattern. A processor 380 running suitable software can then process the image(s) of the interference pattern captured by camera 365. [0039] The zeroth order diffracted (specularly reflected) radiation is blocked at a suitable location in the detection branch; e.g., by the spot mirror 340 and/or a separate detection zero-order block element. It should be noted that there is a zeroth order reflection for each of the off-axis illumination beams, i.e. in the current embodiment there are four of these zeroth order reflections in total. An example aperture profile suitable for blocking the four zeroth order reflections is shown in Figures 4(b) and (c), labelled 422. As such, the metrology device operated as a “dark field” metrology device.
[0040] A main concept of the proposed metrology device is to induce spatial coherence in the measurement illumination only where required. More specifically, spatial coherence is induced between corresponding sets of pupil points in each of the off-axis beams 330. More specifically, a set of pupil points comprises a corresponding single pupil point in each of the off-axis beams, the set of pupil points being mutually spatially coherent, but where each pupil point is incoherent with respect to all other pupil points in the same beam. By optimizing the coherence of the measurement illumination in this manner, it becomes feasible to perform dark-field off-axis illumination on small pitch targets, but with minimal speckle artifacts as each off-axis beam 330 is spatially incoherent.
[0041] Figure 4 shows three pupil images to illustrate the concept. Figure 4(a) shows a first pupil image which relates to pupil plane PI in Figure 2, and Figures 4(b) and 4(c) each show a second pupil image which relates to pupil plane P2 in Figure 2. Figure 4(a) shows (in cross-section) the spatially incoherent radiation beam 320, and Figures 4(b) and 4(c) show (in cross-section) the off-axis beams 330 generated by coherent off-axis illumination generator 325 in two different embodiments. In each case, the extent of the outer circle 395 corresponds to the maximum detection NA of the microscope objective; this may be, purely by way of an example 0.95 NA. [0042] The triangles 400 in each of the pupils indicate a set of pupil points that are spatially coherent with respect to each other. Similarly, the crosses 405 indicate another set of pupil points which are spatially coherent with respect to each other. The triangles are spatially incoherent with respect to crosses and all other pupil points corresponding to beam propagation. The general principle (in the example shown in Figure 4(b)) is that each set of pupil points which are mutually spatially coherent (each coherent set of points) have identical spacings within the illumination pupil P2 as all other coherent sets of points. As such, in this embodiment, each coherent sets of points is a translation within the pupil of all other coherent sets of points.
[0043] In Figure 4(b), the spacing between each pupil point of the first coherent set of points represented by triangles 400 must be equal to the spacing between each pupil point of the coherent set of points represented by crosses 405. ‘Spacing’ in this context is directional, i.e., the set of crosses (second set of points) is not allowed to be rotated with respect to the set of triangles (first set of points). As such, each of the off-axis beams 330 comprises by itself incoherent radiation; however the off-axis beams 330 together comprise identical beams having corresponding sets of points within their cross- section that have a known phase relationship (spatial coherence). It should be noted that it is not necessary for the points of each set of points to be equally spaced (e.g., the spacing between the four triangles 405 in this example is not required to be equal). As such, the off-axis beams 330 do not have to be arranged symmetrically within the pupil.
[0044] Figure 4(c) shows that this basic concept can be extended to providing for a mutual spatial coherence between only the beams corresponding to a single measurement direction where beams 330X correspond to a first direction (X-direction) and beams 330Y correspond to a second direction (Y- direction). In this example, the squares and plus signs each indicate a set of pupil points which correspond to, but are not necessarily spatially coherent with, the sets of pupil points represented by the triangles and crosses. However, the crosses are mutually spatially coherent, as are the plus signs, and the crosses are a geometric translation in the pupil of the plus signs. As such, in Figure 4(c), the off- axis beams are only pair-wise coherent.
[0045] In this embodiment, the off-axis beams are considered separately by direction, e.g., X direction 330X and Y direction 330Y. The pair of beams 330X which generate the captured X direction diffraction orders need only be coherent with one another (such that pair of points 400X are mutually coherent, as are pair of points 405X). Similarly the pair of beams 330Y which generate the captured Y direction diffraction orders need only be coherent with one another (such that pair of points 400Y are mutually coherent, as are pair of points 405 Y). However, there does not need to be coherence between the pairs of points 400X and 400Y, nor between the pairs of points 405X and 405Y. As such there are pairs of coherent points comprised in the pairs of off-axis beams corresponding to each considered measurement direction. As before, for each pair of beams corresponding to a measurement direction, each pair of coherent points is a geometric translation within the pupil of all the other coherent pairs of points. [0046] Figure 5 illustrates the working principle of the metrology system, e.g., for alignment/Position sensing. Figure 5(a) illustrates a target 410 which can be used as an alignment mark in some embodiments. The target 410 may be similar to those used in micro diffraction based overlay techniques (pDBO), although typically comprised only in a single layer when forming an alignment mark. As such, the target 410 comprises four sub-targets, comprising two gratings (periodic structures) 415a in a first direction (X-direction) and two gratings 415b in a second, perpendicular, direction (Y-direction). The pitch of the gratings may comprise an order of magnitude of lOOnm (more specifically within the range of 300-800nm), for example.
[0047] Figure 5(b) shows a pupil representation corresponding to (with reference to Figure 2) pupil plane P3. The Figure shows the resulting radiation following scattering of only a single one of the off- axis illumination beams, more specifically (the left-most in this representation) off-axis illumination beam 420 (which will not be in this pupil, its location in pupil plane P2 corresponds to its location in the illumination pupil and is shown here only for illustration). The shaded region 422 corresponds to the blocking (i.e., reflecting or absorbing) region of a specific spot mirror design (white represents the transmitting region) used in an embodiment. Such a spot mirror design is purely an example of a pupil block which ensures that undesired light (e.g. zeroth orders and light surrounding the zeroth orders) are not detected. Other spot mirror profiles (or zero order blocks generally) can be used.
[0048] As can be seen, only one of the higher diffraction orders is captured, more specifically the -1 X direction diffraction order 425. The +1 X direction diffraction order 430, the -1 Y direction diffraction order 435 and the +1 Y direction diffraction order 440 fall outside of the pupil (detection NA represented by the extent of spot mirror 422) and are not captured. Any higher orders (not illustrated) also fall outside the detection NA. The zeroth order 445 is shown for illustration, but will actually be blocked by the spot mirror or zero order block 422.
[0049] Figure 5(c) shows the resultant pupil (captured orders only) resultant from all four off-axis beams 420 (again shown purely for illustration). The captured orders include the -1 X direction diffraction order 425, a +1 X direction diffraction order 430’, a -1 Y direction diffraction order 435’ and a +1 Y direction diffraction order 440’. These diffraction orders are imaged on the camera where they interfere forming a fringe pattern 450, such as shown in Figure 5(d). In the example shown, the fringe pattern is diagonal as the diffracted orders are diagonally arranged in the pupil, although other arrangements are possible with a resulting different fringe pattern orientation.
[0050] In a manner similar to other metrology devices usable for alignment sensing, a shift in the target grating position causes a phase shift between the +1 and -1 diffracted orders per direction. Since the diffraction orders interfere on the camera, a phase shift between the diffracted orders results in a corresponding shift of the interference fringes on the camera. Therefore, it is possible to determine the alignment position from the position of the interference fringes on the camera.
[0051] Figure 6 illustrates how the alignment position can be determined from the interference fringes. Figure 6(a) shows one set of interference fringes 500 (i.e., corresponding to one quadrant of the fringe pattern 450), when the target is at a first position and Figure 6(b) the set of interference fringes 500’ when the target is at a second position. A fixed reference line 510 (i.e., in the same position for both images) is shown to highlight the movement of the fringe pattern between the two positions. Alignment, can be determined by comparing a position determined from the pattern to a position obtained from measurement of a fixed reference (e.g., transmission image sensor (TIS) fiducial) in a known manner. A single fringe pattern (e.g., from a single grating alignment mark), or single pattern per direction (e.g., from a two grating alignment mark), can be used for alignment. Another option for performing alignment in two directions may use an alignment mark having a single 2D periodic pattern. Also, non periodic patterns could be measured with the metrology device described herein. Another alignment mark option may comprise a four grating target design, such as illustrated in Figure 5(a), which is similar to that commonly used for measuring overlay, at present. As such, targets such as these are typically already present on wafers, and therefore similar sampling could be used for alignment and overlay. Such alignment methods are known and will not be described further.
[0052] WO 2020/057900 further describes the possibility to measure multiple wavelengths (and possibly higher diffraction orders) in order to be more process robust (facilitate measurement diversity). It was proposed that this would enable, for example, use of techniques such as optimal color weighing (OCW), to become robust to grating asymmetry. In particular, target asymmetry typically results in a different aligned position per wavelength. Thereby, by measuring this difference in aligned position for different wavelengths, it is possible to determine asymmetry in the target. In one embodiment, measurements corresponding to multiple wavelengths could be imaged sequentially on the same camera, to obtain a sequence of individual images, each corresponding to a different wavelength. Alternatively, each of these wavelengths could be imaged in parallel on separate cameras (or separate regions of the same camera), with the wavelengths being separated using suitable optical components such as dichroic mirrors. In another embodiment, it is possible to measure multiple wavelengths (and diffraction orders) in a single camera image. When illumination beams corresponding to different wavelengths are at the same location in the pupil, the corresponding fringes on the camera image will have different orientations for the different wavelengths. This will tend to be the case for most off-axis illumination generator arrangements (an exception is a single grating, for which the wavelength dependence of the illumination grating and target grating tend to cancel each other). By appropriate processing of such an image, alignment positions can be determined for multiple wavelengths (and orders) in a single capture. These multiple positions can e.g. be used as an input for OCW-like algorithms.
[0053] Also described in WO 2020/057900 is the possibility of variable region of interest (ROI) selection and variable pixel weighting to enhance accuracy/robustness. Instead of determining the alignment position based on the whole target image or on a fixed region of interest (such as over a central region of each quadrant or the whole target; i.e., excluding edge regions), it is possible to optimize the ROI on a per-target basis. The optimization may determine an ROI, or plurality of ROIs, of any arbitrary shape. It is also possible to determine an optimized weighted combination of ROIs, with the weighting assigned according to one or more quality metrics or key performance indicators (KPIs).
[0054] Targets generally, and small targets in particular, typically suffer deformations during their formation (e.g., due to processing). These deformations may lead to e.g., random edge effects, wedging over the mark, local grating asymmetry variations, local thickness variations and/or (local) surface roughness. When performing substrate alignment on deformed marks, averaging over the whole mark or over a fixed region of interest will typically lead to alignment errors.
[0055] The concepts underlying this disclosure can be likened to Optimal Color Weighing (OCW), where multiple measurements are combined at different colors and or polarization states to minimize the impact of mark deformations. OCW is described in more detail in US publication US2019/0094721 A1 which is incorporated herein by reference. Based on an algorithm, the results of the multiple measurements are combined in a weighted average where each measurement is included with its own weighting factor. These weighting factors may rely on other sources, such as training on overlay data, simulated data, lot statistics, prior knowledge on the stack, etc.. However, the use of stack information and/or measurement data other than from the alignment sensor itself is undesirable.
[0056] The present disclosure enables a weighting to be determined using only the alignment images (i.e., measurements on the mark itself) although embodiments which use other data from other sources are also disclosed and fall within the present scope.
[0057] It is proposed that the aligned position can be determined from combined local per-pixel information from at least two parameter distributions (parameter per-pixel maps) which each describe variation of a parameter value over at least part of a captured image, and where at least one of the parameter distributions comprises a position distribution (or local position map), which describes variation of aligned position over at least part of a captured image or local position per pixel or per pixel group (e.g., groups of neighboring pixels). The weighting factors may be determined by minimizing a within-mark variation metric in the overall combined distribution, forming a self-referenced method. [0058] In general, the improved alignment position can be found by finding the optimal coefficients C and (optionally) D which minimize the within-target variations in a combined position map X described by:
Equation 1
Figure imgf000014_0001
where l is the wavelength index, P is the polarization index, x is the position distribution (local position per pixel/pixel group), C is a weighting factor for the position distribution, 0 is a non-position parameter distribution which correlates with target deformation and D is a weighting factor for the non-position parameter distribution. [0059] Equation 1 is purely an example of an equation for a combined position map X. In another embodiment, for example, only position distributions are used and therefore the second term is unnecessary and the combined position map X can be determined from only the first term (and therefore to only find weighting C). In another embodiment, only one position distribution (i.e., for a single measurement setting; e.g., an illumination setting such as a wavelength/polarization combination) is used, with one or more non-position parameter distributions (e.g., also for a single measurement setting or, as recited, the sum of non-position parameter distributions for more than one single measurement setting). Additional terms may be added for different non-position parameters (e.g., distributions for more than one non-position parameter). The measurement settings may vary in more than wavelength/polarization; for example, measurement settings may vary in one or more of (in any combination): wavelength, polarization, the angular distribution of the illumination, the spatial and/or temporal coherence properties of the illumination.
[0060] It may be preferred that the measurement data comprises only pre-exposure measurement data, to enable wafer-to-wafer corrections for target asymmetries to be made. Pre-exposure data may comprise any data performed on a wafer (e.g., per wafer) prior to exposure of a layer; e.g., data measured using an alignment sensor, as opposed to post-exposure measurement data measured on the exposed wafer (e.g., overlay metrology). However, the scope of this disclosure may also include the use of some post-exposure measurement data.
[0061] The result is a weighted position map X with minimum variations within the mark, or minimum variations with respect to a nominal target shape. In the latter case, the nominal target shape may be an average target shape or designed target shape. In this manner, target-to-target variations (for nominally similar targets) can be minimized. The weighing factors can be determined by the mark measurement itself, and does not need to rely on other external data sources, such as simulations, measured overlay values, wafer shapes, etc..
[0062] In a preferred embodiment, the weighting optimization may be subject to the constraint å 0cR = 1. This prevents removing the average value from the combined map, which would likely result in a position error. For example, if the weighted position map X was determined from two identical position maps relating to two wavelengths, such that both maps show the same pattern comprising the same variations between n+1 nm and n-1 nm. It would be straightforward to subtract these measurements, resulting in a combined measurement with zero variations, but with the average value n having been removed, where n is very possibly the correct value.
[0063] Figure 7(a) conceptually illustrates the optimization of weighting coefficients Ci, C2 based on two position distributions or local position maps PMi, PM2 corresponding to first wavelength l1 and second wavelength l2 respectively, so as to minimize variation in the combined weighted position map X. As already described, the weighting coefficients Ci, C2 may be also a function of (for example) pixel index or mark coordinate. This approach is shares some similarities with OCW, but self-referenced and not relying on external data or training.
[0064] Figure 7(b) conceptually illustrates the optimization of weighting coefficient D based on one position distribution or local position map PM and a non-position parameter map IAM (e.g., a local intensity asymmetry map describing a difference between intensities of corresponding pixels of a +1 diffraction order and -1 diffraction order having diffracted from the target) so as to minimize variation in the weighted position map X. Such an approach effectively determines the weighting factor D such that the weighted non-position parameter map IAM can correct the local position map PM to determine the weighted position map X. In an embodiment, the local position map PM and a non-position parameter map IAM, and therefore the corrected weighted position map X, may be obtained from a single measurement. Note that no weighting coefficient C for the position map is determined here because only 1 position measurement is used in this example. Due to the preference that the sum of weighting factors C for the position maps should be 1, the weight for a single position measurement should typically be 1. In general, when there are n position measurements and m other non-position parameter measurements, the weights should all be co-optimized to make the image(s) as flat or close to ‘nominal’ as possible. Where there are more measurements available (e.g., position maps and/or non position parameter maps for other measurement settings) then the method may co-optimize weighting factors C and D for both data sets.
[0065] Once the weighted position map X has been obtained, a single aligned position may be determined as an average or other statistical measure of the weighted position map X. The average may be, for example, a mean of the positions described in the weighted position map, for example. Other averages which may be used, for example, include median, circular mean or circular median. Optionally the average may be determined after removing any outliers. Statistical tools, such as a histogram can be made per position map from which an aligned position can be determined.
[0066] Figure 8 is a flowchart describing a method according to an embodiment. At step 800 a measurement is performed on a target or alignment mark and at least one image of the target is obtained; e.g., using an apparatus of the type illustrated in Figure 3. At step 810 a local position distribution or local position map is determined from the image. This may comprise measuring the fringe position individually for each pixel or each group of neighboring pixels to obtain a per-pixel/per-group-of-pixels position (it is not always possible to assign a position from a single pixel). The image may result to multiple wavelengths (or else separate images for different wavelengths may be obtained), and step 810 may comprise determining a local position map for each of the wavelengths.
[0067] Step 810 may further comprise determining a further non-position parameter distribution; e.g., from the same image or images. Such a non-position parameter distribution may comprise a per-pixel intensity asymmetry (e.g., the difference in intensity between complementary diffraction orders (optionally normalized by the sum of these intensities, optionally calibrated to compensate for tool imperfections and/or optionally pre-processed to compensate for nominal stack properties). Alternatively, such per-pixel intensity asymmetry information may be obtained using a different apparatus or tool such as a scatterometery based metrology tool. Other non-position parameters which may be measured from the same tool or a different tool as the images include one or more of (per pixel or pixel group): fringe visibility of an alignment pattern within an image, local intensity, wafer quality, and amplitude of an alignment pattern.
[0068] Measurements using other devices (e.g., any device which can provide local or per-pixel information) such as microscope-like metrology sensors, scanning electron microscopes or scanning diffraction based alignment sensors may be used rather than the apparatus depicted in Figure 3. Note in the latter case, these alignment sensors measure interferograms based on a line trace over a mark rather than an ‘image’ or a ‘map’ from which a position is extracted. This line trace, which describes intensity as a function of position, yields an interferogram from which the aligned position is determined. In the context of this disclosure, the interferogram may be interpreted as a position distribution; i.e., a ID position map. Many of these apparatuses also measure corresponding intensity asymmetries and therefore can determine a non-position parameter distribution also.
[0069] In an embodiment, first images may be obtained using first tool, which measures e.g., intensity asymmetry (or other non-position parameter), from which non-position parameter maps are determined. A second tool (such as an alignment sensor inside the scanner) may obtain second image of the same targets to determine e.g., position maps. These measurements and the determination of respective maps may be performed in any (logical) order.
[0070] At step 820 the weighting factors C and/or D are determined for the weighted position map. As described above, this may be done by minimizing a variation metric in the resulting combined position map. More specifically, this can be done in many ways, using e.g., according to different norms.
[0071] One such method may comprise minimizing variation metric such as variance, e.g. minimizing
V =
Figure imgf000017_0001
is the weighted position map for pixel coordinates (i,j) and < F > is the mean over the weighted position map (i.e., mean over all pixels). However, in other embodiments, other norms may be used (e.g. minimizing V = åi,/(F(/,/) — < F >) where k could take a different number, e.g. ½, 1 (Ll-norm, more tolerant to outliers), 3, 4, or infinite (less tolerant to outliers). To further improve robustness to outliers, portions of a mark for which e.g., no weights can be found to improve the variation metric so that it better matches the rest of the mark or the nominal mark (or for which the weights would be very different from the other weights of the mark) may be discarded entirely.
[0072] In further embodiments < F > could be replaced by another statistical measure e.g. the median over the position map. Another example may comprise minimizing the variations (rather than the variance). This could be done in many ways, including manually, judging by eye.
[0073] At step 830, a single position value is determined from the weighted position map. This can also be done either by determining an average (e.g., mean) over the weighted position map (e.g., within a region-of-interest), or by using a median, outlier removal, etc.. For example, a histogram of pixel count against aligned position bins can be determined from the weighted position map. This histogram can be used to determine the aligned position, via the mean, median, outlier filters, etc..
[0074] An advantage of an image based measurement apparatus such as illustrated in Figure 3, is that all the parameter distributions may be obtained from the same images. Such a device may provide two or more images (either separately or combined), each corresponding to a particular measurement setting (e.g., wavelength/polarization combination), from which corresponding local position maps can be determined and weighting factors 0c R calculated which minimize variation/variance (e.g., based on the first term of Equation 1). Additionally, the same image can be used to yield non-position parameter distributions/maps as described, such that the weighting optimization may optimize weighting factors €c r, Oc r based on both terms of Equation 1, for a more robust alignment measurement.
[0075] In an embodiment, the weighing factors may be determined per target or mark. However such an approach may be impacted by, e.g., sensor noise and uncorrected mark process noise. Therefore, it may be more robust to use average weighting factors over a portion of the wafer, the entire wafer or over multiple wafers (e.g., a lot). The average may be a mean, median, or any other statistical measure. Such a method may comprise jointly determining the weighting factors for the corresponding images of each mark to minimize variance/variations in a combined position map corresponding to the multiple marks.
[0076] The more measurement settings or wavelengths used or more of other different types of other data may result in a better estimator for the aligned position. In some cases, depending on the stack geometry and the type of deformations, minimizing the variations may result in an overall offset from the ideal position of the mark, caused by e.g. insufficient different wavelengths for a particular stack. This can be partly corrected by using other sources, such as wafer or lot statistics, overlay feedback loop, etc., or else measuring with more wavelengths.
[0077] It can be appreciated that key performance indicators (KPIs) can be extracted from the position map, e.g., to check the quality of the mark for process monitoring and control. Such KPIs may be determined, for example, from an aforementioned histogram of pixel count against aligned position bins. In this context, the determined coefficients C and/or D can also be used as KPIs for process monitoring.
[0078] Equation 1 is described as a linear superposition of observables x and or 0. However, nonlinear terms may also be included in the optimization, such as quadratic, or cubic terms, and/or higher orders. [0079] It may be desirable that the weighting factors C and or D are dependent on the position of the mark (select smaller ROIs in the position/non-position parameter maps for which weighting factors C and/or D are optimized). For example, the weighting factors C and/or D may vary within the mark (or may vary as function of pixel index), e.g. a different weighting may be assigned to targets in a region at the edge of the mark compared to a region at the center of the mark. As such, while embodiments above largely focus on determining weights per camera pixel (or group of pixels), it is also possible and within the scope of the disclosure to determine weight per location within the mark (e.g. as function of distance from edge of mark). In theory these may be different when a mark is measured at a slightly different location with respect to the camera, although in practice this difference is typically small. [0080] While specific embodiments of the invention have been described above, it will be appreciated that the invention may be practiced otherwise than as described.
[0081] Although specific reference may have been made above to the use of embodiments of the invention in the context of optical lithography, it will be appreciated that the invention may be used in other applications, for example imprint lithography, and where the context allows, is not limited to optical lithography. In imprint lithography a topography in a patterning device defines the pattern created on a substrate. The topography of the patterning device may be pressed into a layer of resist supplied to the substrate whereupon the resist is cured by applying electromagnetic radiation, heat, pressure or a combination thereof. The patterning device is moved out of the resist leaving a pattern in it after the resist is cured.
[0082] The terms “radiation” and “beam” used herein encompass all types of electromagnetic radiation, including ultraviolet (UV) radiation (e.g., having a wavelength of or about 365, 355, 248, 193, 157 or 126 nm) and extreme ultra-violet (EUV) radiation (e.g., having a wavelength in the range of 1-100 nm), as well as particle beams, such as ion beams or electron beams.
[0083] The term “lens”, where the context allows, may refer to any one or combination of various types of optical components, including refractive, reflective, magnetic, electromagnetic and electrostatic optical components. Reflective components are likely to be used in an apparatus operating in the UV and/or EUV ranges.
[0084] The breadth and scope of the present invention should not be limited by any of the above- described exemplary embodiments, but should be defined only in accordance with the following claims and their equivalents.
[0085] Clauses.
1. A method of determining a position value relating to at least one target, the method comprising: obtaining measurement data relating to measurement of at least one target; wherein the measurement data comprises at least two parameter distributions which each describe variation of a parameter value over at least part of said target, and where said at least two parameter distributions comprises at least one position distribution which describes variation of said position value over at least part of said target; and determining a weighting factor for at least one of said at least two parameter distributions and a corresponding weighted position distribution, wherein the weighting factor(s) minimizes a variation metric in the weighted position distribution, and said weighted position distribution comprises a combination of said at least two parameter distributions subject to said weighting factor(s). 2. A method as claimed in clause 1, wherein the at least two parameter distributions comprise at least two position distributions, each relating to a different measurement setting.
3. A method as claimed in clause 1 or 2, wherein the at least two parameter distributions comprise at least one non-position parameter distribution, which describes variation of said non position parameter value over at least part of said at least one target.
4. A method as claimed in clause 3, wherein the non-position parameter comprises one or more of: intensity asymmetry between complementary diffraction orders, fringe visibility of a pattern within an image of the target, local intensity, wafer quality, and amplitude of a pattern within an image of the target.
5. A method as claimed in clause 3 or 4, wherein the non-position parameter distribution has been measured using a different apparatus as used to measure the at least one position distribution.
6. A method as claimed in clause 3 or 4, wherein the non-position parameter distribution has been measured using the same apparatus as used to measure the at least one position distribution.
7. A method as claimed in any of clauses 3 to 6, wherein the at least one non-position parameter distribution comprise a plurality of non-position parameter distributions, each relating to a different measurement setting.
8. A method as claimed in any preceding clause, wherein said method comprises determining separate weighting factors for each of said parameter distributions.
9. A method as claimed in any preceding clause, comprising determining a single position value from said combined parameter distribution.
10. A method as claimed in clause 9, wherein said single position value comprises an average of the position values in said weighted position distribution.
11. A method as claimed in any preceding clause, wherein said at least two parameter distributions are obtained from a single measurement acquisition, at least per target.
12. A method as claimed in clause 11, wherein the single measurement acquisition comprises a pixelated image obtained from radiation scattered by the target, and each parameter distribution comprises a per-pixel or per-group-of-pixels parameter distribution over at least part of said target.
13. A method as claimed in any of clauses 1 to 11, wherein the at least one position distribution comprises an interferogram.
14. A method as claimed in any preceding clause, wherein the measurement data relates to measurement of a plurality of targets and comprises respective sets of said at least two parameter distributions for each of the plurality of targets; and the method comprises determining an averaged weighting factor and corresponding weighted position distribution for said plurality of targets.
15. A method as claimed in any preceding clause, wherein the variation metric comprises minimizing variation within the target and/or with respect to a nominal target.
16. A method as claimed in any preceding clause, wherein the variation metric comprises minimizing variance within the target and or with respect to a nominal target. 17. A method as claimed in any preceding clause, wherein said weighting factor for at least one of said at least two parameter distributions is dependent on a position within the target and/or within an image of the target.
18. A method as claimed in any preceding clause, comprising determining at least one performance indicator relating to the target formation from said weighted position distribution.
19. A method as claimed in clause 18, using said at least one performance indicator to monitor and/or control a lithographic process or non-lithographic process to form further targets on a substrate.
20. A method as claimed in any preceding clause, wherein said measurement data comprises only pre-exposure measurement data.
21. A method as claimed in any preceding clause, wherein the sum of any weighting factors assigned to said at least one position distribution is constrained to equal one.
22. A computer program comprising program instructions operable to perform the method of any preceding clause, when run on a suitable apparatus.
23. A non- transient computer program carrier comprising the computer program of clause 22.
24. A processing arrangement comprising: the non-transient computer program carrier of clause 23; and a processor operable to run said computer program.
25. A metrology device comprising the processing arrangement of clause 24.
26. A lithographic apparatus comprises the metrology device of clause 25.
27. A lithographic apparatus comprising: a patterning device support for supporting a patterning device; a substrate support for supporting a substrate; and a metrology device being operable to perform the method of any of clauses 1 to 20.
28. A metrology device as claimed in clause 27, being operable to use said position value in control for one or both of: said substrate support and or a substrate supported thereon, and said patterning device support and/or a patterning device supported thereon.

Claims

1. A method of determining a position value relating to at least one target, the method comprising: obtaining measurement data relating to measurement of at least one target; wherein the measurement data comprises at least two parameter distributions which each describe variation of a parameter value over at least part of said target, and where said at least two parameter distributions comprises at least one position distribution which describes variation of said position value over at least part of said target; and determining a weighting factor for at least one of said at least two parameter distributions and a corresponding weighted position distribution, wherein the weighting factor(s) minimizes a variation metric in the weighted position distribution, and said weighted position distribution comprises a combination of said at least two parameter distributions subject to said weighting factor(s).
2. A method as claimed in claim 1, wherein the at least two parameter distributions comprise at least two position distributions, each relating to a different measurement setting.
3. A method as claimed in claim 1 or 2, wherein the at least two parameter distributions comprise at least one non-position parameter distribution, which describes variation of said non position parameter value over at least part of said at least one target.
4. A method as claimed in claim 3, wherein the non-position parameter comprises one or more of: intensity asymmetry between complementary diffraction orders, fringe visibility of a pattern within an image of the target, local intensity, wafer quality, and amplitude of a pattern within an image of the target.
5. A method as claimed in claim 3 or 4, wherein the non-position parameter distribution has been measured using a different apparatus as used to measure the at least one position distribution.
6. A method as claimed in claim 3 or 4, wherein the non-position parameter distribution has been measured using the same apparatus as used to measure the at least one position distribution.
7. A method as claimed in any of claims 3 to 6, wherein the at least one non-position parameter distribution comprise a plurality of non-position parameter distributions, each relating to a different measurement setting.
8. A method as claimed in any preceding claim, wherein said method comprises determining separate weighting factors for each of said parameter distributions.
9. A method as claimed in any preceding claim, comprising determining a single position value from said combined parameter distribution.
10. A method as claimed in any preceding claim, wherein said at least two parameter distributions are obtained from a single measurement acquisition, at least per target.
11. A method as claimed in claim 10, wherein the single measurement acquisition comprises a pixelated image obtained from radiation scattered by the target, and each parameter distribution comprises a per-pixel or per-group-of-pixels parameter distribution over at least part of said target.
12. A method as claimed in any preceding claim, wherein the measurement data relates to measurement of a plurality of targets and comprises respective sets of said at least two parameter distributions for each of the plurality of targets; and the method comprises determining an averaged weighting factor and corresponding weighted position distribution for said plurality of targets.
13. A method as claimed in any preceding claim, wherein the variation metric comprises minimizing variation within the target and/or with respect to a nominal target.
14. A method as claimed in any preceding claim, wherein the variation metric comprises minimizing variance within the target and or with respect to a nominal target.
15. A method as claimed in any preceding claim, wherein said weighting factor for at least one of said at least two parameter distributions is dependent on a position within the target and or within an image of the target.
16. A method as claimed in any preceding claim, comprising determining at least one performance indicator relating to the target formation from said weighted position distribution.
17. A method as claimed in claim 16, using said at least one performance indicator to monitor and/or control a lithographic process or non-lithographic process to form further targets on a substrate.
18. A method as claimed in any preceding claim, wherein said measurement data comprises only pre-exposure measurement data.
19. A computer program comprising program instructions operable to perform the method of any preceding claim, when run on a suitable apparatus.
20. A non- transient computer program carrier comprising the computer program of claim 19.
21. A processing arrangement comprising : the non-transient computer program carrier of claim 20; and a processor operable to run said computer program.
22. A metrology device comprising the processing arrangement of claim 21.
23. A lithographic apparatus comprises the metrology device of claim 22.
PCT/EP2021/062487 2020-06-10 2021-05-11 Metrology method, metrology apparatus and lithographic apparatus WO2021249711A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP20179319 2020-06-10
EP20179319.7 2020-06-10

Publications (1)

Publication Number Publication Date
WO2021249711A1 true WO2021249711A1 (en) 2021-12-16

Family

ID=71092291

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2021/062487 WO2021249711A1 (en) 2020-06-10 2021-05-11 Metrology method, metrology apparatus and lithographic apparatus

Country Status (2)

Country Link
TW (1) TWI768942B (en)
WO (1) WO2021249711A1 (en)

Citations (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060033921A1 (en) 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2009078708A1 (en) 2007-12-17 2009-06-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
WO2009106279A1 (en) 2008-02-29 2009-09-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, and device manufacturing method
US20100201963A1 (en) 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US20110027704A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells
US20110043791A1 (en) 2009-08-24 2011-02-24 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic Apparatus, Device Manufacturing Method and Substrate
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20120123581A1 (en) 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20130258310A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
US20130271740A1 (en) 2012-04-16 2013-10-17 Asml Netherlands B.V. Lithographic Apparatus, Substrate and Device Manufacturing Method
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
WO2018095705A1 (en) * 2016-11-23 2018-05-31 Asml Netherlands B.V. Metrology using a plurality of metrology target measurement recipes
US20180348654A1 (en) * 2015-07-13 2018-12-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20190094721A1 (en) 2017-09-28 2019-03-28 Asml Netherlands B.V. Lithographic method
WO2020057900A1 (en) 2018-09-19 2020-03-26 Asml Netherlands B.V. Metrology sensor for position metrology

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016034428A2 (en) * 2014-09-01 2016-03-10 Asml Netherlands B.V. Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060033921A1 (en) 2004-08-16 2006-02-16 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060066855A1 (en) 2004-08-16 2006-03-30 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2009078708A1 (en) 2007-12-17 2009-06-25 Asml Netherlands B.V. Diffraction based overlay metrology tool and method
WO2009106279A1 (en) 2008-02-29 2009-09-03 Asml Netherlands B.V. Metrology method and apparatus, lithographic apparatus, and device manufacturing method
US20110102753A1 (en) 2008-04-21 2011-05-05 Asml Netherlands B.V. Apparatus and Method of Measuring a Property of a Substrate
US20100201963A1 (en) 2009-02-11 2010-08-12 Asml Netherlands B.V. Inspection Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Inspection Method
US20110027704A1 (en) 2009-07-31 2011-02-03 Asml Netherlands B.V. Methods and Scatterometers, Lithographic Systems, and Lithographic Processing Cells
US20110043791A1 (en) 2009-08-24 2011-02-24 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic Apparatus, Device Manufacturing Method and Substrate
US20120044470A1 (en) 2010-08-18 2012-02-23 Asml Netherlands B.V. Substrate for Use in Metrology, Metrology Method and Device Manufacturing Method
US20120123581A1 (en) 2010-11-12 2012-05-17 Asml Netherlands B.V. Metrology Method and Inspection Apparatus, Lithographic System and Device Manufacturing Method
US20130258310A1 (en) 2012-03-27 2013-10-03 Asml Netherlands B.V. Metrology Method and Apparatus, Lithographic System and Device Manufacturing Method
US20130271740A1 (en) 2012-04-16 2013-10-17 Asml Netherlands B.V. Lithographic Apparatus, Substrate and Device Manufacturing Method
WO2013178422A1 (en) 2012-05-29 2013-12-05 Asml Netherlands B.V. Metrology method and apparatus, substrate, lithographic system and device manufacturing method
US20180348654A1 (en) * 2015-07-13 2018-12-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2018095705A1 (en) * 2016-11-23 2018-05-31 Asml Netherlands B.V. Metrology using a plurality of metrology target measurement recipes
US20190094721A1 (en) 2017-09-28 2019-03-28 Asml Netherlands B.V. Lithographic method
WO2020057900A1 (en) 2018-09-19 2020-03-26 Asml Netherlands B.V. Metrology sensor for position metrology

Also Published As

Publication number Publication date
TWI768942B (en) 2022-06-21
TW202202948A (en) 2022-01-16

Similar Documents

Publication Publication Date Title
TWI588622B (en) Method of measuring a property of a target structure, inspection apparatus, lithographic system and device manufacturing method
US20210247700A1 (en) Method for controlling a manufacturing apparatus and associated apparatuses
WO2021001102A1 (en) Metrology method and associated metrology and lithographic apparatuses
US10444635B2 (en) Lithographic method and apparatus
US20220057718A1 (en) Metrology sensor, illumination system and method of generating measurement illumination with a configurable illumination spot diameter
TWI817314B (en) Methods for measuring a parameter of interest from a target, computer program, non-transient computer program carrier, processing apparatuses, metrology devices, and lithographic apparatuses
US20230259042A1 (en) Metrology method and associated metrology and lithographic apparatuses
US20220397832A1 (en) Metrology method and lithographic apparatuses
WO2022199958A1 (en) Alignment method and associated alignment and lithographic apparatuses
TWI768942B (en) Metrology method, metrology apparatus and lithographic apparatus
US20230418168A1 (en) Metrology system and lithographic system
US20240012339A1 (en) Metrology method for measuring an etched trench and associated metrology apparatus
EP4167031A1 (en) Method of determining a measurement recipe in a metrology method
EP4303658A1 (en) Method of correction metrology signal data
EP4155822A1 (en) Metrology method and system and lithographic system
WO2023012338A1 (en) Metrology target, patterning device and metrology method
WO2023036521A1 (en) Metrology method and associated metrology and lithographic apparatuses
NL2024766A (en) Alignment method and associated alignment and lithographic apparatuses
NL2024142A (en) Alignment method and associated alignment and lithographic apparatuses

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 21725171

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 21725171

Country of ref document: EP

Kind code of ref document: A1