JP4722244B2 - 所定のフォトリソグラフィ工程に従って基板を加工する装置 - Google Patents

所定のフォトリソグラフィ工程に従って基板を加工する装置 Download PDF

Info

Publication number
JP4722244B2
JP4722244B2 JP20055899A JP20055899A JP4722244B2 JP 4722244 B2 JP4722244 B2 JP 4722244B2 JP 20055899 A JP20055899 A JP 20055899A JP 20055899 A JP20055899 A JP 20055899A JP 4722244 B2 JP4722244 B2 JP 4722244B2
Authority
JP
Japan
Prior art keywords
substrate
station
monitoring
light
optical
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP20055899A
Other languages
English (en)
Other versions
JP2000114166A (ja
Inventor
ジオラ・ディッション
モシュ・フィナロフ
ヨエル・コーエン
ズヴィ・ニレル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nova Ltd
Original Assignee
Nova Measuring Instruments Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from IL12533798A external-priority patent/IL125337A0/xx
Application filed by Nova Measuring Instruments Ltd filed Critical Nova Measuring Instruments Ltd
Publication of JP2000114166A publication Critical patent/JP2000114166A/ja
Application granted granted Critical
Publication of JP4722244B2 publication Critical patent/JP4722244B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • G03F7/3028Imagewise removal using liquid means from a wafer supported on a rotating chuck characterised by means for on-wafer monitoring of the processing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70525Controlling normal operating mode, e.g. matching different apparatus, remote control or prediction of failure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70675Latent image, i.e. measuring the image of the exposed resist prior to development
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70991Connection with other apparatus, e.g. multiple exposure stations, particular arrangement of exposure apparatus and pre-exposure and/or post-exposure apparatus; Shared apparatus, e.g. having shared radiation source, shared mask or workpiece stage, shared base-plate; Utilities, e.g. cable, pipe or wireless arrangements for data, power, fluids or vacuum
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8854Grading and classifying of flaws
    • G01N2021/8867Grading and classifying of flaws using sequentially two or more inspection runs, e.g. coarse and fine, or detecting then analysing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects

Description

【0001】
【発明の属する技術分野】
本発明は、基板をフォトリソグラフィ工程により加工するのに特に有用な検査装置及び検査方法に関する。本発明は、半導体の製造に特に有用であり、このため、この適用例に関して以下に説明する。
【0002】
【従来の技術】
半導体の主たる製造方法は、次の3つの連続的な主要ステップ又は工程を含むフォトリソグラフィ工程である。すなわち:
(a)ウェハにフォトレジスト材料(PR)を被覆するステップ;
(b)PR上にマスクの潜像を形成するため、所定のパターンにてマスクを通じてPRを露光するステップ;
(c)ウェハ上にマスクの像を形成し得るように露光したPRを現像するステップである。
【0003】
これらステップを満足し得るように行うためには、その工程を正確に監視し得るように多数の測定及び検査ステップを必要とする。
一般的に云って、フォトリソグラフィ工程を行う前に、1つ以上の層を蒸着させるためにウェハを作製する。フォトリソグラフィ工程が完了した後、ウェハの最上方層をエッチング処理する。次に、上述の手順を再度、開始させるため新たな層を蒸着させる。この反復的な方法にて、多層の半導体ウェハが製造される。
【0004】
図1には、半導体製造装置(Fab)におけるフォトリソグラフィ工程のフォトクラスタ(photocluster)ツールの典型的な配置状態が概略図で示してある。フォトクラスタ(又はリンク)は、フォトトラック5と、露光ツール8という2つの主要な部分から成っている。このフォトトラックは、カセット装填ステーション6aと、カセット荷おろしステーション10aを有する現像機トラック10とを備える塗布機トラック6を含んでいる。これと代替的に、同一のステーション(図示せず)にて塗布機と現像液の双方の機能を組み合わせ且つ実現してもよい。ウェハWは、カセットステーション6a内に配置される。ここから、ウェハは、ロボット2によってコーティングステップ(a)が開始する箇所である、塗布機トラック6に装填される。ステップ(a)の後、ウェハは、ロボット2によって露光ステップ(b)が行われる露光ツール8まで搬送される。この場合、露光ツール内に配置された光学的手段を使用して、マスク上のパターンを既にウェハ上にある構造体と整合させる(位置決め)。次に、ウェハWをマスクを通じて電磁放射線で露光する。露光後、ロボット2はウェハをウェハ上のミクロ寸法のレリーフ像が現像される(ステップ)現像液タンク10に搬送する。次に、ウェハWをロボット2によってカセットステーション10aに搬送する。ステップ(a)乃至(c)は、明細書に記載しない、幾つかの異なる加熱及びその他の補助的なステップも含んでいる。
【0005】
図1に図示するように、塗布機トラック6、露光ツール8、現像機トラック10は、非常に敏感な工程である、フォトリソ工程中の工程変化及び汚染の可能性を最小にするため互いに密に接続されている。利用可能な幾つかの市販の露光ツールは、日本、京都の大日本スクリーン製造株式会社(Dainippon Screen MFG. Co. Ltd.)のシリーズ(MA−1000、200、5500)、アリゾナ州、テンペのエーエスエム・リソグラフィ(ASM Lithography)のPAS−5500シリーズ、米国のキャノン・ユーエスエー・インコーポレーテッド(Canon USA Inc.)のシリーズFPA3000、4000、及びコネチカット州、ウィルトンのエスブイジーエル(SVGL)のマイクロスキャン(Microscan)である。幾つかの利用可能なフォトトラックとして、カリフォルニア州、サン・ジョセのエスブイジーテー(SVGT)のシリーズ90s及び200である。ミネソタ州、チェスカのエフエスアイ・インターナショナル(FSI International)のポラリス(Polaris)及び日本、京都の大日本スクリーン製造株式会社のフォトトラックD−スピンシリーズ(60A/80A、60B、200)、米国のフェアチャイルド・テクノロジーズ・インコーポレーテッド(Fairchild Technologies Inc.)のファルコン(Falcon)、及び日本の東京電気研究所(Tokyo Electric Laboratories)(TEL)が挙げられる。
【0006】
【発明が解決しようとする課題】
かかる複雑で且つ微妙な製造工程において、各ステップの間又はステップの系統的な組み合わせから種々の問題点、不良又は欠陥が生じ又は発生する可能性のあることは明らかである。品質の基準が極めて厳しいため、適宜に発見されなかった任意の問題点が単一のウェハ又はそのロッド全体を不合格品とする可能性がある。
【0007】
工程が完了し、ウェハがカセットステーション10bに達する前に、測定又は検査のために1つのウェハをフォトクラスタから取り出すことはできない。その結果、加工したウェハを測定することに基づく全てのプロセス制御は、プロセス(工程)の作動不良を「リアルタイム」で検出することはできない。このため、一体的な監視に基づくアプローチ、すなわち、専用の関連する製造装置内に物理的に設置され、又はその製造装置に取り付けられた監視装置に基づくアプローチ、及び、そのウェハ取り扱い装置を使用する方法が緊急に必要とされている。かかる一体形の監視は、各ステップを、密に、迅速に応答可能に且つ正確に監視することを可能にし、また、半導体製造工程の全体を一般的に且つ特にフォトリソグラフィに対して完全に且つ一体形のプロセス制御を可能にする。
【0008】
しかしながら、従来技術を検討すると、我々が知る限り、かかる監視及び制御方法及び/又は装置は全く存在していない。むしろ、現在、「独立型」の監視装置のみが利用可能であると思われる。
【0009】
「独立型」の監視装置は、製造ラインの外側に設置され、ウェハが製造工程の装置と別個の取り扱い装置を使用して製造装置から監視装置に搬送される。
一般に、半導体の製造工程中、所定の時点にて、3つの異なる監視及び制御工程が行われる。これらには、(a)オーバレイミスレジストレーション、(b)検査、(c)限界寸法(CD)の測定の監視である。これら工程の各々について以下に簡単に説明する。
【0010】
(a)オーバレイ・レジストレーションの制御
オーバレイレジストレーション(以下「オーバレイ」と称する)は、マスクのパターンが既にウェハの最上方層に存在するパターンの特徴部分に対して整合される、露光ツール8内で行われる工程である。ウェハの特徴部分の縮小する寸法は、オーバレイの精度に対する要求を増す;
オーバレイの誤差又はミスレジストレーション(以下に「オーバレイ誤差」と称する)は、2つの異なるマスクのレベルにより形成された特徴部分が相対的にミスアライメント状態となるものとして定義される。この誤差は、露光ツールからの別個の計測用ツールにより決定される;
図2には、ウェハにおける典型的なオーバレイ誤差の決定箇所が示してある。この箇所は、1つがウェハ11の最上方の特徴層の上にあり、第二のものが、新たなPR層16の上に形成される、2群のターゲット線から成っている。ターゲット線16は、ターゲット線11と同様であるが、そのターゲット線11よりも小さい。このため、これらのターゲット線は、ターゲット線11の中心に配置することができる。このため、これらのオーバレイ・ターゲットは、「バー内のバー」と称される。図3は、同一のオーバレイ誤差決定箇所の平面図である。参照番号11a、16aで示すようなこれらターゲットの線は、典型的に、幅2μm、長さ10乃至15μmである。
【0011】
一般的な方法によれば、オーバレイ誤差とは、ターゲット線11の中心がx軸及びy軸の双方にて線16に対して相対的に変位する状態と定義される。例えば、図3において、線11a、16a、11b、16bの間の変位はそれぞれ参照番号14a、14bとして表示してある。このように、x軸におけるオーバレイ誤差は、線14a、14bの長さの差である。
【0012】
図4には、フォトクラスタツール、及び測定装置と分析ステーションとから成る「独立型」のオーバレイ計測装置の一般的な形態が図示されている。検査すべきウェハは、フォトリソグラフィ工程ラインから取り出されて、測定ツール内にて取り扱われることに留意すべきである。後者は、利用可能なオーバレイ技術によっては、(i)「リアルタイム」の閉ループ制御ができないこと、(ii)ウェハ及びウェハ内の全ての層と同様に全てのウェハがオーバレイの誤差について測定されるとは限らないこと、(iii)追加的な工程ステップが必要とされること、(iv)「独立型」のツールが必要されることとに起因するものである。半導体製造装置Fab、特に、最新の製造工程において、「独立型」のオーバレイの測定中、そのロットの加工は中断することを認識すべきである。この中断は、数時間も続くことさえもある。
【0013】
測定結果は、分析ステーションに送られ、フィードバック情報がフォトクラスタツール内のステッパに戻される。
米国特許第5,438,413号には、大きい開口数を有する干渉顕微鏡を使用してオーバレイ誤差を測定する工程及び「独立型」の装置が開示されている。一連の干渉像が異なる垂直面にあり、その人工的な像が処理され、その像の明るさは、相互のコヒーレンスの複合規模又は位相の何れかに比例している。次に、ターゲットの属性位置に関する合成像間の差は、オーバレイ誤差を検出する手段として使用される。当該特許の譲受人である、カリフォルニア州のKLA−テンコア(Tencor)は、「独立型」の機械をKLA−5200という商品名で販売している。この装置において、測定ステーション及び分析ステーションは、共に組み合わされている。
【0014】
米国特許第5,109,430号には、別のオーバレイ計測装置が開示されている。ウェハから得られたパターンの空間的にフィルターをかけられた像を同一パターンの記憶像と比較することにより、オーバレイ誤差が決定される。当該発明の譲受人である、マサチューセッツ州、コンコードのシュランバー(Schlumberger)がATEは、ミクロン以下のオーバレイ用として「独立型」の機械をIVS−120という商品名で販売している。その他の「独立型」のオーバレイ計測装置は、英国、ヨークのバイオ−ラッド・マイクロメジャーメンツ(BIO−RAD micromeasurements)がキュエスタ(Questar)Q7という商品名で製造し、また、カリフォルニア州、サニーヴェール(Sunnyvale)のナノメトリックス(Nanometrics)も製造しているCA(メトラ(Metra)シリーズ)がある。
【0015】
オーバレイ誤差を決定する上記の方法及び計測装置の全ては、次のものを含む幾つかの欠点がある。
1)これらは、「独立型」の装置である、すなわち、フォトリソグラフィ工程とオフラインにて作動する。このため、これらは製造工程が行われている間ではなく、すなわち、ウェハバッチの製造が完了する前に、オーバレイ誤差を工程後に表示する。場合によっては、これは、数時間以上、かかることもある;
2)工程後の応答のため、ウェハ及び/又はウェハロットに無駄が生じる結果となる。その原因は、一方にて、フォトリソグラフィ工程を連続的に作動させること、他方にて、ウェハをオフラインで送った後、誤差に対する応答が得られるまでに時間的な遅れがあるためである;
3)通常、オーバレイ誤差の主な発生源の1つは、ロットになるウェハに対する最初のマスクのアライメントである。誤差は、各ロット毎に異なるため、かかる誤差の発生源を修正することはできない。この理由のため、「独立型」のツールを使用して得ることのできない第一のウェハの時間フレーム内にフィードバックを有することが重要である;
4)汚染の制限、及び更なる操作及び測定のために必要とされる追加的で不経済的な時間のため、オーバレイの標本採取頻度は制限される;
5)工程後のオーバレイの検出、及び長時間に亙る応答時間並びに(3)にて述べた標本採取頻度の減少の結果、フォトリソグラフィ工程の処理能力が低下する;
6)こうした独立的な装置は、半導体製造装置Fab内にて更なる不経済的なスペース及び労力を必要とする;
7)マイクロリソグラフィツールは、半導体装置工程における「ボトルネック」(bottle-neck)であり、これらは、半導体製造装置FABにおいて最も高価なツールである。遅れてオフラインで測定するため、その一部分しか利用し得ないことは、Fab内における装置全体の効率を著しく低下させる。
【0016】
(b)検査
半導体ウェハの製造中の検査は、次のことに起因する欠陥を調査するものと定義される;
(a)汚染物質(塵埃、粒子、化学薬剤、残留物等)及び/又は、
(b)PR、コーティング、加熱、現像、取り扱い等に関係する工程に起因する欠陥。
【0017】
リソグラフィ工程のみに起因する欠陥を検出するため、図5に示すように、現像ステップの後、特定の検査ステップが行われる。これは、通常、「現像後の検査(ADI)」又は「現像後の点検(PDCK)」と称されている。本発明は、主として、ADIに関するものである。
【0018】
一般に、検査中に得られたデータを分析し、欠陥レベルが増大していると検出されたならば、技術レベル(技術担当者)又は製造ライン(製造ライン担当者)に警報が送られる。再度、オーバレイ法の場合と同様に、現在の技術によれば、ADIは、製造ライン外に配置される、すなわち、検査すべきウェハは、製造工程外に取り出され、別個の検査ステーションにて取り扱われることも留意すべきである。Fab内にて、特に、最新の製造工程において、「独立型」の検査の間、そのロットの加工は中断されることが一般的な状況であることに留意すべきである。この中断は、数時間に及ぶ場合もある。
【0019】
今日、ADI工程の大多数は、人間が行う非自動の視覚的検査である。特に、現時点にて市販の一体形の自動ADI装置は存在していない。
ADIは、次のことを目的としている:
(i)粗検査−ウェハを手で取り扱い、大きい欠陥について肉眼で視覚的に検査する。これら欠陥は、例えば、コーティング中のスピン(回転)不良、現像不良、スカム、PRへの皮膜の無付着(浮き上がり)、及び/又はエッヂ・ビードである。この方法は、通常、10μm以上の大きさの欠陥しか検出できない;
(ii)精密検査−顕微鏡を(20−50X倍率)を使用してウェハの所定の箇所又はターゲットを視覚的に検査する;
これらの欠陥は、例えば、導電線間の短絡、及びステッパの焦点不良である。
【0020】
人間が行うADIには、幾つかの不利益な点がある;
(a)反復的で且つ複雑な回路内にてパターンの相違を探知することは面倒でであり、また、非常な集中力を必要とする;
(b)各検査者毎に、また、別の検査者の間にて結果が一定でない。ウェハの特徴(機能、凹凸)部分が連続的に縮小されるためにウェハの特徴部分がより微小になるとき、検査の重要性が増すことを考えるならば、このことは決定的である;
(c)非反復的な結果のため、統計分析を行い且つ工程の質を測定するための均一な手段が存在しない;
(d)労力に伴う追加的なコスト;
(e)欠陥を特定するとき及び1つの特定の欠陥が特定されたときに行われるべき具体的な行為の何れにおいても客観的な検査が行われないこと;
(f)特に、処理能力が変動することは、標本採取の頻度を決定することを困難とする;
(g)手動の検査もオフラインにて行われ、このため、「独立型」の装置のものと全く同一の不利益な点がある;
この説明を終了させるにあたり、欠陥を検出する2つの自動的な光学的検出(AOI)法が既知であることに留意しなければならないが、それらが高価格で且つ低処理能力であることは、実際の製造にてそれら方法を使用することを制限することになる;
(i)絶対的方法−ウェハを所定の角度にて照射し(「グレージング(grazing)」)、ウェハ面から反射した信号を集める。閾値(絶対値)以上の全ての信号は、欠陥と判断される。この方法によれば、0.1μm以上の大きさの粒子を検出することが可能である;
(ii)比較方法−これらは、「ダイ対ダイ」及び「ダイ対データベース」に区分される。ウェハを写真撮影し、次に、1つのダイ中の画素を隣接するダイ内の相関的画素、又はデータベースと自動的に比較する。通常、その比較結果は、欠陥がない限り、一定の閾値に一致しなければならない。この閾値は、ウェハ内の色合いのグレイレベル及び/又はその色合いの特定の位置を示す関数とすることができる;
方法(ii)は、方法(i)の欠点を解決し、通常、塵埃の粒子(>0.1μm)のような欠陥、導電ラインの短絡、特徴部分の損失、化学薬剤及びPRの残留物等のような欠陥を検出する。これら方法が検出可能である欠陥レベルは、業界の設計基準(例えば、0.1μm)に従って決定される;
利用可能な検査ツールの何れも各ウェハの標本を採取せず、1つのロット内の幾つかのウェハのみを標本採取する。更に、かかる検査装置の欠如により、リソグラフィ工程に亙って自動的に且つ密にフィードバック制御、すなわち閉ループ制御することを選択できなくする。このように、かかる方法及び装置の欠如によりリソグラフィ工程付近にて工程を制御し又はそのプロセス制御を改善しようとする真剣な試みが阻止され又は少なくとも限界的な障害に出会う。
【0021】
限界寸法(CD)の制御
第三の監視及び制御工程は、1つのウェハにおける限界位置の特徴的寸法、例えば、ウェハにおける典型的な線、スペース及び線/スペースの対を測定することを含む限界寸法(CD)の制御である。CD計測ツールは、CD走査電子顕微鏡(CD SEM)、及び原子間力顕微鏡(CDAFM)という2つの主要な技術に基づいている。CD SEMに基づく市販のツールは、カリフォルニア州、サンタバーバラの、アプライドマテリアルス(Applied Materials)のシリーズ7830XX、及びAFMに基づく米国のヴェイコ(VEECO)のデクタック(DEKTAK)SXM−320である。
【0022】
図6には、製造工程に対する「独立型」のCD計測ツールの一般的な形態が図示されている。典型的に、CD測定ステップは、現像ステップの後及び/又はエッチング処理の後に行われる。CD計測ツールは、製造ライン外に配置される、すなわち、測定すべきウェハは製造工程外に取り出され、別個のCDステーションに渡される。「独立型」のCD測定中、そのロットの加工が停止されることは、Fab、特に最新の製造工程における一般的な状況であることに留意すべきである。この中断は数時間に及ぶこともある。
【0023】
一般に、CD測定中に得られたデータを分析し、次に、ある型式のフィードバック(又は幅が所定の範囲外の場合、警報)が製造ライン中の該当する装置に送られる。
【0024】
CDSEM及びCDAFMは、光学顕微鏡の分解能限界以下の線/スペースの幅についてCDを測定することを許容する。しかしながら、可能であるならば、光学的CD(OCD)測定は、光学的オーバレイ測定装置と組み合わせることが可能であるため、極めて有効である。最近、(CP Ausschnitt、M.E、Lagus(1998)の木のために森林を見ると比喩される;CD制御の新たなアプローチ(A New Approach for CD Control)では、SPIE、vol.3332、212−220)、光学的分解能より劣るミクロン以下の設計基準に対してさえもOCDを使用することが提案されている。この考えは、光学的装置が多数の線を同時に且つ迅速に測定することを許容するというものである。低い精度にて多数の測定値を統計的に処理することは、反復性又は偏差傾向といった製造上の重要なデータを抽出することを可能にする。
【0025】
オーバレイ計測法及び検査ツールに関して上述したように、すべてのCD計測装置は、「独立型」のツールであるため、これらツールには上述したものと同一の欠点があることは明らかである。更に、特に、CD測定の場合、例えば、線の幅のような結果は、測定値を任意の特定の原因に相関させる可能性を制限することになる。
【0026】
リソグラフィのプロセス制御方法
オーバレイ及びCD監視は、プロセス制御を為し得るように種々のレベルにて行うことができる。第一の一般的なレベルは、「ロット対ロットの制御」である。この方法において、各ロットは次のロットがこの工程内を運転する基礎となる。その前のロットの結果を検討し且つ補正を為すことにより、僅かな補正が可能である。しかしながら、全部のロットが失われる可能性があるため、危険性が多少、増大する。
【0027】
第二の制御レベルは、「ウェハを前方に送る」である。この方法において、パイロットウェハが、コーティング−露光−現像ステップを通じて送られ、推奨された露光量にて露光され、次に、CD測定箇所に送られる。満足し得る結果であれば、そのロットの条件を設定する基礎となる一方、満足し得ない結果であれば、別のウェハの露光条件が補正されることになる。「ウェハを前方に送る」制御の全ての順序は、何時間もかかり、その間製造装置及び製造ロットの重要な利用時間が失われる可能性がある。
【0028】
ある場合には、より高度の制御レベルが必要とされる。この制御は、露光マトリックス又は収束マトリックスを運転させ且つその結果を分析することにより、完全な工程範囲内にて行うことができる。しかしながら、これは、最も時間を消費する方法である。
【0029】
「独立型」のオーバレイ、及びOCDツールにて行ったときのこれら方法の欠点は、時間及び労力を消費し、通常、特定の原因に直接応答せず、又は、何ら問題となる原因を明らかにし得ないことである。しかしながら、これら方法は、長時間の傾向チャートと比較したとき、「応答時間」をより短くする。問題に対して実際のフィードバックが可能であるにもかかわらず、工程ステップを一体的に監視することが極めて必要である。オンライン測定は、補正動作に直接つながる正しい調整により特定の原因に直接、応答することができる。
【0030】
プロセス制御の「独立型」の装置に関するこれら問題点は、半導体業界における将来の技術開発を考慮したとき、著しく悪化することを強調すべきである。ウェハの将来の限界寸法は縮小するため、又は、新規且つ不安定な工程(例えば、DUVレジスト、300mm直径ウェハへの移行及びこれに伴うウェハ取り扱い上の制限)のため、半導体製造のための、一体形の監視及びプロセス制御の必要性は極めて重要となる。この理由のため、ロング・ターム・トレンド・チャートを使用する従来の工程方法、すなわち「オフライン方法」の採用は、益々、排除されることになる。
【0031】
上述したように、一体形の監視及びプロセス制御装置は、上述した問題点に対する妥当な解決策である。しかしながら、かかる装置は幾つかの面から検討し、現実的で、導入可能であるためには次のような特定の条件を満たさなければならない。
【0032】
(a)スペースが小さいこと−かかる装置は、フォトクラスタ内に物理的に設置し得るように可能な限り小さいスペースを有するものでなければならない(実際上、ウェハの寸法よりは小さくない);
(b)ウェハが静止していること−ウェハは、ウェハの特別の取り扱いを不要とし得るように検査及び測定中、静止していなければならない;
(c)処理能力が大きいこと−装置は、フォトクラスタ処理量を減少させないように大きい処理能力を有する必要がある;
(d)清浄さ−測定装置は、フォトクラスタを何ら妨害せず又は汚染の虞れが全くないこと;
(e)メンテナンスのためのアクセス可能性−測定装置を除く装置の部品(例えば、制御電子機器、光源)は、特に、フォトクラスタを何ら妨害することなく、容易に且つ迅速にメンテナンスを為し得るようにフォトクラスタの外側になければならない;
(f)経済性−一体形ツールのコストは、フォトトラックのコストの極く一部分でなければならない。
【0033】
「独立型」の監視及びプロセス制御装置は、これら厳格な必要条件に適合せず、当然に、一体形の装置として使用できないと考えられる。更に、かかる一体形装置は、市場で入手できるものは存在しない。このため、上記の面にて有利な点を有する新規な監視及びプロセス制御装置が必要とされている。
【0034】
本発明の1つの目的は、例えば、半導体ウェハのような基板をフォトリソ工程により加工する場合に特に重要である、上述した点の1つ以上に関して有利な点を有する新規な装置及び方法を提供することである。
【0035】
【課題を解決するための手段】
本発明の1つの形態によれば、基板が内部に配置される装填ステーションと、基板がフォトレジスト材料で被覆されるコーティングステーションと、フォトレジストコーティング上にマスクの潜像を形成し得るように所定のパターンを有するマスクを通じてフォトレジストコーティングが露光される露光ステーションと、潜像が現像される現像ステーションと、基板が荷おろしされる荷おろしステーションとを備える、所定のフォトリソグラフィ工程に従って基板を加工する装置であって、荷おろしステーションにて荷おろしされる前に、上記フォトグラフィ工程の所定のパラメータに関して基板を監視する監視ステーションを更に備えることを特徴とする装置が提供される。
【0036】
以下に、より具体的に説明するように、現像ステーションと荷おろしステーションとの間に設けられた光学検査装置が次のものの1つ以上を検出することができる。すなわち、(a)オーバレイの位置決め(レジストレーション)誤差、(b)フォトレジスト層の欠陥、及び/又は(c)限界寸法上の誤差である。
【0037】
また、上述した好適な実施の形態における更なる特徴によれば、検査ステーションは、検査すべき基板を受け取り得るように現像ステーションと荷おろしステーションとの間に配置された支持板と、現像ステーションと荷おろしステーションとの間に設けられ、支持板と整合され且つ該支持板に面する透明な窓を有する密封した囲い物と、該透明な窓を介して支持板の上の基板を検査し得るように密封した囲い物内に設けられた光学的検査装置と、該光学的検査装置を介して基板を照射する光源とを備えている。
【0038】
上述した好適な実施の形態において、光源は、密封した囲い物の外側にあり、該密封した囲い物内の光学的装置に供給される光ビームを発生させる。
更に、密封した囲い物内の光学検査装置は、光学画像装置を備えており、検査ステーションは、該密封した囲い物の外側に設けられたデジタル画像処理装置を更に備えており、該画像処理装置は、密封した囲い物内に伸長する導電体により光学画像装置に接続されている。
【0039】
該検査ステーションは、密封した囲い物の外側に配置された中央処理装置を更に備えており、該中央処理装置は、密封した囲い物内に伸長する導電体を介してシステムを制御すべく光学的検査装置に接続されている。
【0040】
上述した好適な実施の形態における更なる別の特徴によれば、密封した囲い物内の光学的検査装置は、光学的検査装置を支持板の上のパターン化した基板に対してアライメントさせ又は粗検査のための低倍率チャネルと、基板が現像ステーションを通過した後で荷おろしステーションに達する前に、フォトリソグラフィ工程の所定のパターンを測定する高倍率チャネルすなわち高分解能チャネルとを含んでいる。この低倍率チャネル及び高分解能チャネルは、互いに対して固定されている。
【0041】
また、本発明は、上述した点に関して有利な点を有する所定のフォトリソグラフィ工程に従って、基板を加工する新規な方法を提供するものである。
以下により詳細に説明するように、本発明は、以下に述べる1つ以上を提供することを可能にする。
【0042】
1)オーバレイ計測及び/又は検査、並びに/又はOCD測定用の一体形装置である。かかる装置は、高精度で且つ高処理能力を有し、フォトクラスタツールを現在のスペース内で物理的に組み合わせることができる、すなわち、この装置は製造フロアにて更なるスペースが全く不要である。1つのツール内で3つの異なる機能を組み合わせることは、それ自体有利な点がある。すなわち、()時間をより良く活用することができる。各検査の場合、オーバレイ及びCD測定は、それ自体の標本採取周期を有し、各ウェハに対して同一である必要はない。このように、かかる装置は、その利用時間が3つの機能の間で共に利用される間に連続的に作動させることができる。(ii)()からの直接的な結果は、装置が今日一般的であるように(オーバレイ測定用に1つ、検査用に1つ、CD用に1つ及びリソグラフィ工程の開始用に1つ)、製造工程の周りを同時に運転するロットの数を著しく少なくすることができることである。(iii)かかる装置は、顧客指向型である、すなわち、顧客のニーズ及びニーズの変化に正確に対応することができる。(iv)この装置は、1つの特定の問題専用とすることができる。()この装置は、比較的低価格とすることができる;
2)次のウェハの加工と平行して測定/検査を行うことにより、フォトクラスタの処理能力を低下させない、(1)における装置;
3)必要であるならば、優れた性能によりオーバレイ計測を行い又は検査若しくはOCD測定を行うことのできる、(1)におけるモジュラー式装置;
4)処理装置と組み合わされ、このため、オーバレイ、検査及びOCD測定値に基づいて監視及びプロセス制御を行うことを可能にする、(1)における装置;
5)人間による視覚的な検査よりも遥かに正確で迅速且つ反復可能である一体形の自動的な検査装置;
6)フォトリソグラフィ製造工程でオーバレイ計測及び/又は検査並びに/又はOCD用の一体形の監視及びプロセス制御を行い、一般的な「独立型」の装置と比較して遥かに短い応答時間を可能にする方法;
7)「ウェハを前方に送る」、「ロット対ロット」等といった、一般的なプロセス制御方法を促進し且つそれに必要な時間を著しく短縮する方法;
8)「ウェハ対ウェハ」により新規且つ実際的な監視及びプロセス制御方法。かかる方法は、実際上、「独立型」の装置の現在の状況にて行うことは不可能である。しかしながら、製造工程の処理能力を低下させないこれら新規な一体形の方法によれば、正確で、原因に直接応答する監視及びプロセス制御方法を行うことが可能となる。当然に、特定の環境において、これら新規な方法はオーバレイ計測、検査及びOCD用の別個の高価で且つ「独立型」の装置の必要性を軽減することができる;
9)1つのロットの1つのウェハ又は同一ロットの別のウェハの何れかにおけるオーバレイの誤差、検査及びOCDの双方を決定することのできる方法;
10)一体形で且つ精密な検査、監視及び制御装置。
【0043】
1.この方法は、幾つかの代替的なレベルにて具体化することができる。その1つは、一般的な欠陥をその製造工程の制御装置に知らせることを目的とする。もう1つは、その欠陥の原因となる直接的な理由及び/又は発生する不良を検査することを目的とする。次に、フィードバックはその工程中の任意の所定の関連する点に向けられる。これにより、フィードバック又は閉ループ制御は、製造工程中の単一のステップ(例えば、露光ステップ、露光ステップ後の加熱(PEB))に対して設定し、又はオーバレイ及び/又は限界寸法計測装置のようなその他の計測装置と組み合わされたとき、工程全体に対し設定することができる。
【0044】
かかる方法及び装置は、コスト高の利用時間を節減する可能性があり(例えば、「1つのウェハを前方に送る」といったような方法を短縮することにより)、及び製造工程中に無駄になる試験用ウェハの量を少なくする可能性がある。
【0045】
更に、フォトリソグラフィツールから又はフォトリソグラフィツールまでウェハを更に取り扱うことを不要にし、これにより、利用時間を節減し、且つ更なる汚染及びウェハの破損を防止する。
【0046】
【発明の実施の形態】
図7には、本発明に従った一体形のリソグラフィ監視(ILM)装置を内蔵し得るように改変した、図1に図示した従来のものに対応する装置が概略図で示してある。
【0047】
このように、図7には、所定のフォトリソグラフィ工程に従って、基板、この場合、ウェハWを加工する装置が図示されている。この図示した装置は、図1のカセット装填ステーション6aに対応する装填ステーションLS(カセットは、フォトトラック5上に装填される)と、ウェハがフォトレジスト材料で被覆される、図1のコータトラック6に対応する、コーティングステーションCSと、図1の露光ツール8によって占められ、フォトレジストコーティング上にマスクの潜像を形成し得るように所定のパターンを有するマスクを通じてフォトレジストコーティングが露光される、露光ステーションESと、潜像が現像される、図1の現像液トラック10に対応する現像ステーションDSと、カセットが荷おろしされる、図1のステーション10aに対応する荷おろしステーションUSとを備えている。
【0048】
本発明によれば、図7に図示した装置は、好ましくは現像ステーションDSと荷おろしステーションUSとの間に監視ステーションMSを含むように改変されている。該監視ステーションMSは、図7に参照番号14で全体として図示した光学監視装置により占有されており、該監視装置は、ウェハが現像ステーションDSを通過した後で且つ荷おろしステーションUSに達する前に、フォトリソグラフィ工程の所定のパラメータに対してウェハWを測定し且つ/又は検査するILM(一体形リソグラフィ監視)装置である。
【0049】
以下により具体的に説明するように、ILM装置14は、加工後直ちに、ウェハ現像ステーションDSを通過した後で且つ荷おろしステーションUSに達する前に、次の条件の1つ以上についてウェハWを検査する。すなわち、(1)図2及び図3に関して上述したように、ウェハに対して行われたその前のフォトリソグラフィ工程中ウェハに形成される現像された像に対してそれぞれのフォトリソグラフィ工程中にウェハに形成される現像された像とアライメントするオーバレイレジストレーション誤差、(2)上述したように工程の不良及び塵埃粒子等に起因する基板の欠陥、及び/又は(3)同様に上述したようにそれぞれのフォトリソグラフィ工程中に生じたフォトレジストコーティング工程の現像された像中における限界寸法の誤差についてウェハWを検査する。ILM装置14は、好ましくは上記機能の3つの全てを行うが、ある適用例において、該装置は上記形式の誤差の1つ又は2つのみを検出することができる。
【0050】
フォトクラスタにおけるILM装置14の正確な位置は、例えば、フォトクラスタツールの特定の製造メーカ、フォトトラック内で利用可能なスペースのような特定の条件及び環境並びに半導体製造装置Fabの条件により決まる。
【0051】
図8は、本発明の1つの好適な実施の形態によるILM装置14の側面図である。該ILM装置は、ウェハWを受け取り且つ静止状態に保持する堅固で且つ安定的な支持手段20を備えている。この装置は、又ウェハWをその底部(後部)から保持する真空支持板又は真空ハンドラー(図示せず)とすることもできる。支持板20は、現像ステーションDSと荷おろしステーションUSとの間に配置されることが好ましい。
【0052】
ILM装置14は、支持手段20の上方に配置された測定装置(MU)22を更に備えている。測定装置22及び支持手段20は、任意の適当な方法にて共に堅固に取り付けられている。図8に図示するように、測定装置22は、透明な光学窓部37を有する密封した囲い物21を備えており、該光学窓部は支持板20及び密封した囲い物21内の光学装置と整合され且つ該支持板及び光学装置に面しており、該光学装置は、可動の光学ヘッド24を有して参照番号23で概略図的に示してある。ウェハは、密封した囲い物21の外側にある光源32を介して照射され、密封した囲い物21内に伸長する光ファイバ(図示せず)を介して測定装置22にビームを向ける。以下により具体的に説明するように、可動の光学ヘッド24は、測定装置22が透明な窓部37を介して板20により支持された予め選択したウェハWの上で予め選択した多数の測定の1つを行うことを可能にする。
【0053】
図8に更に図示するように、ILM装置14は、全体として参照番号26で示した制御装置を更に備えており、該制御装置は、密封した囲い物21の外側にあり且つ密封した囲い物21内に伸長する導電体(図示せず)によりMU22に接続されている。制御装置26は、中央処理装置(CPU)28と、それ以外に選択随意的に、画像処理装置(IPU)30と、測定装置22のリアルタイムの動作を制御する電子式制御装置(図示せず)とを備えている。
【0054】
このように、この好適な実施の形態によれば、ILM装置14の設計は次のものを含む幾つかの原則を満たさなければならない。すなわち、(a)寸法が小さいこと、(b)測定中、ウェハを静止状態に保つこと、(c)堅固で且つ安定的な測定装置であること、(d)特に、測定装置22をフォトクラスタ環境から完全に分離することにより、清浄さの制限値が維持されること、すなわち、全ての可動部品は装置22の密封した囲い物21及び外部の光源内に配置されること、(e)測定が高速度(例えば、迅速な走査)であること、(f)例えば、上述した装置の任意の1つを簡単に交換することにより容易に且つ迅速に保守を行い得ることである。また、ILM装置は、製造工程が迂回し、オフライン又は一体的なモードにて同時に作動させるように選択することが可能であることも分かる。
【0055】
図9は、オーバレイレジストレーション誤差を測定する本発明の1つの好適な実施の形態によるILM装置14の概略図である。しかしながら、以下の説明は、欠陥の検査又は、OCD誤差を測定する装置のような本発明の他の好適な実施の形態にも同様に適用可能であることに留意すべきである。該測定装置22は、測定位置、すなわち、現像したPRコーティング36を有するウェハWの上方に示してある。光学ヘッド24は、X−Yステージ38のx軸、y軸に沿い且つ垂直なZ軸に沿って迅速に移動することができる。光学ヘッド24とウェハWとの間には、光学窓部37があり、該光学窓部は、フォトクラスタツールが測定装置22により妨害され又は汚染される可能性を防止する。
【0056】
測定装置22は、その上方に配置されたとき、光学ヘッド24に対する測定位置をシミュレートする較正装置40を更に備えている。該較正装置40は、ターゲット42と、ガラス板44と、ミラー46とから成っている。ターゲット42は、光学系の線像分布関数を決定るすのに適した、ガラス基板の上の金属パターン(例えば、ナイフエッジパターン)のようなコントラストの強い任意のオブジェクトとすることができる。ガラス板44は、光学窓部37と同一の材料及び厚さである。ターゲットは、ウェハWが配置される箇所と同様の対物レンズ76の対物面内に配置される。
【0057】
図10は、オーバレイ計測ツールとして本発明の1つの好適な実施の形態による測定装置22の概略図である。しかしながら、図示した光学的形態は、以下に説明する方法にて、欠陥の検査又はOCD計測のような、本発明のその他の好適な実施の形態にも同様に適用可能である。図示するように、測定装置22は、密封した囲い物21内で2つの代替的なチャネル、すなわち、(a)アライメントチャネルすなわち低倍率チャネル62と、(b)測定チャネルすなわち高倍率チャネル64とから成っている。低倍率チャネル62は、測定すべきオーバレイターゲット(図2、図3)の上方の正確な位置にて光学ヘッドを位置決めすることを目的とする一方、高分解能チャネル64は、オーバレイターゲットの像を形成することを目的としている。この実施の形態おいて、単一の外部の白熱光源32の及び単一面積のCCDカメラ92がその双方のチャネルの機能を果たす。
【0058】
本発明の別の好適な実施の形態において且つ特定の適用例に対し、光源32の後方に1つ又は複数のフィルタ(図示せず)が追加されており、測定すべき特徴部分のコントラストを増す特定の狭小のスペクトル帯域幅を発生させる。
【0059】
低倍率チャネル62は、対物レンズ66と、ビームスプリッタ68と、シャッタ70と、チューブレンズ72と、ビームスプリッタ74とを備えている。チャネル62は、比較的低倍率(例えば、0.3乃至1.0X)を有している。光学ヘッド24(図9)の一部である対物レンズ66は、小さい開口数を有し、広い(例えば、20乃至40mm)視野像(FOV)を形成する。
【0060】
高倍率チャネル64は、可動の光学ヘッド24(図9)の一部分である垂直方向に可動の対物レンズ76と、ビームスプリッタ77と、シャッタ80と、チューブレンズ81と、ビームスプリッタ90と、焦点ターゲット79と、LED照射器91とを備えている。このチャネルは、比較的高倍率(例えば、X20乃至100)を有している。対物レンズ76は、高分解能が必要とされるため大きい開口数を有し、比較的小さい(約100μm)FOVの像を形成する。
【0061】
より高精度が必要とされるならば、図11に図示するように、ウェハの表面を照射する光の実際の入射角度を決定することにより、測定データを補正することが可能である。これを行うための手段は、高倍率チャネル64内にて可動の光学ヘッド24内に取り付けられており、また、LED93と、2つの同一のミラー94a、94bと、2つの同一のレンズ96a、96bと、単一のフォトダイオード又はかかるフォトダイオードのアレーから成る位置センサ(電子式)装置98とを備えている。LED93からの光は、ミラー94aで反射され且つレンズ96aにより、対物レンズ76からの光が収束される位置と同一位置にてウェハ上に収束される。ここから、その光は、レンズ96b及びミラー94bを介して位置センサ装置98に反射して戻される。光線が位置センサ装置98に強く当たる位置は、対物レンズの主光線99と光線97との間の角度βの関数に変換される。この測定した角度βは、測定中に生ずる可能性のある不正確さを補正し得るように、画像処理の後のステップ中に導入される。
【0062】
焦点決めターゲット79(図10)は、ガラス基板上における金属パターンのようなコントラストの強いものである。パターンは、コントラストのある縁部、格子等のような容易に識別可能な任意のパターンである。このパターンは、不要なとき(可動ターゲットのとき)、取り外し可能に、又は、像を形成したウェハ若しくは像を形成したオーバレイターゲットと干渉しないような仕方にてその像をCCD面92内に配置することが選択可能であるようにして光路内に取り付けられる。センサを焦点決めするその他の方法も同様に適用可能である。
【0063】
アライメントチャネル62又は測定チャネル64の何れかを選択することが可能であるようにすることが必要となる。この実施の形態において、選択的に開放し又は閉じることのできるシャッタ70、80によってこの選択が実現される。
【0064】
次に、図3の断面線A−Aに沿った側面図である図12について説明する。図12には、ウェハ上における最上方の特徴(機能、凹凸)層100が示され、その層の上方には、現像した頂部PR層102が示してある。これらの層100、102は、境界101により分離されている一方、層100は、境界103によりその下方の層(図示せず)から分離されている。層100は、オーバレイターゲット線11a、11bを備える一方、PR層102は、オーバレイターゲット線16a、16bを備えている。
【0065】
焦点決め方法は、境界101、103から所定の距離ΔZ1、ΔZ2に測定チャネル64の対物レンズ76の対物面104を反復可能な方法にて位置決めすることを目的としている。これらの距離は、測定すべき特定の製品に対して測定プログラムを準備する間に、決定される。
【0066】
図12にZ1として示した、境界101の上方に亙る対物レンズ76の焦点条件は、米国特許第5,604,344号に開示されたような、任意の既知の方法に従って決定される。これと同一の方法にて対物レンズ76は、更に下方に動かされて、同一の方法にて境界103よりも上方のその正確な位置Z2を検出する。層100上におけるオーバレイターゲット17が、11aのような「正」の特徴部分ではなくて、「負」の特徴部分(例えば、トレンチ)である場合、境界103ではなくて、面17aに対して焦点面104を決定することが可能である。
【0067】
位置z1及びz2が既知となったならば、対物レンズ76の対物面104は境界面101、103からそれぞれ距離Δz1、Δz2に正確に配置することができる。この位置において、ターゲット線11、16の双方をCCD画像形成面92の上に略同等の非収束像を形成し得るように測定が行われる。
【0068】
オーバレイ誤差を計算するためには、ターゲット線11a、11b、16a、16bの中心の正確な位置を決定しなければならない。この目的の為に幾つかの代替的な方法が既知である。その他の型式のオーバレイターゲット(例えば、図示しない多層ボックス)に関して以下に説明する方法と同一の方法を使用することができることが分かる。その1つの方法をターゲット線11a、16a(図12)の像のグレイレベルを示す図13に関して説明する。グレイレベルは、例えば、アナログ・デジタル変換器(図示せず)によりCCDカメラ92(図10)の電気信号をデジタル信号に変換することにより得られる。中央処理装置(CPU)28(図8)がグレイレベル線11a、16aの中心を決定する。これら中心Δxの差は、測定・画像形成チャネルに沿った倍率に依存し、線14a(図2、図3)の長さを表わす。同様の方法にて、線14bの長さが決定され、x軸に対してオーバレイ誤差を計算することができる。
【0069】
同一の方法にて、y軸に対するオーバレイ誤差を計算することができる。
グレイレベル11a、16a(図13)の形状が垂直軸に対して左右対称でないとき、すなわち、不完全であるとき、オーバレイ誤差は、測定チャネルの線像分布関数(LSF)を使用して計算することができる。LSFは、較正するターゲット42の上方の異なる高さにて較正装置40(図9)を使用して正確に決定される。図14は、較正するターゲット42の上方を2つの異なる高さ21、22にて較正するターゲットの「ナイフエッジ」パターンの像のグレイレベルを示す。図15は、x軸に対するグレイレベル21、22の導関数をそれぞれ23、24として示す。次に、デコンヴォルーション(de−convolution)方法を適用することにより、CPU28は、x軸に沿ったターゲットの線の形状を計算する。ターゲット線の物理的高さを補正するため、デコンヴォルーションは各位置について図15に示すように、適当なLSFを使用してターゲット線のプロファイル(垂直軸)に沿った色々な位置にて行われる。これらプロファイルからターゲットの形状が決定される。
【0070】
同一の方法にてy軸に沿ったターゲット線の形状を決定することができ、また、オーバレイ誤差を計算することができる。
一般に、グレイレベルの形状11a、16a(図13)が垂直軸に対して対称でない場合、すなわち、不完全である場合、得られたターゲット線のグレイレベルをその最初の形状及びマスクの寸法と比較するといった、より複雑なアルゴリズムを使用することができる。
【0071】
図16は、本発明の1つの好適な実施の形態によるオーバレイ誤差を決定する概略的なフローチャートである。測定すべき新たなウェハが支持板20(図8)に達した後、測定装置の較正が行われ、次に、ウェハはその主要軸に対してアライメントされる。アライメント後、光学ヘッド24は、予め作成したプログラムに従ってウェハ上の所定の箇所に移動する。このプログラムは、ウェハ上のオーバレイターゲットの認識されたパターン及びその座標のような、アライメントチャネル62及び測定チャネル64(図10)を作動させることに関係するデータを含んでいる。アライメントチャネル62(図10)の幅の広いFOV及びプログラム中の関係するデータを使用して、光学ヘッド24はこの箇所の領域の上方に配置される。このとき、光学ヘッド24をこの箇所の上方の正確な位置に配置し得るように最終的なアライメントが開始される。そのパターンの特徴に基づいてウェハをアライメントさせる方法の一例(実際上、前アライメント及び精密アライメントの双方の目的を実現する方法)が、米国特許第5,682,242号に開示されている。次に、シャッタ70(図9)が光路に入り、アライメントチャネルを遮断する。光学ヘッド24内の自動焦点決め機構が対物レンズ76(図10)を焦点面104(図12)に焦点決めする。オーバレイターゲットは、CCD92に像が形成され、得られたデータは、オーバレイ誤差を決定し得るように像処理装置30(図8)により処理される。ウェハにおける全ての所定の箇所が既に測定されているならば、ウェハを解放しフォトトラック5に戻し、新たなウェハを支持板20に移動させる。所定の箇所が測定されていないならば、ウェハにおける次の箇所の測定が行われる。
【0072】
オーバレイツールは、次のような種々の作動モードを有していることが分かる。すなわち、(i)オーバレイ誤差の測定。(ii)(i)と同一に、ウェハが180°回転したときの別の測定。(iii)1つのウェハに対して行われる、(i)と同一に、第一のウェハに対して180°回転させた別のウェハに対して行われる別の測定。(iv)オーバレイ誤差は異なる高さにて測定され、ウェハを回転させることにより精度が測定される。
【0073】
本発明の別の好適な実施の形態によれば、処理装置により決定されたオーバレイ誤差のデータは、フォトクラスタの全体的な制御装置200(図16)に又はフォトクラスタ内の特定ツールの測定装置の全体的な制御装置に転送される。全体的な制御装置200は、露光ツール8に対するフィードバック閉ループ制御のためこのデータを使用する。また、該制御装置は、その作動に関してオーバレイ計測法自体に命令することもできる(例えば、標本採取頻度、ウェハの測定すべき箇所の番号)。
【0074】
欠陥検査工程のデータ及びOCD計測のデータとオーバレイ装置からの処理後のデータ(これら全ては同一の装置内にある)を組み合わせることにより、フォトリソグラフィ工程用の広範囲な一体形の監視・制御装置を設定することができることが理解されよう。また、オーバレイ誤差、欠陥及びOCDの誤差を製造工程自体の間に又は所定の任意のステップの後又はその前に決定し、これら全は1つのロットの全てのウェハにて行い又は同一ロット中の幾つかの選択されたウェハにて行うことができることも理解されよう。
【0075】
図17には、欠陥検査ツールの形態とされた、本発明の1つの好適な実施の形態が図示されている。
この欠陥検査形態は、(1)0.3乃至3.0×倍率の粗検査チャネル62、(2)>20×倍率の精密検査チャネル64という、(a)2つの代替的な光学チャネル62と、(b)迅速な像捕獲装置320と、(c)処理装置26とから成っている。この実施の形態によれば、検査ツールは、上述したように、同一のオーバレイ計測にて実現される。
【0076】
測定装置22における主要な光学要素を示す、上述した図10を参照すると、欠陥を検出するためにも装置を使用することを可能にし得るように、上述したオーバレイ計測装置にて使用されるものに加えて、2〜3の光学要素を追加するだけでよい。このように、同一の装置がオーバレイの測定ツールとして、又は欠陥の検査ツールの双方として機能することが可能である。当然、別の装置内にてオーバレイ計測機能以外の欠陥の検出機能を実現することができる。
【0077】
欠陥の検出機能のため、図10の測定装置22に追加される更なる要素は、光源300と、追加的な光源300又は光源32の何れかを遮断するシャッタ302、304と、対物レンズ66を取り囲むリングライト306とを備えている。リングライト306は、開き角度ca5°乃至10°にて対物レンズ66の周りに均一な光円錐体を形成しなければならない。
【0078】
図18には、外部の光源300からの光をリングライト306に伝送する方法が示してある。これは、密封した囲い物21を貫通する光ファイバ束308によって実現され、この場合、各単一のファイバは、その光をリングライトまで一定の箇所に導く。図示した実施の形態において、リングライト306は、光ファイバリングライトである。1つの代替例として、リングライトの外周に沿って配置したとき、狭小な帯域幅のLEDsを使用してもよい。このリングライトは、ウェハWからの拡散された非スペクトル光が対物レンズ66を充填し得るようにするため、ca2°(図18のα)よりも大きい開口角度を有する均一な光の円錐体を形成することを目的としている。
【0079】
これと代替的に、光源32から対物レンズ66を貫通して入射する光310がウェハWを照射し、そのスペクトル成分が対物レンズ66を充填するようにしてもよい。
【0080】
このように、この好適な実施の形態において、何れかの光源を遮断し得るようにシャッタ手段302、304を使用するか、又は、光源へ給電の作動/不作動を切り換えることにより、選択的に明るい界(BF)及び暗い界(DF)を照射する、粗検査62のための照射及び視認方法とすることができる。精密検査チャネル64は、BF照射によってのみ実現される。
【0081】
照射及び視認は、一般的に、全て特定の検査目的(例えば、欠陥の種類)に依存して、BF又はDF照射の何れかにより実現することができる。また、BF及び/又はDF照射中、特定の適用例の場合、例えば、特定の狭小の帯域幅を形成し得るように、光源32、300の後方にフィルタ(図示せず)を追加することにより、コントラストを増すことが可能である。更に、DF照射中、及び特定の適用例の場合、例えば、広いスペクトル帯域と狭いスペクトル帯域の照射を交互に行うことによって、拡散効果と散乱効果との区別をより明確にすることができる。
【0082】
この欠陥検査ツールは、オーバレイ計測装置に関して上述したものと同一の原理に適合し得る設計とすることができる。
図19は、本発明の1つの好適な実施の形態に従って粗検査及び精密検査の双方の方法についての概略図的なフローチャートである。
【0083】
測定すべき新たなウェハが支持板に到着した後、ウェハのスクライブ・ライン及びCCDの線を平行にし得るようにウェハをその主軸に対して前アライメントさせる。ウェハをアライメントさせる1つの方法の一例が米国特許出願第09/097,298号に開示されている。前アライメントの後、最終的なアライメントを行い、このパターンの特徴に基づくこの目的のための既知の方法は、米国特許第5,682,242号に開示されている。精密検査に関して、最終的なアライメントは、検査すべき所定の箇所を既にデータベースに記憶させたそのパターンと精密に相関させることを目的とする。かかるデータベースは、特に、受け入れ準備のために作成される。
【0084】
最終的なアライメントが行われた後、ステップ・反復モードにて粗検査の間、画像捕獲が行われる。この方法によれば、光学ヘッド24は、ウェハ上の所定の領域まで移動し、その後、停止し且つ安定し、画像が捕獲される。この方法は、通常、ウェハの検査に使用される次の所定の箇所まで移動させることにより繰り返される。
【0085】
本発明によれば、ステップ・反復方法は、例えば、ラスタ・スキャンのようなリニア・スキャアン法を使用する場合よりも一層優れた性能を実現することを可能にする。ラスタ・スキャン中、ウェハは連続的に走査され、画像が同時に捕獲される。この方法は、移動軸に沿って分解能が低下し且つ不鮮明になること、スキャナーの不安定な速度に起因して分解能が低下し且つ不正確となること、照射装置を効率的に活用し得ないことなどといった幾つかの欠点がある。精密検査中に、受け取りに対応する所定の箇所にて画像が捕獲される。次のステップにて、欠陥を探知し得るように各像が処理される。このことは、従来技術で公知であるように絶対的方法又は比較可能な方法の何れかにて行われる。処理後のデータはデータベースに記憶される。全体のウェハが粗検査を完了したとき、又は全ての所定の箇所が精密に検査されたとき、後加工が開始する。これと代替的に、後加工を同時に開始してもよい。後加工の間、データを評価し且つ異なるレベルにて報告することができる。このことは、(a)ウェハ上で検出された欠陥の数及び座標を含む欠陥のリスト、又は(b)座標及び欠陥の寸法を含む欠陥リスト、又は(c)座標及び欠陥の特定を含む欠陥リスト、又は(d)例えば、コーティング中、不十分なスピンのときに為される半径方向への分布といった局部的及び/又は全体的なウェハの分布に対応する形態的欠陥の分析とすることができる。その後に、(e)更なる加工のため、特定の欠陥を写真撮影すること、(f)欠陥を特定の問題の原因に自動的に帰すこと、(g)欠陥を補正する(全部又はその一部)ために見直すことを選択することとが行われる。更に、粗検査及び精密検査を組み合わせることができる。粗検査の処理済の結果に従い、特定の欠陥を検出する可能性がある(例えば、閾値に基づいて)ウェハ上の特定の箇所にて精密検査を行うことができる。
【0086】
加工装置によって決定される後加工のデータは、フォトクラスタツールの全体的な制御装置200に送ることができる。全体的な制御装置200は、そのデータが処理されるレベル(例えば、欠陥の特定又は原因の分析)に基づいて、フィードバック制御、すなわち閉ループ制御のため、このデータを使用することができる。このフィードバックは、フォトトラック5に作用するコーティングステーション又はその他のステーションに送ることができる。また、このフィードバックは、検査計測装置の作用自体に関して命令することもできる(例えば、標本採取頻度、ウェハ上にて測定すべき箇所の番号)。
【0087】
これらの実施の形態は、一般的な平行の「独立型」の装置、及び視覚的な検査装置よりも優れることは明らかである。
特定の場合、より詳細な検査が必要な場合、製造工程を妨害しないように、ILM装置14をオフライン装置として使用することができる。
【0088】
本発明の別の好適な実施の形態によれば、上述したオーバレイ計測装置は、OCD計測装置として使用することもできる。図9に図示した、このOCD計測装置は、オーバレイ計測装置、及び他の光学要素と同一のチャネル62、64を含むことになる。OCDの決定は、図16に図示し且つ上述した、オーバレイ誤差の決定と同様の方法にて行われる。この目的のため、光学ヘッド24(図10)は、アライメントチャネル62により所定の箇所まで移動させ、次に、測定チャネル64を作動させて測定すべき特徴部分の像を形成する。
【0089】
図20には、測定中の光学ヘッド24のFOV301が図示されている。この実施例において、FOVは、線の幅312及びスペース314という、測定すべき2つの典型的な特徴部分を含んでいる。OCDを測定する、この方法によれば、FOV301は、測定すべき一組みの同一の特徴部分を含まなければならないことが分かる。この組みがウェハにおける最初の特徴部分の一部でないならば、かかる特徴部分を含む試験箇所を最初に作成しなければならない。
【0090】
測定すべき特徴(機能、凹凸)部分は、同一の層内にあるため、上述したオーバレイ測定と同様にして互いに対してのみ焦点決めが行われるが、測定すべき特徴部分が異なる層内にあるときは行われない。特徴部分の形状は、オーバレイの場合と同一の方法にて、光学装置のLSF(x又はy、z)を使用してその像から再構成される。スペースの幅は、再構成されたその隣接する線の縁によって決まる。
【0091】
この方法において、その組み中の同一の特徴部分の幅が決定され、統計的計算(例えば、平均値)を適用することにより、代表的な特徴(機能、凹凸)部分の幅が計算される。この測定の精度は、特徴部分の対称度、光学系、測定すべき同一の特徴部分の数に基づく。通常、後者の2つのパラメータのみを特定の環境に従って予め調節し且つ設定し、所望の精度を実現することができることに留意すべきである。
【0092】
OCDに基づく監視及び制御は、オーバレイ誤差の決定に関して上述したものと同一の方法にて設定される。
図21には、図10に示す光学系の1つの改変例が図示され、図22には、図21の光学系を使用するときの図11の装置の1つの改変例が図示されている。この好適な実施の形態において、図8の内部の形態は僅かに相違している。MU22は、光学窓部437を有する密封した囲い物421を備え、この場合、内部には、光学ヘッド424(図21、図22に従って改変)、光学ヘッドをx、y軸38及びz軸に沿って位置決めする手段、較正装置40、外部からの電気及び光の供給をそれぞれ可能にする追加的な電子式特徴部分及び光学ガイド(図示せず)、MU22をCPU28と連通させる手段(図示せず)が設置されている。
【0093】
図21の改変した装置において、低倍率チャネル462、高分解能チャネル464、焦点決めターゲット479、LED491(図10のチャネル62、64、ターゲット79、LED91に対応する)は、可動の光学ヘッド424内に保持されている。低倍率チャネル462は、オーバレイの精密検査及びOCDの取り付け中、測定すべきウェハ上の予め選択した箇所の情報に光学ヘッド424を位置決めするか、又は粗検査のために使用される。オーバレイ、OCD及び精密検査工程中、測定のために高倍率チャネル464が使用される。
【0094】
外部の光源432からの光は、光ファイバ438によって伝送され、光を密封した囲い物421内に伝送する2つの支部分438a、438bに分割される。これら支部分438a、438bの各々は、それぞれシャッタ470、471によって選択的に制御される。密封した囲い物421内にて、2つの支部分からの光は、ミラーによって低倍率チャネル462及び高倍率チャネル464のそれぞれのディフューザ450、451に伝送される。
【0095】
低倍率チャネル462は、視野レンズ466と、ビームスプリッタ468と、像形成レンズ472と、倒立ミラー474と、ビームスプリッタ490と、CCD492とを有している。アライメントチャネル462は、比較的低倍率(例えば、×0.1乃至1.0)を有している。像形成レンズ472は、小さい開口数を有し、広い視野(例えば、20乃至40mm)の像を形成する。
【0096】
高倍率チャネル464は、対物レンズ476と、ビームスプリッタ477と、管レンズ481と、ビームスプリッタ490と、同一のCCD492とを有している。このチャネルは、比較的高倍率(例えば、×20乃至100)を有し、対物レンズ476は、高分解能が必要とされるため、大きい開口数を有している。
【0097】
この好適な実施の形態において、DFの照射は、リングライト430の外周に沿って配置された、例えばLEDのようなリングライト430及び光源と、リングライト430を作動させる電線とによって行われる。リングライト430は、ウェハWからの拡散した非スペクトル光が像形成レンズ472を充填するように、ca2°以上の開放角度を有する均一な光の円錐体を発生させることを目的としている。光源430は、電源をオン/オフ操作することによって切り換えることができる。
【0098】
測定中、より高精度が必要とされるならば、図11に示したものと同様の装置を使用して、光軸線464とウェハの表面Wとの間の実際の角度を正確に決定することができる。図22には、かかる装置が図示されている。この場合、この装置は、ハウジング425内に取り付けられており、該ハウジングは、対物レンズ476を取り囲み、また、図11に図示した要素76、93、94a、94b、96a、96b、98にそれぞれ対応する、LED493と、2つの同一のミラー494a、494bと、2つの同一のレンズ496a、496bと、電子式位置センサ498とを備えている。図22の装置は、通常の光線と光線497との間の角度βを測定することができ、この角度から、光軸線499とウェハの面との間の角度を決定することができる。
【0099】
図21、図22の装置は、その他の点では、図10、図11に関して上述したのと実質的に同一の方法の構造とし且つ作動させ、また、測定チャネル464に対して焦点決めターゲット479、及び較正装置40を利用する。
【0100】
低倍率チャネル462を利用する位置決め作動モード、又は高倍率チャネル464を利用する測定作動モードの何れかを選択することは、機械的なシャッタ470、471を作動させることによって行われる。測定チャネル464用の焦点決めした状態は、上記の米国特許第5,604,344号に記載されたような既知の方法に従って形成される。
【0101】
図21に図示した改変光学系は、図16に概略図的に図示したフローチャートに従って、オーバレイの誤差を決定するためにも使用することができる。測定すべき新しいウェハが支持板20に到着した後、ウェハW上における所定の箇所を特定し、且つ光学ヘッド424をその上方に配置することによって測定装置の較正が為される。1つの所定の個所の特定は、上述した米国特許第5,682,242号に開示されたように、ウェハのパターンの特徴に基づいて行うことができる。
【0102】
また、図21に示した改変光学系は、図19に概略図で示したフローチャートに従って、OCDを測定し且つ検査するためにも使用することができる。
本発明は、幾つかの好適な実施の形態に関して説明したが、これらは、単に一例としてのみ掲げたものであり、本発明の多数の他の変形例、改変例及び適用例が具体化可能であることが理解されよう。
【図面の簡単な説明】
【図1】半導体製造装置のフォトリソグラフィ工程にて現在、使用されるフォトクラスタツールの典型的な装置の図である。
【図2】オーバレイターゲットの概略図である。
【図3】オーバレイターゲットの概略図である。
【図4】フォトクラスタツール及び「独立型」のオーバレイ計測装置の一般的な形態のブロック図である。
【図5】現像後、半導体の多層製造工程に関する検査を行うことのできる箇所を示すブロック図である。
【図6】製造工程にて現在、使用される「独立型」のCDツールの一般的な形態のブロック図である
【図7】本発明に従って、図1の装置におけるフォトリソグラフィクラスタツールを一体形のオーバレイ/検査/OCDツールと組み合わせることのできる1つの方法を示す概略図である。
【図8】図7の装置における一体形のリソグラフィ監視装置の概略図的な側面図である。
【図9】一体形のオーバレイ計測用ツールとして使用される、本発明の好適な実施の形態の概略図である。
【図10】本発明の装置内にて使用することのできる光学装置の1つの形態の概略図である。
【図11】ウェハ表面と高分解能チャネルの入射光線との間の角度を測定するために使用することのできる1つの方法を示す概略図である。
【図12】図3の断面線A−Aに沿った図である。
【図13】ターゲット線11a、16a(図12)のグレイレベルの概略図である。
【図14】「ナイフエッジ」パターンの像のグレイレベル及びその線拡張機能(LSF)の関数を示す概略図である。
【図15】図14と同様の概略図である。
【図16】本発明の1つの好適な実施の形態に従ってオーバレイ誤差を決定する1つの方法の概略図的なフローチャートである。
【図17】検査ツールとしての本発明の1つの好適な実施の形態の概略図である。
【図18】光源からの光をリングライトに転換することのできる1つの方法の概略図である。
【図19】本発明の1つの好適な実施の形態による粗検査及び精密検査の双方の方法を示す概略図的なフローチャートである。
【図20】OCD測定中の光学ヘッドの視野の概略図である。
【図21】本発明の装置内にて使用することのできる光学装置の別の形態を示す、図10に対応する概略図である。
【図22】図21の光学系を使用するときに含めることのできる1つの改変例を示す、図11に対応する概略図である。
【符号の説明】
5 フォトトラック 6 塗布機トラック
6a カセット装填ステーション 8 露光ツール
10 現像機トラック 10a カセット荷おろしステーション
10b カセットステーション 11 ウェハ/ターゲット線
11a、11b、16a、16b オーバレイターゲット線
14 光学監視装置/ILM装置 16 PR層
20 支持手段/支持板 21 密封した囲い物
22 測定装置(MU) 24 光学ヘッド
26 制御装置 28 中央処理装置(CPU)
30 画像処理装置(IPU) 32 光源
36 PRコーティング 37 光学窓部
38 X−Yステージ 40 較正装置
42 ターゲット 44 ガラス板
46 ミラー
62 低倍率チャネル/アライメントチャネル
64 高倍率チャネル/高分解能チャネル/測定チャネル
66 対物レンズ 68 ビームスプリッタ
70、80 シャッタ 72、81 チューブレンズ
74、77、90 ビームスプリッタ
76 対物レンズ 79 焦点ターゲット
91 LED照射器 92 CCDカメラ/CCD面
93 LED 94a、94b ミラー
96a、96b レンズ 97 光線
98 位置センサ(電子式)装置 99 主光線
100 特徴層 101、103 境界
102 頂部PR層 104 焦点面/対物面
W ウェハ

Claims (30)

  1. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、該フォトレジストコーティングが所定のパターンを有するマスクを通じて光に露光され、フォトレジストコーティングの上にマスクの潜像を形成する、露光ステーションと、該潜像が現像される、現像ステーションと、基板が荷おろしされる、荷おろしステーションと、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理手段とを含む制御装置とを備え
    前記光学測定装置が、前記光学測定装置を前記支持アセンブリの上の基板に対してアライメントさせる低倍率チャネルと、基板が前記現像ステーションを通過した後で且つ荷おろしステーションに達する前に、フォトリソグラフィ工程の前記所定のパラメータを測定する高倍率チャネルとを備え、
    前記高倍率チャネルが、監視ステーション内にて基板の表面に対して光源の入射角度を正確に測定し得るように光学ヘッド内に設けられた測定装置を更に備える
    ことを特徴とする装置。
  2. 請求項1に記載の装置において、前記基板を1つのステーションから別のステーションに搬送する搬送装置を更に備え、該搬送装置が前記基板を前記監視ステーションまで搬送する、装置。
  3. 請求項1に記載の装置において、前記監視ステーションの前記光学測定装置は、更に自動焦点決め機構を含む装置。
  4. 請求項1に記載の装置において、前記監視ステーションが、基板に対して行われたその前のフォトリソグラフィ工程にて基板に形成される現像された像に対して、それぞれのフォトリソグラフィ工程にて基板の上に形成される現像された像のアライメント中のオーバレイのレジストレーション誤差を検出するモジュールを備える、装置。
  5. 請求項1に記載の装置において、前記監視ステーションが、基板に対して行われたフォトリソグラフィ工程により発生された欠陥を含む、基板における欠陥を検出するモジュールを備える、装置。
  6. 請求項1に記載の装置において、前記監視ステーションが、それぞれのフォトリソグラフィ工程中に形成されるフォトレジストコーティングの現像された像中の限界寸法誤差を検出するモジュールを備える、装置。
  7. 請求項1に記載の装置において、前記光学測定装置が、前記支持アセンブリと整合され且つ該支持アセンブリに面する透明な窓部を有する密封した囲い物を備え、前記支持アセンブリが、前記密封した囲い物の外側にあり且つその前記窓部から隔てられており、前記光学測定装置が更に、前記密封した囲い物の外部に位置する外部光源とを備える、装置。
  8. 請求項7に記載の装置において、前記外部光源は、光ファイバにより前記密封した囲い物の内部における光学測定装置の部分に接続されている、装置。
  9. 請求項7に記載の装置において、前記制御装置が、前記密封した囲い物の外側に設けられ且つ前記密封した囲い物内に伸長する導電体を介して前記光学測定装置を制御し得るように同光学測定装置の一部に接続されている、装置。
  10. 請求項に記載の装置において、前記チャネルの双方が互いに対し且つ前記透明な窓部に対して固定される、装置。
  11. 請求項7に記載の装置において、前記光学測定装置が、前記密封した囲い物内を可動の光学ヘッドを更に備え、該光学ヘッドは、前記低倍率チャネル用の対物レンズと、前記高倍率チャネル用の対物レンズとを含む、装置。
  12. 請求項11に記載の装置において、前記レンズが、前記光学ヘッドが移動するとき共に可動である、装置。
  13. 請求項12に記載の装置において、前記低倍率チャネルの対物レンズが比較的小さい開口数を有し、前記高倍率チャネルの対物レンズが比較的大きい開口数を有する、装置。
  14. 請求項に記載の装置において、前記測定装置が、高倍率チャネルの対物レンズの各側部に設けられた同一のミラー及び同一のレンズと、対物レンズのそれぞれの側部に設けられたレンズ及びミラーを通じて光を基板に投射し、その後、対物レンズの反対側に設けられたレンズ及びミラーを通じて投射し得るように前記対物レンズの一方の側部に設けられたLED照射器と、前記対物レンズの前記反対側に設けられた位置センサとを備える、装置。
  15. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、該フォトレジストコーティングが所定のパターンを有するマスクを通じて光に露光され、フォトレジストコーティングの上にマスクの潜像を形成する、露光ステーションと、該潜像が現像される、現像ステーションと、基板が荷おろしされる、荷おろしステーションと、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理手段とを含む制御装置とを備え、
    前記光学測定装置が、前記光学測定装置を前記支持アセンブリの上の基板に対してアライメントさせる低倍率チャネルと、基板が前記現像ステーションを通過した後で且つ荷おろしステーションに達する前に、フォトリソグラフィ工程の前記所定のパラメータを測定する高倍率チャネルとを備え、
    前記チャネルの各々が、光源とチャネルとの間のシャッタを備え、該シャッタが、前記チャネルの1つを選択的に作動可能にし得るように選択的に開放し且つ閉塞するようにした、装置。
  16. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、該フォトレジストコーティングが所定のパターンを有するマスクを通じて光に露光され、フォトレジストコーティングの上にマスクの潜像を形成する、露光ステーションと、該潜像が現像される、現像ステーションと、基板が荷おろしされる、荷おろしステーションと、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理手段とを含む制御装置とを備え、
    前記光学測定装置が、前記光学測定装置を前記支持アセンブリの上の基板に対してアライメントさせる低倍率チャネルと、基板が前記現像ステーションを通過した後で且つ荷おろしステーションに達する前に、フォトリソグラフィ工程の前記所定のパラメータを測定する高倍率チャネルとを備え、
    密封した囲い物内の前記光学測定装置が、光学ヘッドが較正装置とアライメントする位置に配置されたとき、光学ヘッドに対する測定位置をシミュレートする較正装置を備える、装置。
  17. 請求項16に記載の装置において、前記較正装置が、高いコントラストの材料から成るターゲットと、ガラス板と、ミラーとを備える、装置。
  18. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、該フォトレジストコーティングが所定のパターンを有するマスクを通じて光に露光され、フォトレジストコーティングの上にマスクの潜像を形成する、露光ステーションと、該潜像が現像される、現像ステーションと、基板が荷おろしされる、荷おろしステーションと、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理手段とを含む制御装置とを備え、
    前記光学測定装置が、前記支持アセンブリと整合され且つ該支持アセンブリに面する透明な窓部を有する密封した囲い物を備え、前記支持アセンブリが、前記密封した囲い物の外側にあり且つその前記窓部から隔てられており、前記光学測定装置が更に、前記密封した囲い物の外部に位置する外部光源とを備え、
    前記光学測定装置が、前記密封した囲い物内を可動の光学ヘッドを更に備え、該光学ヘッドは、低倍率チャネル用の対物レンズと、高倍率チャネル用の対物レンズとを含み、
    前記低倍率チャネルが、光源と、該光源により照射されて、基板の表面に2°以上の開口角度を有する光の円錐体を形成するリングライトとを備える、装置。
  19. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板が荷下ろしされる荷下ろしステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、潜像が現像される、現像ステーションと、前記基板を1つのステーションから別のステーションに搬送する搬送装置と、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置であって、密封した囲い物及び該密封した囲い物外部に位置する外部光源を備える光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理装置とを含む制御装置とを備え
    前記光学測定装置が可動の光学ヘッドを備え、
    前記可動の光学ヘッドが、低倍率チャネルと、高倍率チャネルとを備え、
    前記高倍率チャネルが、監視ステーション内にて基板の表面に対する光源の入射角度を正確に測定し得るように光学ヘッド内に設けられた測定装置を更に備える
    ことを特徴とする装置。
  20. 請求項19に記載の装置において、前記監視ステーションは、基板に対して行われたその前のフォトリソグラフィ工程にて基板に形成される現像された像に対して、それぞれのフォトリソグラフィ工程にて基板の上に形成される現像された像のアライメント中のオーバレイのレジストレーション誤差と、それぞれのフォトリソグラフィ工程中に形成されるフォトレジストコーティングの現像された像中の限界寸法誤差とを検出するモジュールを含む、装置。
  21. 請求項19に記載の装置において、前記制御装置は、密封した囲い物の外側に位置し、前記密封した囲い物内に伸長する導電体を介して前記光学測定装置を制御すべく同光学測定装置の前記一部に接続されている、装置。
  22. 請求項19に記載の装置において、前記チャネルの双方が、互いに対し且つ透明な窓部に対して固定される、装置。
  23. 請求項22に記載の装置において、前記密封した囲い物内を可動の前記可動の光学ヘッドが、前記低倍率チャネル用の対物レンズと、前記高倍率チャネル用の対物レンズとを保持し、該レンズが、前記光学ヘッドが移動するとき、共に可動である、装置。
  24. 請求項23に記載の装置において、前記低倍率チャネルの対物レンズが比較的小さい開口数を有し、前記高倍率チャネルの対物レンズが比較的大きい開口数を有する、装置。
  25. 請求項19に記載の装置において、前記測定装置が、高分解能チャネルの対物レンズの各側部に設けられた同一のミラー及び同一のレンズと、対物レンズのそれぞれの側部に設けられたレンズ及びミラーを通じて光を基板に投射し、その後、対物レンズの反対側に設けられたレンズ及びミラーを通じて投射し得るように前記対物レンズの一方の側部に設けられたLEDと、前記対物レンズの前記反対側に設けられた位置センサとを備える、装置。
  26. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板が荷下ろしされる荷下ろしステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、潜像が現像される、現像ステーションと、前記基板を1つのステーションから別のステーションに搬送する搬送装置と、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置であって、密封した囲い物及び該密封した囲い物外部に位置する外部光源を備える光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理装置とを含む制御装置とを備え、
    前記光学測定装置が可動の光学ヘッドを備え、
    前記可動の光学ヘッドが、低倍率チャネルと、高倍率チャネルとを備え、
    前記チャネルの各々が、光源とチャネルとの間のシャッタを備え、該シャッタが、前記チャネルの1つを選択的に作動可能にし得るように選択的に開放し且つ閉塞するようにした、装置。
  27. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板が荷下ろしされる荷下ろしステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、潜像が現像される、現像ステーションと、前記基板を1つのステーションから別のステーションに搬送する搬送装置と、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置であって、密封した囲い物及び該密封した囲い物外部に位置する外部光源を備える光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理装置とを含む制御装置とを備え、
    前記光学測定装置が可動の光学ヘッドを備え、
    前記可動の光学ヘッドが、低倍率チャネルと、高倍率チャネルとを備え、
    前記低倍率チャネルが、光源と、該光源により照射されて、基板の表面に2°以上の開口角度を有する光の円錐体を形成するリングライトとを備える、装置。
  28. 所定のフォトリソグラフィ工程に従って基板を加工する装置であって、該基板が供給されるカセットから装填される、装填ステーションと、前記基板が荷下ろしされる荷下ろしステーションと、前記基板がフォトレジスト材料で被覆される、コーティングステーションと、潜像が現像される、現像ステーションと、前記基板を1つのステーションから別のステーションに搬送する搬送装置と、全体的な制御装置と、前記荷おろしステーションにて排出されるカセット内に荷おろしされる前に、前記フォトリソグラフィ工程の所定のパラメータに対して基板を監視する監視ステーションとを備える装置において、
    前記監視ステーションは、監視の間に前記基板を支持するための真空支持アセンブリと、前記基板を照射するとともに、同基板からの光を集めて同光を示すデータを発生させる光学測定装置であって、密封した囲い物及び該密封した囲い物外部に位置する外部光源を備える光学測定装置と、前記光学測定装置に接続され、前記集められた光を示す前記データを受け取って処理することにより、前記基板の少なくとも1つのパラメータを測定する制御装置であって、データ交換のために前記全体的な制御装置に接続され、中央処理装置と画像処理装置とを含む制御装置とを備え、
    前記光学測定装置が可動の光学ヘッドを備え、
    前記可動の光学ヘッドが、低倍率チャネルと、高倍率チャネルとを備え、
    前記密封した囲い物内の前記光学測定装置が、較正装置を備え、該較正装置が、光学ヘッドが該較正装置とアライメント状態に配置されたとき、光学ヘッドに対する測定位置をシミュレートする、装置。
  29. 請求項28に記載の装置において、前記較正装置が、高いコントラストの材料から成るターゲットと、ガラス板と、ミラーとを備える、装置。
  30. 請求項4に記載の装置において、前記オーバレイのレジストレーション誤差を検出するモジュールは、更にそれぞれのフォトリソグラフィ工程中に形成されるフォトレジストコーティングの現像された像中の限界寸法誤差を検出する、装置。
JP20055899A 1998-07-14 1999-07-14 所定のフォトリソグラフィ工程に従って基板を加工する装置 Expired - Lifetime JP4722244B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
IL12533798A IL125337A0 (en) 1998-07-14 1998-07-14 Method and apparatus for lithography monitoring and process control
IL125337 1998-07-14
US125337 1998-11-02
US09/184,727 US6166801A (en) 1998-07-14 1998-11-02 Monitoring apparatus and method particularly useful in photolithographically processing substrates
US09/184727 1998-11-02

Publications (2)

Publication Number Publication Date
JP2000114166A JP2000114166A (ja) 2000-04-21
JP4722244B2 true JP4722244B2 (ja) 2011-07-13

Family

ID=26323675

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20055899A Expired - Lifetime JP4722244B2 (ja) 1998-07-14 1999-07-14 所定のフォトリソグラフィ工程に従って基板を加工する装置

Country Status (3)

Country Link
US (9) US6603529B1 (ja)
EP (1) EP0973069A3 (ja)
JP (1) JP4722244B2 (ja)

Families Citing this family (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0973069A3 (en) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Monitoring apparatus and method particularly useful in photolithographically processing substrates
US6928182B1 (en) * 1998-10-15 2005-08-09 Kui Ming Chui Imaging
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US8531678B2 (en) 1999-07-09 2013-09-10 Nova Measuring Instruments, Ltd. Method and system for measuring patterned structures
US6737207B2 (en) 2000-04-25 2004-05-18 Nikon Corporation Method for evaluating lithography system and method for adjusting substrate-processing apparatus
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138193A0 (en) * 2000-08-31 2001-10-31 Nova Measuring Instr Ltd A method and system for optical inspection of a structure formed with a surface relief
US7196782B2 (en) * 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP2002163005A (ja) * 2000-11-29 2002-06-07 Nikon Corp 制御系の設計方法、制御系、制御系の調整方法及び露光方法
US6620563B2 (en) * 2001-03-08 2003-09-16 Motorola, Inc. Lithography method for forming semiconductor devices on a wafer utilizing atomic force microscopy
JP4817545B2 (ja) * 2001-07-05 2011-11-16 キヤノン株式会社 露光装置及びデバイス製造方法
US6774998B1 (en) * 2001-12-27 2004-08-10 Advanced Micro Devices, Inc. Method and apparatus for identifying misregistration in a complimentary phase shift mask process
US20040032581A1 (en) * 2002-01-15 2004-02-19 Mehrdad Nikoonahad Systems and methods for inspection of specimen surfaces
CN1656601A (zh) * 2002-03-12 2005-08-17 奥林巴斯株式会社 半导体制造方法及其装置
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
JP4289961B2 (ja) * 2003-09-26 2009-07-01 キヤノン株式会社 位置決め装置
US6929961B2 (en) 2003-12-10 2005-08-16 Hitachi Global Storage Technologies Netherlands B. V. Dual function array feature for CMP process control and inspection
AU2003300005A1 (en) 2003-12-19 2005-08-03 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7212878B2 (en) * 2004-08-27 2007-05-01 Tokyo Electron Limited Wafer-to-wafer control using virtual modules
US7566181B2 (en) * 2004-09-01 2009-07-28 Tokyo Electron Limited Controlling critical dimensions of structures formed on a wafer in semiconductor processing
DE102004047677B4 (de) * 2004-09-30 2007-06-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System für die Kontaminationserkennung und Überwachung in einer Lithographiebelichtungsanlage und Verfahren zum Betreiben der gleichen unter gesteuerten atomsphärischen Bedingungen
US7582538B2 (en) * 2005-04-06 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay measurement for alignment of patterns in semiconductor manufacturing
JP4965829B2 (ja) * 2005-08-09 2012-07-04 キヤノン株式会社 真空用露光装置
US7292959B1 (en) * 2006-01-30 2007-11-06 Advanced Mirco Devices, Inc. Total tool control for semiconductor manufacturing
JP4908925B2 (ja) * 2006-02-08 2012-04-04 株式会社日立ハイテクノロジーズ ウェハ表面欠陥検査装置およびその方法
US8274717B2 (en) * 2006-08-01 2012-09-25 Xerox Corporation System and method for characterizing color separation misregistration
US8270049B2 (en) * 2006-08-01 2012-09-18 Xerox Corporation System and method for high resolution characterization of spatial variance of color separation misregistration
DE102006056625B4 (de) * 2006-11-30 2014-11-20 Globalfoundries Inc. Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
US8228559B2 (en) 2007-05-21 2012-07-24 Xerox Corporation System and method for characterizing color separation misregistration utilizing a broadband multi-channel scanning module
WO2009014647A1 (en) * 2007-07-20 2009-01-29 Applied Materials, Inc. Dual-mode robot systems and methods for electronic device manufacturing
US8282984B2 (en) * 2007-12-03 2012-10-09 Tokyo Electron Limited Processing condition inspection and optimization method of damage recovery process, damage recovering system and storage medium
TW200941010A (en) * 2008-03-24 2009-10-01 Promos Technologies Inc Method and system for processing test wafer in photolithography process
US8339602B1 (en) * 2008-09-15 2012-12-25 J.A. Woollam Co., Inc. View-finder in ellipsometer or the like systems
US8587781B2 (en) * 2008-09-15 2013-11-19 J.A. Woollam Co., Inc. View-finder in ellipsometer or the like systems
JP5486219B2 (ja) * 2009-06-18 2014-05-07 パナソニック液晶ディスプレイ株式会社 フォトレジストのパターニング検査方法
US8705027B2 (en) * 2009-07-16 2014-04-22 Kla-Tencor Corporation Optical defect amplification for improved sensitivity on patterned layers
JP5677044B2 (ja) * 2010-11-19 2015-02-25 キヤノン株式会社 光音響測定装置及び方法
JP5626122B2 (ja) * 2011-05-30 2014-11-19 東京エレクトロン株式会社 基板検査装置、基板検査方法及び記憶媒体
JP4881484B2 (ja) * 2011-07-05 2012-02-22 キヤノン株式会社 露光装置およびデバイス製造方法
CN103782240A (zh) * 2011-07-11 2014-05-07 迈普尔平版印刷Ip有限公司 用于存储目标的位置数据的光刻系统和方法
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
CN102543684A (zh) * 2011-11-11 2012-07-04 上海华力微电子有限公司 集线宽和套刻精度测量的图形结构设计
JP6118505B2 (ja) * 2012-04-04 2017-04-19 株式会社日立ハイテクノロジーズ 位置ずれ計測装置及び位置ずれ計測方法ならびに位置ずれ計測装置を用いた走査電子顕微鏡
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
KR101924487B1 (ko) 2013-12-17 2018-12-03 에이에스엠엘 네델란즈 비.브이. 수율 추산 및 제어
US9885656B2 (en) 2014-12-17 2018-02-06 Kla-Tencor Corporation Line scan knife edge height sensor for semiconductor inspection and metrology
WO2016179023A1 (en) * 2015-05-01 2016-11-10 Adarza Biosystems, Inc. Methods and devices for the high-volume production of silicon chips with uniform anti-reflective coatings
US9599806B2 (en) * 2015-06-09 2017-03-21 General Electric Company System and method for autofocusing of an imaging system
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
CN106596580A (zh) * 2016-06-14 2017-04-26 艾悌亚信息技术(上海)有限公司 可提高触摸屏玻屏aoi检测良品率的aoi算法/工艺方法
CN106990678A (zh) * 2017-03-14 2017-07-28 武汉华星光电技术有限公司 显影设备
JP6867015B2 (ja) * 2017-03-27 2021-04-28 株式会社日立ハイテクサイエンス 自動加工装置
US10510623B2 (en) * 2017-12-27 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay error and process window metrology
WO2023195015A1 (en) * 2022-04-07 2023-10-12 Nova Ltd Full-wafer metrology up-sampling
CN114544672B (zh) * 2022-04-26 2022-06-28 四川英创力电子科技股份有限公司 一种线路板显影质量检测装置及方法

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63227020A (ja) * 1987-03-17 1988-09-21 Toshiba Corp 半導体装置の製造装置
JPH01110243A (ja) * 1987-10-23 1989-04-26 Hitachi Vlsi Eng Corp 外観検査装置
JPH022605A (ja) * 1987-12-23 1990-01-08 Texas Instr Inc <Ti> 自動化フォトリソグラフィック・ワーク・セル
JPH02145720A (ja) * 1988-11-25 1990-06-05 Sumitomo Metal Ind Ltd 燃焼ガス排出量の制御方法
JPH05326369A (ja) * 1992-05-26 1993-12-10 Sony Corp パターニング装置
JPH06267813A (ja) * 1993-03-10 1994-09-22 Hitachi Ltd 露光パターン形成装置
JPH09237749A (ja) * 1996-02-29 1997-09-09 Nec Corp 重ね合わせ測定方法
JPH1019790A (ja) * 1996-07-02 1998-01-23 Hitachi Ltd 真空中基板検査装置

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4328553A (en) 1976-12-07 1982-05-04 Computervision Corporation Method and apparatus for targetless wafer alignment
US4308586A (en) 1980-05-02 1981-12-29 Nanometrics, Incorporated Method for the precise determination of photoresist exposure time
US4516855A (en) 1981-04-03 1985-05-14 International Business Machines Corporation Method and apparatus for determining the polarization state of a light wave field
US4585348A (en) 1981-09-28 1986-04-29 International Business Machines Corporation Ultra-fast photometric instrument
US4475122A (en) 1981-11-09 1984-10-02 Tre Semiconductor Equipment Corporation Automatic wafer alignment technique
US4555767A (en) 1982-05-27 1985-11-26 International Business Machines Corporation Method and apparatus for measuring thickness of epitaxial layer by infrared reflectance
US4513855A (en) * 1982-12-06 1985-04-30 At&T Technologies, Inc. Substrate elevator mechanisms
JPS59125009A (ja) 1982-12-29 1984-07-19 Fujitsu Ltd パタ−ン検知法
US4595289A (en) * 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
DE3419463C1 (de) 1984-05-24 1985-09-12 Sagax Instrument AB, Sundbyberg Vorrichtung zur Erfassung von Stoffeigenschaften von Probenoberflaechen
US4653924A (en) 1984-06-12 1987-03-31 Victor Company Of Japan, Ltd. Rotating analyzer type ellipsometer
US4681450A (en) 1985-06-21 1987-07-21 Research Corporation Photodetector arrangement for measuring the state of polarization of light
US5236786A (en) * 1986-05-08 1993-08-17 Lanxide Technology Company, Lp Shaped ceramic composites with a barrier
US4815856A (en) 1986-06-05 1989-03-28 Storage Technology Partners Ii Method and apparatus for measuring the absolute thickness of dust defocus layers
US5109430A (en) 1986-07-22 1992-04-28 Schlumberger Technologies, Inc. Mask alignment and measurement of critical dimensions in integrated circuits
US4842410A (en) 1986-10-24 1989-06-27 Geo-Centers, Inc. Apparatus and method utilizing interference fringes to determine the thermal stability of a liquid
EP0266203B1 (en) 1986-10-30 1994-07-06 Canon Kabushiki Kaisha An illumination device
US4908508A (en) 1987-02-12 1990-03-13 Akzo N.V. Process and apparatus for determining thicknesses of layers
US4713140A (en) 1987-03-02 1987-12-15 International Business Machines Corporation Laser luminescence monitor for material thickness
JPS6454207A (en) 1987-08-25 1989-03-01 Matsushita Electric Ind Co Ltd Optical measuring apparatus of film thickness
KR960016175B1 (en) 1987-08-28 1996-12-04 Tokyo Electron Ltd Exposing method and apparatus thereof
JP2560371B2 (ja) * 1988-01-05 1996-12-04 株式会社ニコン 基板処理システム
US5061072A (en) 1988-01-11 1991-10-29 C/O The Secretary, Comonwealth Of Australia Department Of Defence Differential ellipsometer
US4826321A (en) 1988-03-14 1989-05-02 Nanometrics, Incorporated Thin dielectric film measuring system
JPH0224502A (ja) 1988-07-12 1990-01-26 Dainippon Screen Mfg Co Ltd 膜厚測定方法
US5393624A (en) * 1988-07-29 1995-02-28 Tokyo Electron Limited Method and apparatus for manufacturing a semiconductor device
US4873430A (en) 1988-10-25 1989-10-10 International Business Machines Corporation Method and apparatus for optically measuring characteristics of a thin film by directing a P-polarized beam through an integrating sphere at the brewster's angle of the film
US4977330A (en) * 1989-02-13 1990-12-11 Batchelder Tom W In-line photoresist thickness monitor
US4957368A (en) 1989-03-16 1990-09-18 Photoacoustic Technology, Inc. Apparatus and process for performing ellipsometric measurements of surfaces
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5166752A (en) 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
EP0456479B1 (en) * 1990-05-09 2001-01-31 Canon Kabushiki Kaisha Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
JP2928331B2 (ja) 1990-05-14 1999-08-03 東京エレクトロン株式会社 プローバのアライメント装置及び方法
JP2927934B2 (ja) 1990-11-16 1999-07-28 株式会社リコー 薄膜測定方法および装置
IL96483A (en) 1990-11-27 1995-07-31 Orbotech Ltd Optical inspection method and apparatus
US5159412A (en) 1991-03-15 1992-10-27 Therma-Wave, Inc. Optical measurement device with enhanced sensitivity
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
JP2760918B2 (ja) 1992-02-03 1998-06-04 大日本スクリーン製造株式会社 ノッチ付ウエハの位置検出装置
DE69226511T2 (de) 1992-03-05 1999-01-28 Micronic Laser Systems Ab Verfahren und Vorrichtung zur Belichtung von Substraten
JP3314440B2 (ja) * 1993-02-26 2002-08-12 株式会社日立製作所 欠陥検査装置およびその方法
US5438413A (en) 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5826129A (en) 1994-06-30 1998-10-20 Tokyo Electron Limited Substrate processing system
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
IL111229A (en) 1994-10-10 1998-06-15 Nova Measuring Instr Ltd Autofocusing microscope
US5692414A (en) * 1994-12-23 1997-12-02 Hughes Aircraft Company Flywheel having reduced radial stress
IL112313A (en) 1995-01-11 1999-08-17 Nova Measuring Instr Ltd Method and apparatus for determining a location on a surface of an object
US5825913A (en) 1995-07-18 1998-10-20 Cognex Corporation System for finding the orientation of a wafer
US5872632A (en) * 1996-02-02 1999-02-16 Moore Epitaxial, Inc. Cluster tool layer thickness measurement apparatus
JP3579228B2 (ja) 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
TW383414B (en) 1997-03-05 2000-03-01 Tokyo Electron Ltd Photoresist agent processing method and photoresist agent processing system and evaluation method and processing apparatus for photoresist agent film
IL123575A (en) 1998-03-05 2001-08-26 Nova Measuring Instr Ltd Method and apparatus for alignment of a wafer
IL123727A (en) 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6221787B1 (en) * 1998-04-20 2001-04-24 Tokyo Electron Limited Apparatus and method of forming resist film
US6266125B1 (en) * 1998-05-25 2001-07-24 Tokyo Electron Limited Resist processing method and apparatus
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
IL125337A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
EP0973069A3 (en) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Monitoring apparatus and method particularly useful in photolithographically processing substrates
JP4601744B2 (ja) * 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
US6424733B2 (en) * 1998-07-20 2002-07-23 Micron Technology, Inc. Method and apparatus for inspecting wafers
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
US6094256A (en) * 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
IL138193A0 (en) 2000-08-31 2001-10-31 Nova Measuring Instr Ltd A method and system for optical inspection of a structure formed with a surface relief
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US7589832B2 (en) * 2006-08-10 2009-09-15 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device method
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63227020A (ja) * 1987-03-17 1988-09-21 Toshiba Corp 半導体装置の製造装置
JPH01110243A (ja) * 1987-10-23 1989-04-26 Hitachi Vlsi Eng Corp 外観検査装置
JPH022605A (ja) * 1987-12-23 1990-01-08 Texas Instr Inc <Ti> 自動化フォトリソグラフィック・ワーク・セル
JPH02145720A (ja) * 1988-11-25 1990-06-05 Sumitomo Metal Ind Ltd 燃焼ガス排出量の制御方法
JPH05326369A (ja) * 1992-05-26 1993-12-10 Sony Corp パターニング装置
JPH06267813A (ja) * 1993-03-10 1994-09-22 Hitachi Ltd 露光パターン形成装置
JPH09237749A (ja) * 1996-02-29 1997-09-09 Nec Corp 重ね合わせ測定方法
JPH1019790A (ja) * 1996-07-02 1998-01-23 Hitachi Ltd 真空中基板検査装置

Also Published As

Publication number Publication date
US8482715B2 (en) 2013-07-09
US7030957B2 (en) 2006-04-18
EP0973069A3 (en) 2006-10-04
US7289190B2 (en) 2007-10-30
EP0973069A2 (en) 2000-01-19
US20080043229A1 (en) 2008-02-21
US9291911B2 (en) 2016-03-22
JP2000114166A (ja) 2000-04-21
US6842220B1 (en) 2005-01-11
US7525634B2 (en) 2009-04-28
US7821614B2 (en) 2010-10-26
US20040191652A1 (en) 2004-09-30
US20130293872A1 (en) 2013-11-07
US8780320B2 (en) 2014-07-15
US20090231558A1 (en) 2009-09-17
US20110037957A1 (en) 2011-02-17
US20060193630A1 (en) 2006-08-31
US20140320837A1 (en) 2014-10-30
US6603529B1 (en) 2003-08-05

Similar Documents

Publication Publication Date Title
JP4722244B2 (ja) 所定のフォトリソグラフィ工程に従って基板を加工する装置
US6166801A (en) Monitoring apparatus and method particularly useful in photolithographically processing substrates
KR102190305B1 (ko) 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
KR100811964B1 (ko) 레지스트 패턴 형성장치 및 그 방법
US20220057719A1 (en) Lithographic process &amp; apparatus and inspection process and apparatus
US10379448B2 (en) Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
US20050280808A1 (en) Method and system for inspecting a wafer
US20050037272A1 (en) Method and apparatus for manufacturing semiconductor
US20020018217A1 (en) Optical critical dimension metrology system integrated into semiconductor wafer process tool
TWI435182B (zh) 角度分辨散射計及檢查方法
US20050205776A1 (en) AFM-based lithography metrology tool
WO2007094443A1 (ja) 調整方法、基板処理方法、基板処理装置、露光装置、検査装置、測定検査システム、処理装置、コンピュータ・システム、プログラム及び情報記録媒体
US11809090B2 (en) Composite overlay metrology target
KR100788055B1 (ko) 기판 결함 검출 장치 및 방법과 기판 식별 번호 검출 방법
KR102257889B1 (ko) 회절 광학 시스템 정렬 방법 및 회절 광학 요소
TWI448829B (zh) 微影裝置及圖案化方法
JP2022058401A (ja) 基板の特性を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
JP2002057097A (ja) 露光装置、及びマイクロデバイス並びにその製造方法
US7243331B2 (en) Method and system for controlling the quality of a reticle
JPH07209203A (ja) 外観検査装置および外観検査方法
EP3879342A1 (en) Method for inferring a local uniformity metric and associated appratuses
WO2002073173A2 (en) Systems and methods for inspection of specimen surfaces
CN115201216A (zh) 缺陷检查装置、缺陷检查方法及光掩模坯料的制造方法
JP2004258035A (ja) 薄層測定装置及び方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060714

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090727

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090818

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090818

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090820

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091026

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091029

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091127

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091225

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100420

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100720

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100723

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100820

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100825

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100917

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100924

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110308

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110406

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140415

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4722244

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term