ATE476687T1 - Differentielle metrologie für kritische abmessung und überlagerung - Google Patents

Differentielle metrologie für kritische abmessung und überlagerung

Info

Publication number
ATE476687T1
ATE476687T1 AT03800269T AT03800269T ATE476687T1 AT E476687 T1 ATE476687 T1 AT E476687T1 AT 03800269 T AT03800269 T AT 03800269T AT 03800269 T AT03800269 T AT 03800269T AT E476687 T1 ATE476687 T1 AT E476687T1
Authority
AT
Austria
Prior art keywords
dimension
substrate
target
zero diffracted
superposition
Prior art date
Application number
AT03800269T
Other languages
English (en)
Inventor
Christopher Ausschnitt
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Application granted granted Critical
Publication of ATE476687T1 publication Critical patent/ATE476687T1/de

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0055Manufacturing logistics
    • B81C99/0065Process control; Yield prediction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
AT03800269T 2003-12-19 2003-12-19 Differentielle metrologie für kritische abmessung und überlagerung ATE476687T1 (de)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/041438 WO2005069082A1 (en) 2003-12-19 2003-12-19 Differential critical dimension and overlay metrology apparatus and measurement method

Publications (1)

Publication Number Publication Date
ATE476687T1 true ATE476687T1 (de) 2010-08-15

Family

ID=34793603

Family Applications (1)

Application Number Title Priority Date Filing Date
AT03800269T ATE476687T1 (de) 2003-12-19 2003-12-19 Differentielle metrologie für kritische abmessung und überlagerung

Country Status (8)

Country Link
US (2) US7700247B2 (de)
EP (1) EP1709490B1 (de)
JP (1) JP2007522432A (de)
CN (1) CN100442144C (de)
AT (1) ATE476687T1 (de)
AU (1) AU2003300005A1 (de)
DE (1) DE60333688D1 (de)
WO (1) WO2005069082A1 (de)

Families Citing this family (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7739651B2 (en) * 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7751047B2 (en) * 2005-08-02 2010-07-06 Asml Netherlands B.V. Alignment and alignment marks
KR100714280B1 (ko) * 2006-04-27 2007-05-02 삼성전자주식회사 오버레이 계측설비 및 그를 이용한 오버레이 계측방법
US7596420B2 (en) * 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
US7510960B2 (en) 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
DE102006056625B4 (de) * 2006-11-30 2014-11-20 Globalfoundries Inc. Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
US20080233487A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Optimizing Lithography Focus and/or Energy Using a Specially-Designed Optical Critical Dimension Pattern
US8975599B2 (en) * 2007-05-03 2015-03-10 Asml Netherlands B.V. Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
JP4825734B2 (ja) * 2007-06-15 2011-11-30 株式会社日立ハイテクノロジーズ 異種計測装置間のキャリブレーション方法及びそのシステム
JP5069052B2 (ja) * 2007-07-30 2012-11-07 日本電子株式会社 ドーズ補正方法及び荷電粒子ビーム描画装置
US20090121131A1 (en) * 2007-11-13 2009-05-14 Arkady Nikitin Method of determination of resolution of scanning electron microscope
NL1036245A1 (nl) * 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7684038B1 (en) * 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2131244A3 (de) * 2008-06-02 2012-04-11 ASML Netherlands BV Lithografische Vorrichtung und Verfahren zur Messung von Mustereigenschaften
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
NL2003294A (en) * 2008-08-19 2010-03-09 Asml Netherlands Bv A method of measuring overlay error and a device manufacturing method.
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2004297A (en) 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US8146025B2 (en) * 2009-07-30 2012-03-27 United Microelectronics Corp. Method for correcting layout pattern using rule checking rectangle
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
JP5279745B2 (ja) * 2010-02-24 2013-09-04 株式会社東芝 マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
NL2007052A (en) * 2010-07-15 2012-01-17 Asml Netherlands Bv Calibration method and inspection apparatus.
US8555214B2 (en) * 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
WO2012084142A1 (en) 2010-12-23 2012-06-28 Carl Zeiss Sms Gmbh Method for characterizing a structure on a mask and device for carrying out said method
WO2012095808A1 (en) * 2011-01-12 2012-07-19 Nova Measuring Instruments Ltd. Process control using non-zero order diffraction
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
KR102003326B1 (ko) * 2011-08-01 2019-07-24 노바 메주어링 인스트루먼츠 엘티디. 패턴처리 구조의 측정치 확인용 모니터링 시스템 및 방법
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
KR101704591B1 (ko) 2012-02-21 2017-02-08 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
TWI546518B (zh) * 2012-04-20 2016-08-21 德律科技股份有限公司 三維量測系統與三維量測方法
KR101793565B1 (ko) 2012-07-23 2017-11-03 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
DE102012218382B4 (de) * 2012-10-09 2015-04-23 Leica Microsystems Cms Gmbh Verfahren zum Festlegen eines Lasermikrodissektionsbereichs und zugehöriges Lasermikrodissektionssystem
CN102930101B (zh) * 2012-11-01 2015-05-20 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
KR102142167B1 (ko) * 2012-11-09 2020-08-07 케이엘에이 코포레이션 계측 타겟 특성화
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
CN105308508B (zh) * 2013-06-12 2018-08-10 Asml荷兰有限公司 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
US9494853B2 (en) 2013-12-18 2016-11-15 Cypress Semiconductor Corporation Increasing lithographic depth of focus window using wafer topography
US9490182B2 (en) * 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
WO2015121867A1 (en) * 2014-02-16 2015-08-20 Nova Measuring Instruments Ltd. Overlay design optimization
WO2016000914A1 (en) 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
WO2016020925A1 (en) * 2014-08-07 2016-02-11 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
WO2016030255A2 (en) 2014-08-29 2016-03-03 Asml Netherlands B.V. Metrology method, target and substrate
JP6602388B6 (ja) 2015-03-25 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法、メトロロジ装置、及びデバイス製造装置
US11580274B2 (en) * 2015-04-10 2023-02-14 Asml Netherlands B.V. Method and apparatus for inspection and metrology
KR20230110835A (ko) * 2015-04-21 2023-07-25 케이엘에이 코포레이션 기울어진 디바이스 설계를 위한 계측 타겟 설계
US9940429B2 (en) 2015-06-29 2018-04-10 International Business Machines Corporation Early overlay prediction and overlay-aware mask design
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
CN105044941B (zh) * 2015-08-03 2018-01-12 深圳市华星光电技术有限公司 光刻图形的尺寸检测方法
CN109073642A (zh) * 2015-09-17 2018-12-21 格哈德·马勒 用于生物感测和其它应用的传感器设备
NL2017454A (en) 2015-09-28 2017-03-30 Asml Netherlands Bv Hierarchical representation of two-dimensional or threedimensional shapes
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
EP3153924B1 (de) * 2015-10-07 2021-11-17 Aselta Nanographics Verfahren zur bestimmung der dosiskorrekturen für ein ic-herstellungsverfahren durch ein abgleichverfahren
NL2017857A (en) * 2015-12-18 2017-06-26 Asml Netherlands Bv Process flagging and cluster detection without requiring reconstruction
DE102015122726A1 (de) * 2015-12-23 2017-06-29 Bundesdruckerei Gmbh Inspektionsvorrichtung und Verfahren zum Verifizieren eines Chipkartenhalbzeugs
US10067425B2 (en) * 2016-03-29 2018-09-04 Mentor Graphics Corporation Correcting EUV crosstalk effects for lithography simulation
CN109073981B (zh) * 2016-04-04 2021-09-24 科磊股份有限公司 通过填充因数调制的工艺兼容性改善
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10303839B2 (en) 2016-06-07 2019-05-28 Kla-Tencor Corporation Electrically relevant placement of metrology targets using design analysis
US10372113B2 (en) * 2016-09-23 2019-08-06 Kla-Tencor Corporation Method for defocus detection
CN110312966B (zh) * 2017-02-10 2022-03-25 科磊股份有限公司 与散射测量术测量中的光栅非对称相关的不精确性的减轻
KR102384553B1 (ko) * 2017-03-23 2022-04-08 에이에스엠엘 네델란즈 비.브이. 구조체의 비대칭 모니터링
WO2018226215A1 (en) * 2017-06-06 2018-12-13 Kla-Tencor Corporation Reticle optimization algorithms and optimal target design
CN109425318B (zh) * 2017-08-28 2020-06-30 上海富筑实业有限公司 一种检测筛选原生态动物肠衣长度及根数的方法
US10520829B2 (en) * 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using underlying layer information
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US11164768B2 (en) 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
DE102018213127A1 (de) * 2018-08-06 2020-02-06 Carl Zeiss Smt Gmbh Anordnung und Verfahren zur Charakterisierung einer Maske oder eines Wafers für die Mikrolithographie
CN112789557A (zh) 2018-10-30 2021-05-11 科磊股份有限公司 不对称像差的估计
TWI799654B (zh) * 2018-11-29 2023-04-21 美商科磊股份有限公司 度量衡目標,半導體度量衡的方法,電腦程式產品,及度量衡模組
CN109827657B (zh) * 2019-03-12 2024-03-01 深圳劲嘉集团股份有限公司 一种测量素面镭射材料光栅常数的方法和装置
JP7319524B2 (ja) * 2019-04-09 2023-08-02 株式会社東京精密 パターン測定方法及び装置
CN110914965B (zh) * 2019-10-18 2021-05-25 长江存储科技有限责任公司 用于以基于衍射的叠加量测为基础评估临界尺寸的系统和方法
US11036912B2 (en) * 2019-11-11 2021-06-15 Globalfoundries U.S. Inc. Overlay optimization
US11798828B2 (en) * 2020-09-04 2023-10-24 Kla Corporation Binning-enhanced defect detection method for three-dimensional wafer structures
FR3128779B1 (fr) * 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
CN114061451A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号数据拟合方法及其装置
CN117371387B (zh) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 集成电路器件版图参数化构建方法装置、存储介质和终端

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3200894B2 (ja) 1991-03-05 2001-08-20 株式会社日立製作所 露光方法及びその装置
US5363171A (en) * 1993-07-29 1994-11-08 The United States Of America As Represented By The Director, National Security Agency Photolithography exposure tool and method for in situ photoresist measurments and exposure control
IL107549A (en) * 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
USRE38153E1 (en) * 1993-11-09 2003-06-24 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
US5559601A (en) * 1994-01-24 1996-09-24 Svg Lithography Systems, Inc. Mask and wafer diffraction grating alignment system wherein the diffracted light beams return substantially along an incident angle
JP2715895B2 (ja) * 1994-01-31 1998-02-18 日本電気株式会社 光強度分布シミュレーション方法
US5629772A (en) 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
US5867590A (en) * 1995-01-11 1999-02-02 Nova Measuring Instruments, Ltd. Method and apparatus for determining a location on a surface of an object
IL112313A (en) * 1995-01-11 1999-08-17 Nova Measuring Instr Ltd Method and apparatus for determining a location on a surface of an object
IL113829A (en) * 1995-05-23 2000-12-06 Nova Measuring Instr Ltd Apparatus for optical inspection of wafers during polishing
US5631721A (en) 1995-05-24 1997-05-20 Svg Lithography Systems, Inc. Hybrid illumination system for use in photolithography
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5757507A (en) * 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6081325A (en) * 1996-06-04 2000-06-27 Kla-Tencor Corporation Optical scanning system for surface inspection
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US6259513B1 (en) * 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
JP2910716B2 (ja) * 1997-01-16 1999-06-23 日本電気株式会社 光強度計算のパラメトリック解析方法
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JP4327266B2 (ja) * 1997-02-26 2009-09-09 株式会社東芝 パターン寸法評価方法及びパターン形成方法
US5867276A (en) * 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5953128A (en) * 1997-08-28 1999-09-14 International Business Machines Corporation Optically measurable serpentine edge tone reversed targets
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US5916711A (en) * 1997-10-10 1999-06-29 California Institute Of Technology Phase-shifting masks for photolithography
IL123575A (en) * 1998-03-05 2001-08-26 Nova Measuring Instr Ltd Method and apparatus for alignment of a wafer
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
IL123727A (en) * 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US5917594A (en) * 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
IL125338A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
IL125337A0 (en) * 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
EP0973068A3 (de) * 1998-07-14 2001-05-30 Nova Measuring Instruments Limited Methode und System zur Steuerung eines photolithographischen Verfahrens
EP0973069A3 (de) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Kontrollgerät und photolithographisches Verfahren zur Behandlung von Substraten
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
US6094256A (en) * 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
IL126949A (en) * 1998-11-08 2004-03-28 Nova Measuring Instr Ltd Apparatus for integrated monitoring of wafers and for process control in semiconductor manufacturing and a method for use thereof
US6212961B1 (en) * 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6263299B1 (en) * 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6171731B1 (en) * 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
IL128920A0 (en) * 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
WO2000054325A1 (en) 1999-03-10 2000-09-14 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
IL130087A0 (en) * 1999-05-24 2000-02-29 Nova Measuring Instr Ltd Optical inspection method and system
US6183919B1 (en) * 1999-06-11 2001-02-06 International Business Machines Corporation Darkfield imaging for enhancing optical detection of edges and minimum features
JP2001143982A (ja) 1999-06-29 2001-05-25 Applied Materials Inc 半導体デバイス製造のための統合臨界寸法制御
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6432729B1 (en) 1999-09-29 2002-08-13 Lam Research Corporation Method for characterization of microelectronic feature quality
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
IL132639A (en) * 1999-10-28 2003-11-23 Nova Measuring Instr Ltd Optical measurements of patterned structures
US6414750B2 (en) * 2000-01-10 2002-07-02 Lj Laboratories, L.L.C. Spectrometric apparatus and method for measuring optical characteristics of an object
IL136608A0 (en) 2000-02-20 2001-06-14 Nova Measuring Instr Ltd Test structure for metal cmp process monitoring
IL134626A (en) 2000-02-20 2006-08-01 Nova Measuring Instr Ltd Test structure for metal cmp process control
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
IL138193A0 (en) 2000-08-31 2001-10-31 Nova Measuring Instr Ltd A method and system for optical inspection of a structure formed with a surface relief
JP2002110540A (ja) 2000-09-01 2002-04-12 Asm Lithography Bv リソグラフィ装置を操作する方法、リソグラフィ装置、デバイス製造方法、およびそれによって製造されるデバイス
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
IL139368A (en) * 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6879400B2 (en) * 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US6433878B1 (en) 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6383824B1 (en) 2001-04-25 2002-05-07 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control deposition processes
US6433871B1 (en) 2001-05-25 2002-08-13 Advanced Micron Devices, Inc. Method of using scatterometry measurements to determine and control gate electrode profiles
IL144805A (en) 2001-08-08 2006-08-01 Nova Measuring Instr Ltd Method and system for measuring the topograpy of a sample
IL145699A (en) 2001-09-30 2006-12-10 Nova Measuring Instr Ltd Method of thin film characterization
IL146924A (en) 2001-12-04 2007-03-08 Nova Measuring Instr Ltd Metal cmp process monitoring
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
US6609086B1 (en) 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148566A (en) 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for measuring overlap accuracy
US6869739B1 (en) * 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
JP2005123427A (ja) * 2003-10-17 2005-05-12 Nikon Corp 光学性能測定方法、露光方法、露光装置、及びマスク

Also Published As

Publication number Publication date
WO2005069082A1 (en) 2005-07-28
AU2003300005A1 (en) 2005-08-03
DE60333688D1 (de) 2010-09-16
CN1879063A (zh) 2006-12-13
US20100103433A1 (en) 2010-04-29
US20070105029A1 (en) 2007-05-10
US7700247B2 (en) 2010-04-20
US8035824B2 (en) 2011-10-11
EP1709490A4 (de) 2009-03-25
EP1709490A1 (de) 2006-10-11
JP2007522432A (ja) 2007-08-09
EP1709490B1 (de) 2010-08-04
CN100442144C (zh) 2008-12-10

Similar Documents

Publication Publication Date Title
ATE476687T1 (de) Differentielle metrologie für kritische abmessung und überlagerung
ATE504862T1 (de) Verfahren zum erkennen von überlagerungsfehlern mittels scatterometrie
US10203200B2 (en) Analyzing root causes of process variation in scatterometry metrology
SG170759A1 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
EP2458441A3 (de) Messverfahren, Vorrichtung und Substrat
SG125922A1 (en) Device inspection
WO2008036827A3 (en) Method for generating a design rule map having spatially varying overlay budget
ATE486275T1 (de) Verfahren und vorrichtung zur bestimmung mehrerer analyten
WO2002069390A3 (en) Grating test patterns and methods for overlay metrology
CN105849885A (zh) 多重图案化参数的测量
TW200612212A (en) Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers
ATE385032T1 (de) Mehrfachsonden-messgerät und zugehöriges anwendungsverfahren
TW200627085A (en) Lithographic apparatus with multiple alignment arrangements and alignment measurement method
EP1931947A2 (de) System zur bewegungsdetektion eines körpers
TW200951636A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1372040A3 (de) Lithographischer Apparat und Verfahren zur Herstellung eines Artikels
EP2071402A3 (de) Ausrichtungsverfahren, Ausrichtungssystem und Produkt mit Ausrichtungsmarkierung
ATE513202T1 (de) Verfahren und vorrichtung zur dreidimensionalen rekonstruktion der verteilung von fluoreszierenden elementen
WO2007134000A3 (en) Long-range gap detection with interferometric sensitivity using spatial phase of interference patterns
WO2010086068A3 (en) Determining critical dimension and overlay variations of integrated circuit fields
IL272780B1 (en) A method for determining a parameter for building processes
Maas et al. YieldStar: a new metrology platform for advanced lithography control
ATE496282T1 (de) Scale- und readhead-system
WO2004097519A3 (en) Method and mark for metrology of phase errors on phase shift masks
CN104795383B (zh) 对准标记、对准标记的检测方法和对准标记检测装置

Legal Events

Date Code Title Description
RER Ceased as to paragraph 5 lit. 3 law introducing patent treaties