CN100442144C - 微分临界尺寸和覆盖计量装置以及测量方法 - Google Patents

微分临界尺寸和覆盖计量装置以及测量方法 Download PDF

Info

Publication number
CN100442144C
CN100442144C CNB2003801108418A CN200380110841A CN100442144C CN 100442144 C CN100442144 C CN 100442144C CN B2003801108418 A CNB2003801108418 A CN B2003801108418A CN 200380110841 A CN200380110841 A CN 200380110841A CN 100442144 C CN100442144 C CN 100442144C
Authority
CN
China
Prior art keywords
target
diffraction
nominal
along
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2003801108418A
Other languages
English (en)
Other versions
CN1879063A (zh
Inventor
C·奥斯科尼特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1879063A publication Critical patent/CN1879063A/zh
Application granted granted Critical
Publication of CN100442144C publication Critical patent/CN100442144C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0055Manufacturing logistics
    • B81C99/0065Process control; Yield prediction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Structure Of Printed Boards (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

描述了一种在衬底上测量尺寸的方法,其中标板图形(455)具有以主间距周期P重复的标称特征尺寸,并具有垂直于主方向的预定变化。然后辐照形成在所述衬底上的所述标板图形(455),以探测至少一个非零衍射级次。将所述非零衍射级次对印刷特征尺寸相对于标称特征尺寸的变化的响应用于确定在所述衬底上的所关心的尺寸,例如临界尺寸或覆盖。用于实施本发明的方法的装置(40)包括辐照源(410)、用于探测非零衍射级次的探测器(460),以及用于相对于所述标板(455)定位所述源(410)的装置,以便在所述探测器(460)探测来自所述标板(455)的一个或多个非零衍射级次。

Description

微分临界尺寸和覆盖计量装置以及测量方法
技术领域
本发明一般涉及半导体制造,更具体地说,涉及用于微电子制造的光刻和蚀刻工艺条件的监视和控制。
背景技术
在微电子制造期间,通过进行光刻处理和随后的蚀刻处理的一系列工具处理半导体晶片,以在晶片的衬底中形成部件和器件。这种处理具有广泛的工业应用,包括半导体、平板显示、微机械以及磁头的制造。
光刻工艺允许通过空间调制光(空间图像)将掩膜或标度线(reticle)图形转移至衬底上的光致抗蚀剂(下文中,也可替换地称为抗蚀剂)膜。其能量(所谓的光化能量)超过光致抗蚀剂材料的感光成分(PAC)中化学键的阈值能量的被吸收空间图像部分在抗蚀剂中产生潜在图像。在一些抗蚀剂系统中,该潜在图像通过PAC直接形成;在其它抗蚀剂(所谓的酸催化光致抗蚀剂)中,光化学互作用首先产生酸,该酸在曝光后的烘焙期间与其它光致抗蚀剂成分反应,以形成潜在图像。在任何一种情况下,潜在图像标记抗蚀剂材料在显影工艺期间被去除(在正光致抗蚀剂情况下)或在显影后保留(在负光致抗蚀剂情况下)的部分,以在抗蚀剂膜中产生三维图形。在随后的蚀刻处理中,所得的抗蚀剂膜图形用于转移在抗蚀剂中构图的开口,以在其下的衬底中形成蚀刻图形。能够监视通过光刻工艺和蚀刻工艺形成的图形的保真度,然后控制或调整这些工艺以校正任何不足是至关重要的。因此,制造工艺包括利用各种计量工具以测量和监视在晶片上形成的图形的特性。通过这些计量工具采集的信息可用于调整光刻和蚀刻处理条件,以确保满足制造生产技术要求。
参考图1,示意性示出了用于制造半导体的典型光刻和蚀刻生产制造线10。沿方向100在制造线10中处理一个或多个半导体晶片5。光组件110包括光刻工具,该光刻工具包括用于在晶片上沉积和烘焙抗蚀剂、在晶片平面上使图形成像(例如曝光工具112)的轨道(track)工具111,以及用于烘焙和显影在抗蚀剂膜上的曝光图形的曝光后轨道工具113。光刻后,各种工具用于测量在抗蚀剂上形成的图形的特性。例如,覆盖测量工具(OLM)120用于确保在抗蚀剂层上形成的图形与之前在晶片上形成的图形充分对准。扫描电子显微镜(SEM)130典型地用于测量图形部件的临界尺寸(CD)的宽度。来自计量工具120、130的这些测量可传给光组件110和蚀刻组件140(典型地包括蚀刻室141),如数据流路径135所示,以允许根据这些测量调整工艺条件。
在处置步骤125中评价这些测量,其中必须作出晶片5是否应当经历返工工艺101决定,在该返工工艺101中,从晶片5去除抗蚀剂,并送回光组件110以在修正的光刻条件下再次施加抗蚀剂图形。如果抗蚀剂图形满足生产技术要求,可用蚀刻组件140继续处理晶片5。通常,根据对各晶片的有限数量的测量作出这些决定;例如,每个晶片在约20个部位的2-3次覆盖测量和在5-10个部位的仅仅1次CD测量。要求该有限数量的测量保持每晶片约30秒或每小时约100个晶片的适当的吞吐处理量。
如果晶片5满足覆盖和CD测量要求,晶片5在蚀刻组件140中继续进行处理,其中将抗蚀剂图形转移至晶片衬底。通过计量工具例如在线SEM 130或原子力显微镜(AFM)150,所得的在衬底上的图形将再次经历测量。来自计量工具130、150的蚀刻后计量数据可反馈至沿数据流路径135在线内的其它工具,从而可对工艺条件进行调整。
周期性地,可利用类似于在线中所用的工具,例如OLM 120、SEM 130以及AFM 150,进行更大数量的离线测量15,该离线测量15还可包括其它工具,例如膜厚测量工具(FTM)160和电探针测量工具(EPM)170。
希望在更多部位和在所有晶片上获得更多测量。因此,参考图2,更希望的假想晶片处理系统20在光组件110内可包括工具例如FTM 160和OLM 120。其它计量工具和方法也会是有利的,例如散射测量计量(SCM)180和显微镜(MCR)185,其将提供现今通常未提供的信息。虽然与常规系统相比,该假想的处理系统20可提高计量能力,但该提高的能力的实现以增加复杂性和成本为代价。
近年来,所谓的“散射测量”技术已很发达,其能够实现周期结构的光学计量而不需要例如SEM或AFM的复杂硬件。散射测量的原理是,可从光栅状图形的反射或零级衍射能量提取关于小图形的详细信息。常规SCM利用来自晶片上的图形的反射能量,并比较反射能量的信号,以确定图形特性。SCM具有相对速度和简单的优点,但要求开发可使反射信号与之匹配的大规模信号库。开发这些库成本高且耗费时间,并且还需要计算机服务器190和相关的数据库以进行所需的比较。也可将散射测量添加到离线计量系统25,以提高信息的质量和数量以及对光刻和蚀刻工艺的后续控制。例如,Littau等人(美国专利6,429,930)已描述了利用散射测量确定聚焦中心,通过测量衍射特征并与在不同入射角、波长和/或相位的衍射特征库比较,确定聚焦的中心。然而,散射测量计算上密集,并要求服务器区段(farm)和数据库包括信号库,从而提高复杂性和成本。散射测量要求同时确定关于膜叠层和标板(target)图形的多个自由参量。其成功取决于通常不确定的膜叠层和图形特性的详细的先验知识。由于常规散射测量不是微分测量,其在CD测量的应用对噪声敏感:例如,测量变化如辐照、波长、探测器响应、标板对准;工艺变化如膜厚和光特性。常规散射测量还只限于可用于表征膜厚的第零衍射级的探测,但由于由膜叠层引起的标板CD,常规散射测量在区别信号特征中通常具有差的信噪比。与散射测量一起使用的标板必须足够大,以使辐照包括在标板内(即辐照必须完全落在标板区域内),这比典型的CD或覆盖标板占用更多的芯片面积。另外,随着标板部件变得更孤立(标板CD与标板周期的比率降低),散射测量能力下降。由于CD对散焦的灵敏度随着孤立程度增大而增大,测量散焦的能力,即一种关键的光刻处理参量,需要测量孤立部件。
希望控制光刻工艺条件(例如,曝光剂量和散焦),以确保最高质量的图像。光致抗蚀剂图像的主要决定因素是这样的表面,在该表面上曝光能量等于在抗蚀剂膜中的光致抗蚀剂阈值能量。“曝光”和“聚焦”是控制该表面的线条的变量。通过辐照时间和密度设定的曝光确定每单位面积的空间图像的平均能量。通过衬底反射和形貌的变化,可引起曝光的局部变化。通过相对于成像系统的焦平面的光致抗蚀剂膜的位置设定的聚焦确定调制相对于焦距对准(in-focus)图像的降低。通过衬底膜厚和形貌的变化,可引起聚焦的局部变化。
显微镜(MCR)185的使用可用于连接特别设计的计量标板以监视剂量和聚焦,这将在下面进一步描述。半导体制造中晶片的光刻构图取决于对光刻工艺的控制,以确保各种图形部件位于公共的工艺窗口内。该工艺窗口是满足所有图形容差的参量空间。因此,要求精确测量和控制光刻处理的两个基本参量,具体地说,剂量和聚焦(或散焦)。剂量规定图像的平均能量,以及散焦是引起图像劣化的最低级行差。光刻控制必须基于对剂量和散焦的可测量图形属性的预定响应。希望在制造工艺期间在线控制剂量和聚焦。
表征图形对剂量和散焦的响应的一种方法是通过使用聚焦曝光矩阵(FEM)。形成测试图形的栅格或矩阵,其中通过聚焦和剂量设定范围处理栅格元件,并测量在各栅格元件内的图形属性以表征光刻工艺。
通常利用扫描电子显微镜(SEM)或光学工具以形成构图晶片(例如FEM晶片)的图像,进行图形属性的测量。然而,SEM计量实施起来很昂贵,操作较慢,并且很难自动化。
使用显微镜以获得剂量和聚焦的方法已由Ausschnitt等人描述过(例如,C.P.Ausschnitt,“Distinguishing dose from defocus for in-linelithography control”,SPIE,Vol.3677,pp.140-147(1999);Ausschnitt等人,美国专利5,965,309;Ausschnitt等人,美国专利5,976,740)。Ausschnitt等人已公开了用于表征剂量和聚焦的双色调(tone)计量标板(称为“schnitzl”)。通过存在或不存在通常以层或膜沉积在将要蚀刻的晶片的衬底表面上的抗蚀剂材料,确定光刻图形的“色调”。图形是在空白背景上的抗蚀剂线条或在抗蚀剂材料的背景下不存在抗蚀剂线条(即间隔)。可通过互换光刻工艺期间曝光的区域形成互补色调图形。可通过如下方法在抗蚀剂材料中产生这些色调图形:制备具有对应于将要在抗蚀剂材料上产生的线条或间隔的不透明和透明区域的掩膜,然后在掩膜一侧上利用辐射源将掩膜线条和间隔辐照并投影到在掩膜相反侧的抗蚀剂层。由Ausschnitt等人公开的双色调计量标板具有可利用显微镜系统测量的对剂量和聚焦的微分响应(例如,通过利用微分偏置和线缩短(line shortening)效应)。其另一个优点是,可利用相同的显微镜系统测量覆盖以及剂量和聚焦。然而,schnitzl测量对光刻聚焦偏差的粗对称灵敏度导致关于聚焦偏差迹象的模糊。另外,该“schnitzl测量”方法要求高质量的显微镜和聚焦能力,其中为了获得所需的测量,必须俘获schnitzl测量和覆盖标板的精确图像。精确的、焦距对准图像的俘获增加测量所需的时间,并使得测量对可能在光和蚀刻组件中存在的工艺和环境变化敏感。
常规覆盖计量也依赖显微镜,并对类似的透镜质量、聚焦和工艺变化问题敏感。具体地说,显微镜的使用引入例如工具导致的偏移(TIS)的误差源、由工具校准和光学对准变化以及晶片导致的偏移(WIS)引起的误差、由下层和覆盖标板本身的工艺不均匀引起的误差。
因此,仍需要不昂贵的、快速、在线的测量和控制光刻和蚀刻工艺的构图的方法和系统;其主要对在单层和相对于前一图形层上的图形尺寸敏感,并对已形成图形的膜以及下层的膜叠层和衬底的特性不敏感。
考虑到现有技术的问题和不足,因此本发明的一个目的是提供一种集成计量系统,包括在线测量和控制工具、测试图形以及用于确定光刻和蚀刻工艺条件以及覆盖误差的评价方法,通过该方法,一个图形组能够在曝光、聚焦和蚀刻问题之间区分,以及第二图形组能够在半导体图形处理中测量二维覆盖误差,并且两组测量可同时进行。
本发明的另一个目的是提供一种使用容易且不昂贵的评价光刻参量例如聚焦和曝光,以及蚀刻参量例如速率和各向同性的方法。
本发明的另一个目的是提供一种能够确定临界尺寸、轮廓属性(例如侧壁角度、厚度损耗)、曝光和聚焦条件、覆盖误差以及膜厚特性的单一装置。
本发明的另一个目的是提供一种确定对光刻和蚀刻工艺参量的校正以维持最优构图性能的方法。
通过说明书,本发明的其它目的和优点将部分明显和部分显而易见。
发明内容
在本发明中实现了对本领域的技术人员将是显而易见的以上和其它目的和优点,本发明的第一方面旨在一种在衬底上测量尺寸的方法,包括提供标称图形,所述标称图形包括在主方向上具有主间距周期P的部件阵列,其中所述标称图形由沿所述主方向(例如x方向)以所述周期P重复的标称特征尺寸表征,以及所述标称特征尺寸具有沿与所述主方向垂直的方向(例如y方向)的预定变化。所述标称图形用于在所述衬底上形成对应于所述标称图形的标板图形,以使所述标板图形具有对应于所述标称特征尺寸的衬底特征尺寸。所述图形的所述特征尺寸未必是将要测量的所关心的尺寸。然后用由至少一个波长表征的辐射辐照在所述衬底上形成的所述标板图形,以产生衍射级次。根据所述衬底特征尺寸相对于所述标称特征尺寸的偏差,提供所关心的尺寸(即将要测量的尺寸)与沿所述垂直方向探测到的一个或多个非零衍射级次的变化之间的关系。探测沿所述垂直方向(例如y方向)的所述一个或多个非零衍射级次的对应变化,然后基于所述探测到的所述一个或多个非零衍射级次的变化,根据所述提供的关系确定所关心的所述尺寸。在使用多波长或宽带辐照的情况下,探测在所述平行方向(例如x方向)所述一个或多个非零衍射级次的变化,以确定衍射强度对所述标板尺寸和轮廓特征的变化的光谱响应。
优选地,虽然本发明预期可使用任何或多个可探测的非零衍射级次,选择第一非零衍射级次的强度的变化。通过使用多波长或宽带辐照,本方法允许测量和控制图形轮廓特征以及剂量和散焦。
本方法适于临界尺寸(CD)测量。通过使用根据本发明设计的双色调标板图形,本方法允许测量和控制光刻参量,例如剂量和散焦。
本方法也适于覆盖测量。在覆盖测量情况下,利用一个或多个非零衍射级次的强度和相位变化。
在另一方面中,本发明旨在一种用于进行计量测量的装置,包括:用于辐照标板图形的辐射源;用于探测一个或多个非零衍射级次的所述变化的探测器;用于保护衬底的装置;以及用于定位所述源、所述衬底以及所述探测器的装置,以使所述源辐照所述标板图形,以及使所述探测器探测从所述标板图形衍射的所述辐射的一个或多个非零级次的所述变化。
本发明的装置还配置有用于探测由所述标板图形衍射的所述辐射的零级的第二探测器,包括用于相对于所述源和所述衬底定位所述第二探测器的装置,以使所述第二探测器探测零级,以及用于基于所述探测到的零级确定所关心的第二尺寸的装置。例如,可通过探测由所述衬底的未构图区域或所述标板的未构图区域反射的零级确定膜厚。
本发明的又一方面旨在一种用于微分计量的装置,所述装置配置为用于在例如集成电路的半导体制造期间的在线操作(例如在生产轨道或蚀刻工具上)。所述装置包括用于基于所述一个或多个非零衍射级次的所述变化确定工艺条件(例如剂量和散焦,或者蚀刻速度和各向同性)与标称工艺条件的偏差的装置,以及根据所述确定的工艺条件偏差在随后的工艺条件中提供调整的装置。
根据本发明的另一方面,提供了一种在衬底上测量尺寸的方法,包括以下步骤:提供标称图形,所述标称图形包括在主方向上具有主间距周期P的部件阵列,其中所述标称图形由沿所述主方向以所述周期P重复的标称特征尺寸表征,以及所述标称特征尺寸具有沿与所述主方向基本上垂直的方向的预定变化;在所述衬底上形成对应于所述标称图形的标板图形,其中所述标板图形具有对应于所述标称特征尺寸的衬底特征尺寸;用由至少一个波长表征的辐射辐照所述标板图形,以从所述标板图形产生衍射级次;根据所述衬底特征尺寸相对于所述标称特征尺寸的偏差,提供待测量的尺寸与沿所述基本上垂直方向探测到的一个或多个非零衍射级次的变化之间的关系;探测沿所述基本上垂直方向的所述一个或多个非零衍射级次的变化;以及基于所述探测到的所述一个或多个非零衍射级次的变化,根据所述关系确定所述待测量的尺寸。
根据本发明的另一方面,提供了一种用于实施上述方法的装置,包括:用于辐照所述标板图形的辐射源;用于探测所述一个或多个非零衍射级次的变化的探测器;用于保护所述衬底的装置;以及用于定位所述辐射源、所述衬底以及所述探测器的装置,以使所述源辐照所述标板图形,以及使所述探测器探测从所述标板图形衍射的所述辐射的所述一个或多个非零级次的变化。
根据本发明的另一方面,提供了一种在衬底上测量尺寸的方法,包括以下步骤:在衬底上提供标板图形,所述标板图形与一标称图形对应,所述标称图形包括在主方向上由主间距周期P表征的部件阵列,所述标称图形还由沿与所述主方向基本上垂直的方向限定的特征尺寸表征,其中所述标板图形具有与所述标称图形的所述特征尺寸相对应的衬底特征尺寸;用由至少一个波长表征的辐射辐照所述标板图形,以从所述标板图形产生衍射辐射;提供用于根据沿与所述主方向基本上垂直的所述方向所述衍射辐射的一个或多个非零级次的可测量值确定在所述标板图形中沿所述主方向待测量的尺寸的关系,所述一个或多个非零级次的可测量值根据在所述标板图形中所述衬底特征尺寸出现;探测所述衍射辐射的所述一个或多个非零级次的所述可测量值;以及基于所述探测到的所述衍射辐射的所述一个或多个非零级次的可测量值,根据所述关系确定所述待测量的尺寸。
根据本发明的另一方面,提供了一种用于实施上述方法的装置,包括:辐射源,用于辐照所述标板图形;会聚光学系统,配置为沿所述主方向会聚所述一个或多个非零级次并沿所述基本上垂直方向使所述标板图形成像;以及探测器阵列,配置为探测沿所述基本上垂直方向和沿所述主方向来自所述会聚光学系统的所述一个或多个非零级次的空间变化。
附图说明
现在将参考结合本申请的附图更详细说明本发明,其提供了一种用于临界尺寸(CD)、覆盖和膜厚计量以及光刻工艺控制的方法。注意,在附图中,类似的参考标号用于说明类似和相应的部分。附图没必要按比例绘制。
图1示出了由光刻、蚀刻和各种计量工具构成的半导体构图系统的典型组件;
图2示出了由利用散射测量(SCM)工具获得的复杂性增加的半导体构图系统;
图3示出了由利用本发明的集成计量(IM)装置获得的半导体构图系统;
图4A和4B分别示出了本发明装置的一个实施例的正交侧视图;
图4C示出了图4A中的装置视图的更详细视图;
图4D示出了图4B中的装置视图的更详细视图;
图5A示意示出了光栅标板的二维反射率;
图5B示出了作为图5A的光栅元件的宽度的函数获得的一级强度;
图6是由锥形光栅元件构成的光栅标板的自顶向下视图;
图7A-7F示出了在图4的装置的CCD阵列上锥形光栅标板的一级衍射强度的图像,其中图7A示出图像,图7B示出在平行于标板周期的方向上求和的强度,以及图7C示出用于单色辐照的在垂直于标板周期的方向上求和的强度。图7C-7F是示出多波长辐照情况的对应示图;
图8示出了被划分为两个区域的光栅标板,其中光栅元件具有相反的锥形,但具有共同的周期;
图9A示出了被划分为四个区域的光栅标板,其中每对区域中相反锥形的光栅元件具有相反的色调,但具有共同的周期;
图9B和9C分别示出了详细的锥形和色调反转;
图10A-10C示出了对应于模拟结果的物理标板。图10A是顶视图,图10B-C是衬底和标板的截面视图;
图11A-11D示出了描绘图10A-10C的标板的间隔和线条端的模拟轮廓;
图12示出了模拟的在垂直于标板周期的方向上波长平均强度分布;
图13A-13B示出了图9中标板的模拟剂量和聚焦响应;
图14A-14B示出了作为波长和氧化物厚度的函数的零级和一级衍射效率的模拟响应;
图15A-15B示出了作为波长和曝光剂量的函数的零级和一级衍射效率的模拟响应;
图16A-16B示出了在两种不同的设计光栅元件宽度情况下作为波长和聚焦的函数的一级衍射效率的模拟响应;
图17示出了被划分为两个区域的光栅标板,其中光栅元件具有不同的宽度,但具有共同的周期;
图18示出了两个光栅区域的一级衍射强度的图像;
图19是两个光栅的一级衍射强度的曲线,其中两个光栅的宽度相差其平均宽度的30%;
图20是示出由对等式(20a)-(20b)的“+”解计算的宽度等于标称宽度的曲线;
图21绘制了对于两个宽度与标称宽度的分数偏差β的不同值,作为标称宽度的函数的可测量对比度的平方;
图22A-22B绘制了在标称宽度的整个范围内(22A)和在最关心的范围内(22B)宽度对可测量对比度的灵敏度;
图23示出了由四个区域构成的双色调线宽度标板,其中两个区域包括不同宽度的孤立线的线条,两个区域包括不同宽度的孤立线间隔;
图24绘制了图23中的标板对剂量和聚焦的模拟响应;
图25示出了由四个区域构成的双色调线长度标板,其中两个区域中由不同长度的周期正交线间隔的端限定孤立线的线条,由不同长度的周期正交线的线条的端限定另两个区域;
图26示出了分别提高了对剂量和聚焦的响应的标板。剂量标板利用在掩膜上的次级分辨辅助部件在衬底上提高剂量灵敏度并抑制聚焦灵敏度。聚焦标板利用锥形线端提高对线端缩短的聚焦灵敏度;
图27是对图26的剂量和聚焦标板的剂量和聚焦响应的曲线;
图28示出了由密集接触孔构成的微分光栅标板版图;
图29示出了由垂直于光栅周期定向的密集平行线构成的微分光栅标板版图;
图30示出了由以不同周期在图17所示的类型的多个标板构成的标板;
图31示出了在用于图30所示的标板的本发明装置中的光线;
图32示出了分别与图30和31的标板和装置对应的探测强度分布;
图33示出了用于图17的标板版图的零级探测强度;
图34是用于使正和负一级衍射成像的装置结构;
图35示出了适于覆盖计量的两层光栅标板的二维反射率的示意图,以及获得的对于不同条件的相对振幅γ和相位φ,依赖于光栅元件的相对位置的一级强度的曲线;
图36是由相反倾斜的光栅元件构成的两层光栅标板;
图37A-37D示出了在本发明装置的探测器阵列上两层倾斜光栅标板的正和负一级衍射强度的代表性单色图像;
图38A-38D示出了在本发明装置的探测器阵列上两层倾斜光栅标板的正和负一级衍射强度的代表性多波长图像;
图39示出了由一对相反倾斜的光栅标板构成的两层“沙漏”标板;
图40示出了被划分为三个区域的两层光栅标板,其中在B处理层的光栅元件具有相对于A处理层的光栅元件的不同位置;
图41A-41B示出了与图40的标板对应的三个光栅区域的正和负一级衍射强度的图像;
图42A-42C绘制了当Δ=P/4时在两层之间不同相对反射率相位条件下,作为覆盖误差的函数的三个区域的正和负一级衍射强度;
图43是在φ=(0,π)和n=±1级相同的情况下确定ψ的变换的流程图;
图44是在ΔC≠0的情况下确定正衍射级次ψ+的变换的流程图;
图45是在ΔC≠0的情况下确定负衍射级次ψ-的变换的流程图;
图46A绘制了对于所有允许的γ和φ值,计算的覆盖对覆盖误差εin的任何输入值的响应εc,以表明在允许的动态范围内εc=εin
图46B绘制了覆盖误差εx对计算的εc的可测量分量κ、η的变化的灵敏度;
图47A-47B示出了能够利用常规源和探测器快速顺序测量正和负一级衍射强度的装置;
图48示出了结合的CD、用于测量的覆盖和膜厚标板、x和y定向的CD、在两对层中x和y覆盖误差,以及在未构图区域中的膜厚;
图49A-49D示出了顺序探测的图48所示的标板的正和负一级强度、恒定探测的零级强度,以及用于图形识别和对准的标板图像;
图51是几何关系的详细视图,在装置的入射和反射光束之间必须保持该几何关系,以确保随着中心波长的改变,可同时探测零级和一级衍射能量;
图52是本发明的标板设计方法的流程图;
图53是本发明装置可能的测量的测量模式的流程图;
图54是当利用本发明装置时零级测量分析的流程图;以及
图55A-55B是根据本发明的测量方法和装置用于CD、剂量、聚焦和覆盖确定的本发明数据分析的流程图。
具体实施方式
微分CD
参考图3,根据本发明,说明了单一的集成光学计量(IM)工具200,其可用于进行顺序或同时测量CD、覆盖以及膜厚。可在在线处理系统30内采用本发明的IM工具200,其中IM工具200不需要SEM工具130、OLM工具120、FTM工具160或SCM工具180(见例如图1或2)。可将IM工具200配置为集成到光刻处理工具或蚀刻处理工具中,从而在在线处理期间能够计量。IM工具200所用新颖微分标板和测量方法提供了在各测量部位的原位CD和覆盖校准。下面将要更详细说明的与合适的计量标板结合使用的IM工具200可快速可靠地在所有晶片上的多个晶片部位上获得测量,而不增加成本和复杂性,并且与常规计量方法相比,将维持或提高晶片的吞吐量。例如,希望在各晶片上进行至少五十次测量,但维持每小时至少100个晶片的晶片吞吐量。这转换为每晶片50次测量约0.5秒的移动、对准及测量(MAM)时间。当前MAM时间在每晶片部位3-5秒的范围内。也可在离线系统35中采用IM工具200,代替FTM工具、SEM工具、OLM工具或SCM工具,从而降低总成本和复杂性。
根据本发明,说明了一种包括方法、装置和标板结构的集成计量(IM)系统,其用于进行对CD、剂量和散焦、以及覆盖的光学测量。利用适当设计的标板结构和方法,同一装置可用于所有测量。利用其中使用反射或散射能量的常规方法(例如常规反射测量、椭圆测量或散射测量),本发明的IM装置和系统也可适于获得其它测量,例如膜厚和图形轮廓。本发明的IM系统适合在光组件内和蚀刻组件内在线晶片处理期间使用,或者用于离线晶片处理。由于本发明系统配置为探测由在晶片上设计的标板计量结构衍射的不同级次,为了方便,下文中将本发明的计量方法和系统,包括相关标板结构,称为“衍射测量”。参考附图以示出根据本发明的方法,其未必按比例绘制。
在图4A-4D中示意性示出了根据本发明的衍射测量系统40的一个实施例。提供辐照源410,其优选为多波长源,例如一组发光二极管(LED)或激光器,或者有限波带的源,例如氙灯,其经过辐照光学系统413(可包括缩倍物镜和准直物镜,未示出)投影到在晶片450上形成的标板455上。在图4A中,晶片450位于具有x和y方向(其中y轴向里或向外指向图4A的平面)的水平面上,以及垂直于晶片的平面的轴为垂直z方向。晶片450可包括衬底451和膜叠层452,膜叠层452可包括至少一层,典型地为包括例如光致抗蚀剂(或抗蚀剂)材料层的多层。可选地提供起偏器414,其可设定为当没有标板455时优化衍射级次的衍射效率和/或晶片450的反射率。具体地说,横磁(TM)场偏振将提高来自光栅标板455的的一级衍射效率。可选地提供滤色器412,其修整在主辐照波长λ0周围的带宽,以使辐照能量在足够宽的波长±Δλ的至少一个波带范围内,以确保在反射离开构图标板455的信号中的对比度足以与从晶片450的表面的未构图区域475反射的信号区分。对于在抗蚀剂中形成的标板,辐照带宽λ0±Δλ必须落在光化能量的范围之外,以使抗蚀剂不经受附加的修改。辐照能量优选不是纯单色的,因为通过在膜叠层452中给定厚度的层中的内部反射,单色辐照被衰减。从而,滤波器412提供其波长在λ0±Δλ带宽内的至少一个波带的能量,其中选择λ0,以使具有主间距P的标板455的非零衍射级次将通过会聚光学系统或物镜透镜430被会聚,这将在下面更详细讨论。在图4A所示的x-z平面中,会聚光学系统430将波长色散的非零衍射级次以标称入射角投影到探测器阵列460上。在图4B所示的y-z平面中,会聚光学系统430使标板455的y尺寸成像到探测器阵列460上。探测器的平面由坐标(x′,y′)描述,以区分其与由坐标(x,y)描述的衬底平面。可提供图像处理器490,以分析由探测器阵列460探测的信号,并确定所关心的尺寸。用于图像处理器490的分析方法取决于将要测量的尺寸,这将在下面更详细描述。
在图4A-4D中示出的发明的衍射测量装置40的实例中,其配置为使发明的衍射测量标板455成像,具有沿x方向定向并选择为使P>λ的重复元件601的主周期P(或等效地,间距P)。例如,考虑由一个或多个子区600构成的根据本发明的标板455,其中各子区600由沿x方向以周期P重复的元件601构成(如图4A所示),并沿y方向具有尺寸H,如图4B所示。这种标板将适于测量CD。排列和配置衍射测量装置40的类似原则也可应用于使根据本发明的覆盖标板成像。在优选实施例中,如此配置装置40,以使辐照沿x方向(即主周期P的方向)被导向,并相对于探测器460所沿循的z轴方向以角度θ在标板455上入射:
θ=arcsin(nλ0/P)                   (1)
注意到必须满足条件P>nλ0,以允许辐照角度θ具有0°至90°之间的实数值。零级光线440将以相对于z轴的角度-θ反射,以及第n衍射级次光线441将基本上平行于z方向。
如果满足等式(1)的条件,第n衍射级次441将以由下式给出的在x方向上关于z轴大致对称的角度分布衍射:
Δθ = ± ( nΔλ P cos θ ) - - - ( 2 )
成像物镜430配置为俘获第n衍射级次441而不与入射和反射光线440干涉,并在探测器阵列460上投影第n衍射级次的图像。探测器阵列460可以是本领域已知的电荷耦合器件(CCD),或者其它类似的阵列。选择辐照能量带宽λ0±Δλ以及标板455的主周期,以使第n衍射级次441可与其它衍射级次区分,而不在探测器阵列460处主周期方向上(即沿x′方向)叠加。如果P=1000nm,例如,以θ=30度入射的在λ0±Δλ=500±200nm范围内的宽带辐照在±Δθ=±13.3度的范围内具有一级衍射角。
会聚透镜430设计为使标板455的y尺寸以放大倍率M成像在探测器阵列460上。从而在探测器460上的衍射能量的跨度为y′尺寸,该y′尺寸总是标板子区600的y尺寸H的多倍M。在x方向上,标板455由以周期P间隔的N个元件601构成。对于平面波单色辐照,投影到探测器阵列460上的非零级次衍射能量的跨度为x′尺寸LI0),该x′尺寸LI0)由在会聚透镜430的表面处衍射光束的散度确定。图4C所示的衍射光束的主条纹的角宽度ω给出为:
ω = λ NP cos ( θ ) - - - ( 3 )
辐照波长为λ时,周期P和构成标板455的元件601的数目N应提供足够的角色散,以使第一衍射级次可与其它衍射级次区分。例如,沿x方向以约1μm的间距P的约10个元件601应提供约±2度的足够小的角色散。从而,沿标板455的优选实施例的x方向的总尺寸约为10μm。对于N=10,P=1μm,λ0=500nm,θ=30度,公式(3)给出ω≈3.3°。在透镜表面,光束扩展是z0tan(ω),其中z0是会聚透镜与衬底的距离。非零衍射级次的强度可以沿探测器的x′尺寸变化,例如,如由一级强度I1(x′)的曲线491所示。在探测器阵列460处衍射能量的跨度将具有取决于辐照的带宽的长度LI,如图4C所示。因此,对于波长λ0的单色辐照,以及对于z0=10mm,在探测器阵列上的衍射能量的x′方向的跨度将是LI0)≈600μm。对于在λ0±Δλ范围的多波长或宽带辐照,传输散度与x方向的波长色散卷积,以进一步扩展x方向的投影能量。在宽带辐照的情况下,这得到沿x方向的角度±Δθ范围内的投影能量的连续分布。对于以上考虑的Δθ=13.3度的情况,在x′方向上探测能量的总扩展为LI0±Δλ)≈5mm。在离散多波长辐照的情况下,根据相对于散度角的波长角色散,这得到可以或不能叠加的多个光束。
为实现所述的投影特性,会聚光学系统430的数值孔径NAx,y必须满足判据NAx≥sinΔθ和NAy≥0.7λ/H。第一判据确保会聚光学系统430在x方向上散度角±Δθ的范围内俘获衍射光线441。第二判据确保会聚光学系统430分辨标板455的最小y尺寸H。将发明的CD计量标板455设计为,包括以具有至少一个设计标称宽度W0的主周期(间距)P间隔的N个元件601的一个或多个有限光栅“子图形”或子区600。有限光栅子图形600的总尺寸典型地具有矩形形状,其可以由总的最小子图形高度H和子图形长度L表征。探测物镜430的NAx必须包括x方向上宽带辐照的整个角色散±Δθ而不与入射和反射光线440干涉。在以上考虑的情况下,当Δθ=13.3度时,要求0.23≤NAx<0.5。在NAy与标板尺寸之间存在直接权衡。当λ=700nm以及H=2.5μm时,要求NAy≥0.1。为使聚焦深度最大化,优选在允许的NAx,y范围的下端工作,其中衍射光线近似垂直于衬底,如图4A-4C所示。典型的CCD阵列具有约10μm的物理像素(即探测器元件)尺寸。对于H=5μm,成像物镜430的放大倍率M必须为至少80,以在探测器阵列460上沿y′方向跨过40象素。因此,对于以上考虑的宽带情况,第一衍射级次的投影跨过LI×MH≈5.0mm×0.4mm的(x′,y′)区域。如果存在以间距G0分隔的多于一个子区600,则投影图像492的跨度将提高MG0。例如,对于两个子区600的情况,沿y′方向的跨度将是M×(G0+H),如图4D所示。
图4A所示的衍射测量系统40也允许分别探测零级或反射光线440。如果零级光线440经过波长色散光学元件435,且在探测器480(例如CCD阵列)处探测之前通过会聚光学系统436会聚角色散光线445(在图4A中作为透射光栅435的非零衍射级次示出),则衍射测量系统40也可用于测量膜厚的常规光谱反射测量或椭圆测量,以及测量嵌套P<λ结构的CD的常规光谱散射测量。以类似于会聚光学系统430的方式,会聚光学系统436可设计为将沿x方向色散的光线以垂直入射角投影到探测器480上,如图4A所示,同时使y方向上的、包括沿y方向的尺寸H的一个或多个子区600的标板尺寸成像。
根据本发明,标板455的设计将取决于将要确定的特性,例如CD(包括轮廓属性)或覆盖。特定的标板设计可提高测量的CD和覆盖属性对工艺参量例如剂量和聚焦的响应,以便于在不同构图工艺步骤期间,即在处理期间跟踪实时地对这些参量进行反馈或前馈校正。通过俘获零级衍射级次(反射光线)440,可在没有标板图形的膜叠层452上利用衍射测量系统40进行对膜厚的测量。此外,可在衬底的辐照区域内使多个标板成组,以能够如下所述地同时确定CD、覆盖和膜厚。
为了测量CD,根据本发明,将标板455设计为对处理条件,例如在晶片上的形成期间的光刻剂量和散焦以及蚀刻速率和各向同性,具有微分响应,这将在下面更详细讨论。参考图5A和5B可更好地理解本发明的CD标板的设计原则。
在图5A中示出了理想的光栅50,其中该光栅具有线宽为W的线51以及间隔宽度为S=P-W的间隔53的周期阵列,其中该光栅以水平轴x方向上的周期P表征。图5A中的垂直轴57示出了相对复反射率振幅。如果线51(例如抗蚀剂线)的反射率为RL,以及间隔53中暴露的衬底的反射率为RS,则相对反射率为RLS=RL-RS。相对反射率是辐照波长和入射角的函数。为了简化,我们假定两种反射状态之间的过渡突变,这等效于在光栅元件上的垂直侧壁的假定。通过在RL与RS之间附加可变反射率的过渡区域,非垂直侧壁的存在使分析变得复杂,但基本上不改变对平均W,即光栅元件的侧壁高度范围内的平均CD的确定的结果。非垂直侧壁效果的包含允许确定轮廓属性以及平均W。在通过也可为波长的函数的振幅A0辐照的、由长度(或高度)为H(其中H定向为平行于y轴)的N个元件(例如线)构成的周期为P的有限光栅的表面范围内,反射率振幅a(x,y)的空间变化可由以下等式描述:
a ( x , y ) = A 0 { R S + R LS [ rect ( y H ) rect ( x W ) ⊗ comb ( x P ) ] rect ( x NP ) } - - - ( 4 )
在对于P>λ有效的标量衍射理论近似中,各波长的n级远场振幅An由等式(4)的傅立叶变换给出:
A n ( u , v ) = A 0 { R S δ ( u , v ) + R LS HWNP sin c ( Hv ) sin c ( Wu ) Σ n sin c [ NP ( u - n P ) ] } - - - ( 5 )
其中(u,v)为由(u≡x/λz,v≡y/λz)定义的在与光栅距离z处的远场坐标。对于n≠0,衍射级次强度In=|An|2给出为:
I n ( u , v ) = ( A 0 HWNP ) 2 | R LS | 2 sin c 2 ( Hv ) sin c 2 ( Wu ) sin c 2 [ NP ( u - n P ) ] - - - ( 6 )
= ( A 0 HNP πu ) 2 | R LS | 2 sin c 2 ( Hv ) sin c 2 [ NP ( u - n P ) ] [ 1 - cos ( 2 πWu ) ]
等式(6)可分解为波长λ相关的和标板元件的宽度W相关的项。在方向 ( u = n P , v = 0 ) 上,图4A-4D的探测器460的平面内的强度根据等式(1)在x′方向上波长色散,并在y′方向上以放大倍率M成像。
I n ( λ , W ) = ( A 0 HNP 2 πn ) 2 | R LS ( λ ) | 2 { 1 - cos ( 2 πnW P ) } - - - ( 7 )
等式(7)的波长和线宽相关的分量可以分解。在探测器的(x′,y′)平面中,x′方向的强度分布In(x′)可通过对W积分获得:
I 0 ( x ′ ) = ( 1 P ) ( A 0 HNP 2 πn ) 2 [ ∫ 0 P { 1 - cos ( 2 πnW P ) } dW ] | R LS ( λ ) | 2 - - - ( 8 )
= ( A 0 HNP 2 πn ) 2 | R LS { λ ( x ′ ) } | 2
x′方向上的强度分布提供了对相对反射率振幅|RLS{λ(x′)}|的直接测量。另一方面,y′方向的强度分布In(y′)由对λ的积分获得:
I n ( y ′ ) = ( HN P 2 πn ) 2 ( 1 2 Δλ ) [ ∫ λ 0 - Δλ λ 0 + Δλ | R LS ( λ ) | 2 dλ ] { 1 - cos ( 2 πnW ( y ′ M ) P ) } - - - ( 9 )
y′方向上的强度分布是W的函数。此外,我们可以将衍射效率DEn,即衍射到特定级次的辐照能量的分数定义为:
DE n ( λ ) = I n ( x ′ ) | A 0 | 2 ( HNP ) 2 = ( P πn ) 2 | R LS ( λ ) | 2 - - - ( 10 )
DE n ( W ) = I n ( y ′ ) | A 0 | 2 ( HNP ) 2 = ( P πn ) 2 ( 1 2 Δλ ) [ ∫ λ 0 - Δλ λ 0 + Δλ | R LS ( λ ) | 2 dλ ] { 1 - cos ( 2 πnW P ) } - - - ( 11 )
图5B分别示出了在假定相对反射率RLS(λ)=1的情况下,n=0,1和2衍射级次的衍射效率DEn(W)56,57,58如由等式(11)给出的作为归一化线宽 w ≡ W P 的函数的曲线。曲线56,57,58示出了当归一化线宽w=0.5时(当构图面积的量是总光栅面积的50%时,将光栅称为50%占空比光栅),第一衍射级次57在峰值强度,以及第二衍射级次58为零。
图6示出了适于与根据本发明的衍射测量系统40(见图4A-4D)一起使用的衍射测量标板设计60的一个实施例,其可用于测量临界尺寸(CD)。CD标板60包括一个子图形区,该子图形区由以在光栅元件601的中心轴650之间测得的周期P(这里,周期P沿x方向,即标板图形的主周期方向测量)间隔的N个(楔形)元件601构成,其中该子图形区具有与标板周期的方向(即x方向)基本上垂直(即沿y方向)的长度H。各元件601的设计宽度Wd优选在尺寸H的范围内沿y轴线性变化:
W d ( y ) = ( y - y 0 ) tan ζ + P 2 - - - ( 12 )
其中ζ是元件相对于y轴的锥角,以及 y 0 ≡ y 1 + y 2 2 是当 W d ( y 0 ) = P 2 时尺寸H的中点。在y0附近印刷线性的范围内,印刷宽度W(y)本身由下式确定:
W ( y ) = ( y - y m ) tan ζ + P 2 - - - ( 13 )
其中ym是非零衍射级次的最大值或最小值的可测量位置。
图7A-7F示出了在用于图6的锥形光栅CD标板60的探测器阵列460处探测的一级衍射的图像。假定本发明的衍射测量系统40的成像透镜430的放大倍率M在对分辨x、y强度变化必要的范围内,典型地为50-100。图7A示出了对于单色辐照的情况,在探测器阵列460的平面视图上绘制的在探测710的区域中的图像强度I1(x′,y′)。图7B绘制了沿x′方向上的探测器积分或求和获得的图7A的强度I1(y′)。图7C绘制了对于单色辐照的情况,沿y′方向上的探测器积分或求和获得的图7A的强度I1(x′)790,其跨过由光学系统430的散度ω(见以上的等式(3))确定的宽度LI0)。对于在λ0±Δλ范围内的宽带辐照的情况,沿y′方向求和或积分获得的强度I1(x′)795跨过由上述角色散Δθ(见等式(2))确定的长度LI0±Δλ)。图7D分别示出了对于其一级图像在探测器阵列460上空间分隔的在三个波长λ1,λ0,λ2的多个离散波长辐照的情况,在探测710的区域中探测器阵列460的平面视图上绘制的图像强度I1(x′,y′)781,782,783。图7E绘制了沿x′方向上的探测器积分或求和获得的图7D的强度I1(y′)。对于作为波长的函数的给定衍射级次,虽然强度的大小可能变化,强度分布781,782,783的峰或零的位置相同。图7F分别绘制了对于图7D中多个离散波长辐照的情况,沿y′方向上的探测器积分或求和获得的强度分布I1(x′,y′)781,782,783的强度I1(x′)791,792,793。图7F中还绘制了对于在λ0±Δλ范围内的宽带辐照,沿y′方向积分或求和获得的强度I1(x′)795的实例。由于衍射仅发生在标板的构图区域内,在约HI×LI的探测区域710之外,探测强度I1(x′,y′)为零,其中该探测区域710具有为MH的图像高度HI,以及图像长度LI
根据探测的非零衍射级次,条件W(y′)=P/2对应于探测强度中的峰(奇数级次)或零(偶数级次);因此,W(y′)偏离设计的标称值的偏差将引起峰或零位置相对于固定的HI周长的移动。在一级衍射的情况下,在各标板元件601的中心处印刷尺寸的测量值W(y′0)由相对于测量的图像中心 y 0 ′ = y 1 ′ + y 2 ′ 2 的测量峰位置y′m给出。在等式(13)中用y′0替代,W(y′0)(即CD)可由下式获得:
W ( y 0 ′ ) = ( y 0 ′ - y m ′ ) tan ζ + P 2 - - - ( 14 )
通过利用由等式(9)的测量强度In(y′)的已知形式,并由等式(13)替代W(y)的表达式,可精确确定测量的峰位置y′m
I n ( y ′ : a 0 , y m ′ ) = a 0 { 1 - cos ( 2 πn [ ( y ′ - y m ′ ) tan ζ + P 2 ] MP ) } - - - ( 15 )
除了a0和y′m外,等式(15)中所有的参量已知。从而,通过任何合适的曲线拟合方法,例如利用仅仅a0和y′m作为自由参量,对测量的强度In(y′)最小二乘拟合等式(15),可确定强度最大值或最小值的位置y′m。确定了y′m和图像中心y′0之后,通过等式(14)确定在H的中点处的标板元件601的印刷宽度W(y′0)。
图8中示出了标板设计80的另一实施例,其使用两个相反的锥形子区,即区域1和2,各包括分别在x方向上以周期P重复的元件801、802,元件801和802可设置为类似所示的沙漏形光栅元件800,或者桶形光栅元件(未示出)。区域1的元件801以间距G0=y01-y02与区域2的元件802分隔,其中y01和y02分别是元件801和802的设计中心位置。这允许测量两个峰值强度相对于彼此的位置,从而使测量灵敏度加倍。其进一步的优点在于,因为已知的不随工艺条件改变的间距尺寸G0=y01-y02包括在标板设计中,因此不需要测量图像中心y′01和y′02的位置。两个一级最大值之间的距离的测量 G = y 1 m ′ - y 2 m ′ M (记住可由曲线拟合等式15确定y′1m、y′2m)使得可由下式确定在图像中心处的标板元件宽度W(y0)=W(y01)=W(y02):
W ( y 0 ) = ( P - ( G 0 - G ) tan ζ 2 ) - - - ( 16 )
CD或W(y0)由已知的标板尺寸G0、ζ,已知的成像透镜的放大倍率M,以及测量的尺寸G确定。
图6和8的标板设计适用于所关心的CD接近P/2的情况。对于进行光学测量所必要的条件P>λ,这对可测量的CD设定了下限。然而,在本发明的另一实施例中,标板元件的边界可以由子元件阵列勾划,该子元件阵列为以可与电路图形的最小间距相比或更小的精细间距pf<<P排列的部件。该精细结构的目的有两方面:
1)确保标板与被印刷的电路图形的兼容性。粗的主间距P受到在用于测量的波长产生可探测的非零级衍射光束的需要的限制,而电路图形的典型间距可能小得多。
2)确保对工艺变化的足够的标板灵敏度(等于或大于电路图形的灵敏度)。
图9A中示出了这种标板的一个实例900,其中与图8中的元件801、802类似的标板元件901、902、903、904通过平行于主周期P定向的紧密嵌套的线勾划,其具有精细周期pf和约pf/2的宽度。实例的精细间距的标板900组织成如区域1和区域2所示的子图形,区域1和区域2分别由沿x方向以周期P间隔的元件901、902构成,其中以类似于图8的标板80的方式,预定沿y轴的元件901(即区域1)和902(即区域2)的中点之间的分隔G0。如区域3和区域4所示的互补色调的子图形分别由沿x方向以周期P间隔的元件903、904构成,其中通过沿y轴的预定分隔G0沿y轴分隔区域3和区域4的中点。互补色调的子区对之间的分隔距离911对于标板900的设计不是决定性的,但应足以允许探测信号的充分分隔。从衍射测量仪的观点看,与图9A的设计标板900相对应的印刷标板现在由三种不同反射率构成:1)具有宽度WL的例如由抗蚀剂线的存在表示的锥形元件901、902的反射率RL,2)具有宽度WT的例如由抗蚀剂中的开口或沟槽表示的锥形互补色调元件903、904的反射率RT,以及3)用紧密嵌套的平行线909和间隔908填充的周围区域905的有效反射率RS e。参考图9B,图示了区域1的圈出区906的放大视图,其示出了通过其长度沿主周期P的方向(即图9A中x方向)定向的标称周期为pf<<P的紧密嵌套的平行间隔908(即其宽度s优选约为pf/2的线形的去除了构图材料的区域)的端部,分别勾划出区域1和区域2的第一和第二锥形线条901和902(剩余图形材料的区域)。如图9B示出的区域906的详细视图所示,通过在连续间距pf的范围内以固定增量δl移动周围间隔线908的端部的位置,获得标板线条区域901、902的锥形。在图9C中以放大视图图示了区域3的圈出区907,其示出了通过其长度沿主周期P的方向(即图9A中x方向)定向的标称周期为pf<<P的紧密嵌套的平行线条909(即其宽度s优选约为pf/2的线形的剩余构图材料的区域)的端部,勾划出第一和第二锥形间隔元件903、904(去除了图形材料的区域)。如图9C中示出的圈出区907的详细视图所示,通过在连续间距pf的范围内以固定增量δl移动周围线条线909的端部的位置,获得标板间隔区域903、904的锥形。不同反射率之间的边界的有效锥角ζ由 ζ = δl pf 给出。
图9A的标板设计900允许同时测量锥形线条901、902的宽度WL和锥形间隔903、904的宽度WT。对成对的非零级次的极值位置(y′Lm1,y′Lm2)和(y′Tm1,y′Tm2)的测量允许由下式确定在图像中心处标板元件的宽度WL,T
W L , T ( y 0 ) = ( P - ( G 0 - G L , T ) tan ζ 2 ) - - - ( 17 )
其中 G L , T = y L , Tm 1 ′ + y L , Tm 2 ′ M .
图10A中示出了与图9A的掩膜标板版图900的锥形间隔区域3的部分910相对应的印刷衬底图形的平面视图部分1001。示于图10A的x-y平面内的平面视图1001示出了通过与图9C的线条线909相对应的抗蚀剂线条1009的交错的线端部形成的宽度为WT的锥形间隔903′(对应于图9A的掩膜线条903)。图10B中示出了在x-z平面内沿线A-A′的截面视图1002,其中部件1009包括主周期P的结构。图10C中示出了在y-z平面内沿线B-B′的截面视图1003,其中部件1009包括精细周期pf的结构。在截面中,结构形成在硅晶片451上方的由膜叠层452构成的衬底450上的抗蚀剂膜1009中,其中膜叠层452在图10B和10C中由氧化物层452表示。抗蚀剂1009和膜叠层452的厚度典型地比硅晶片451的厚度小得多,即tr,tox<<tSi
图11至16提供了本发明的操作的模拟实例,用于图9A-9C和图10A-10C的标板图形900以及对应的印刷结构1001、1002、1003。利用在2003年1月28日提交的共同受让的美国专利申请10/353,900中所述的方法,模拟了用于测量CD的本发明衍射测量系统和方法对典型工艺变化的响应,其中所述典型工艺变化为在抗蚀剂图像形成中的剂量和聚焦变化以及氧化物和抗蚀剂的膜厚变化,在此引用所述申请的整个内容作为参考。对于由任意子元件的阵列构成的图形,模拟程序产生通过光刻系统在抗蚀剂中成像的图形和通过衍射测量仪测量的衍射能量。掩膜图形元件的尺寸、间距和透射率、抗蚀剂的特性、光刻系统的光学特性、衬底上的膜叠层、在衍射测量仪中辐照波长都是用户可选择的。在此,对于图11至16所示的实例,模拟假定使用的正抗蚀剂具有250-350nm范围的厚度、1.73的折射率,以及“阈值”模型(阈值模型假定暴露于等于或大于特定抗蚀剂材料特征阈值的剂量的抗蚀剂的任何部分都被显影液去除)。阈值模型的使用与上述垂直抗蚀剂侧壁的假设一致。假定晶片包括折射率为3.5+0.35i的硅衬底,其中虚部分量对应于吸收,以及具有600nm的厚度和1.46的折射率的氧化物上覆叠层。
在掩膜上模拟的标板图形基于与图9A的标板900类似的双色调标板图形,但具有在x方向上以周期P重复的无限数量的衍射阵列元件(类似于元件901、902或互补色调元件903、904),其中通过以周期pf沿y方向重复的紧密嵌套子元件(类似于图9A-9C的线909和间隔908)的端部勾划元件(901、902、903或904)。模拟程序逐一地模拟主部件的各组或区域;也就是说,分别模拟图9A的包括元件901的无限阵列的区域1、包括元件902的无限阵列的区域2、包括元件903的无限阵列的区域3以及包括元件904的无限阵列的区域4。在锥形主衍射阵列元件的主周期方向上(沿x方向),标板图形具有间距Px=1000nm。通过在y方向(变化的主元件宽度或锥形的方向)上通过子元件909勾划各主衍射阵列元件(例如901、902、903或904)。各阵列元件的子元件909具有子间距pf=250nm和子宽度s=125nm。锥形主阵列元件的宽度W(y)在y方向(锥形方向)上以δl=25nm的增量从350nm变化到850nm,以模拟与图10A所示类似的来自锥形部分(例如分别对应于设计标板区901、902、903或904的印刷线条)的一个印刷阵列(例如区域1、2、3或4)的衍射。
通过规定掩膜背景透射率为1和图形透射率为0,模拟间隔元件光栅。通过规定掩膜背景透射率为0和图形透射率为1,模拟线条元件光栅。在掩膜上设计的50%占空比宽度Wd(y0)为 P 2 = 500 nm , 但由于线缩短效应,印刷的50%占空比宽度W(y0)向较大的掩膜尺寸倾斜。对于在此所用的抗蚀剂构图的阈值模型,线缩短的大小是空间图像和标称剂量的特征。为确保印刷的50%占空比宽度W(y0)位于以标称剂量在抗蚀剂中形成的潜在图像中的阵列元件的中心(H/2),掩膜图形宽度必须以线缩短的预期量增大。
假定在模拟中光刻曝光系统的数值孔径为0.7,部分相干因子为0.6,以及辐照波长为193nm。对使大开口区完全曝光的剂量归一化的标称剂量为0.32。对于从标称剂量变化-10%、0以及+10%的曝光剂量条件,计算模拟的显影图像。对于在0nm、100nm和200nm的散焦,对这三种剂量条件进行模拟。假定以θ=30度的角度入射由等量TE和TM偏振构成的300-700nm带宽的平面波辐照,计算由模拟的显影图像获得的零级和一级衍射信号。假定以θ=44.4度的角度入射由等量TE和TM偏振构成的300-400nm平面波辐照,计算二级衍射信号。
图11中示出了勾划线条(901、902)和间隔(903、904)区域的精细颗粒部件908、909对剂量和散焦的微分线缩短响应。图11A示出了在零散焦处透明区1025中线端线条915的端部的模拟潜在图像。线915的尖端位于以标称曝光剂量(0%)的长度LA处,由标记为E0的轮廓表示。注意到随着曝光从-10%(E-10轮廓)增大到0%到+10%(E+10轮廓),抗蚀剂线缩短,与相对于标称线条903(比较图10A与图9A和9C)的间隔线条903′的宽度WT的增大相对应。比较而言,对于其中在抗蚀剂区中形成线端间隔1016的相反色调的图形(例如标称线条901、902),随着曝光剂量从-10%(E-10轮廓)变化到+10%(E+10轮廓),间隔长度LB拉长。注意到在标称剂量(0%)时,抗蚀剂线条915的长度LA不同于(即长于)间隔1016的长度LB。另一方面,在散焦的条件下,线条和间隔尺寸以相同的方式响应,如图11C和11D所示,其中与零散焦情况的长度LA、LB相比,在200nm散焦时(透明区1025中)线端线条917的长度LC以及(抗蚀剂区918中)的线端间隔1018的长度LD缩短。
现在参考图12,示出了对于0%剂量误差、零散焦并假定抗蚀剂厚度为300nm的情况,由等式(11)确定的第一(n=1)和第二(n=2)衍射级次的衍射效率DE1,2(W),其是沿变化的标板宽度Wd的方向(沿y′方向)绘制的,且对于第零和第一级次在300-700nm、对于第二级次在300-400nm的波长范围内(沿x′方向)平均而得,其中通过等式(1)对0-90°范围内的实际衍射角度的要求限制了允许的波长带。对于级次n=1,2,锥形间隔标板903、904的衍射效率分别由曲线1221、1222表示,标记为T,以及对于级次n=1,2,锥形线条901、902的衍射效率分别由曲线1201、1202表示,标记为L。根据本发明,分别与一级曲线1221、1201的峰位置对应的标板尺寸WT1(ym)、WL1(ym),以及分别与二级曲线1222、1202的零位置对应的尺寸WT2(ym)、WL2(ym)与衬底和标板的反射率无关(如等式9所示),因此对于CD的确定以及剂量和聚焦变化的分析尤其有用。通过将测量的衍射效率(在此情况下的模拟数据)拟合为等式(15)中的形式的参量化曲线,确定这些尺寸:
DE n ( W : a 0 , W m ) = a 0 { 1 - cos ( 2 πn [ W - W m ] MP ) } - - - ( 18 )
其中Wm≡W(ym)是在衬底上产生尺寸 W = P 2 所需的标板设计尺寸。在图12中,离散的数据点1231、1241、1232、1242是衍射效率的模拟值,而连续线曲线1201、1221是对于(n=1)时等式(18)的拟合,以及虚曲线1202、1222是对于(n=2)时的拟合。拟合参量为:
表I
Figure C20038011084100351
在图12中很明显,在W从0.35至0.85微米的大范围内,拟合质量极好。即使在最佳剂量(按尺寸印刷s=0.125μm的精细特征所需的剂量)和理想聚焦时,衍射级次Wm的峰WT1(ym)、WL1(ym)以及零WT2(ym)、WL2(ym)相对于设计值Wd=0.5μm显著变化。如由n=1最大值所确定的,勾划其宽度为WL的标板线条901、902的间隔端908缩短69nm,而勾划其宽度为WT的标板间隔线条903、904的线端909缩短116nm。虽然这与空间图像的阈值轮廓在质量上一致,空间图像缩短导致仅仅测得的缩短的约一半。由于不同反射率的区域的边界通过精细特征受到空间调制,因此测量过高地估计了缩短。测量是对该调制的平均。注意,从表I可以看出,由n=2最小值确定的缩短大于由n=1最大值确定的缩短。这是因为,二级衍射对平均边缘调制的加权不同于一级衍射;不同衍射级次具有对边缘调制的不同灵敏度。这表明对多个衍射级次的测量提供了关于线边缘粗糙度的有用信息。
图13A示出了Wm对剂量的响应,以及图13B示出了对曝光工具的聚焦(B)的响应。参考图13A,对于线条901、902,曲线1301是n=1时对剂量的响应,以及曲线1302是n=2时对剂量的响应。对于互补线条903、904,曲线1311是n=1时对剂量的响应,以及曲线1312是n=2时对剂量的响应。参考图13B,对于线条901、902,曲线1321是n=1时对散焦的响应,以及曲线1322是n=2时对散焦的响应。对于互补线条903、904,曲线1331是n=1时对散焦的响应,以及曲线1332是n=2时对散焦的响应。对于衍射级次n=1,2,剂量响应大致为线型(图13A),以及聚焦响应大致为抛物线型并关于最佳聚焦对称(图13B)。对于两种标板色调,剂量响应的斜率的符号相反,而聚焦响应的曲率的符号相同。对线条和间隔结构的这种不同响应允许利用例如根据Ausschnitt(美国专利5,965,309)的方法,分别控制光刻剂量和聚焦,在此引入该专利的整个内容作为参考。
图14A和14B示出了对于在x′方向上的零级n=0和一级n=1,在底层氧化物膜452厚度tox的100nm范围内的衍射能量的模拟行为,对于包括图9A的区域3和4的间隔T标板,该零级和一级衍射可分别在图4A的探测器480和460中被探测,其中区域3和4具有接近于与印刷尺寸 W = P 2 = 0.5 μm 相对应的值的设计尺寸Wd=0.6μm。在n=0的情况下通过图4的透射光栅元件435以及在n=1的情况下通过印刷标板光栅455,衍射能量线性地波长色散。因此,对于在图4中配置为探测非零级次的探测器460处的x′方向和配置为探测第零级次的第二探测器480的x″方向,波长等效。如等式(5)所示,n=0时的光谱响应是衬底反射率RS和相对反射率RLS的函数。如等式(10)所示,n=1时的光谱响应是对相对于衬底的图形反射率|RLS|的直接测量。通过在450nm至550nm之间的100nm的氧化物厚度变化范围内以10nm的氧化物厚度增量绘制的曲线的扩展1400、1401,在图14A和14B中示出了分别在零级和一级衍射时衍射效率对底层氧化物膜的厚度变化的灵敏度。通过双头箭头1400、1401示出了在λ0=500nm处的扩展,作为两个衍射级次之间的相对灵敏度的粗略测量。零级扩展1400明显大于一级扩展1401,因此很明显,零级衍射明显比一级衍射对氧化物厚度更敏感。通过取随氧化物厚度变化的衍射效率的范围与其在该范围的中点处的值的比值可获得对相对灵敏度的定量测量。对于图14A中的零级扩展1400, Δ DE 0 DE 0 ≈ 1.5 , 而对于图14B中的一级扩展1401, Δ DE 1 DE 1 ≈ 0.4 . 因此,在我们的实例中,零级处的衍射效率具有大于三倍的对底层氧化物膜厚的灵敏度。这说明非零级是测量图形属性的较好选择;而零级是测量底层膜厚的较好选择。实际上,当没有图形时,在这方面零级最有效。
图15A和15B示出了与图14A-14B中类似的绘图对,但是这里示出了衍射级次对标板图形密度的变化的响应,其中通过在以上定义的最佳剂量周围的±10%范围内改变用于曝光图形的剂量,引起标板图形密度的变化。聚焦固定在最佳聚焦。再一次,通过曲线的零级扩展1500和一级扩展1501评估对剂量的相对灵敏度;从而我们推断,非零级次是测量图形属性的较好选择。与图14的情况一样,通过取随剂量变化的衍射效率的范围与其在该范围的中点处的值的比值
Figure C20038011084100371
可获得对相对灵敏度的定量测量。对于图15A中的零级扩展1500, Δ DE 0 DE 0 ≈ 0.1 , 而对于图15B中的一级扩展1501, Δ DE 1 DE 1 ≈ 0.3 . 在图15所示的实例中,一级处的衍射效率约大于零级三倍的对曝光剂量的灵敏度。实际上,由于衍射到较高级次中的辐照能量,零级主要对图形改变敏感。因此,随着相对反射率RLS→0,零级灵敏度变为零。
图16A和16B示出了在两种不同的掩膜尺寸标称值Wd情况下,在最佳聚焦周围200nm的范围内,一级衍射效率对用于印刷标板图形的聚焦变化的灵敏度。剂量固定在最佳剂量。Wd=0.6μm时,印刷的光栅具有约50%的占空比,且对散焦的灵敏度低,如图16A所示。Wd=0.15μm时,印刷的光栅具有约20%的占空比,且对散焦的灵敏度较高,如图16B所示。因此,在更孤立的光栅元件条件下,实现了高聚焦灵敏度,并且聚焦控制的实现需要测量这些较孤立的结构。另一方面,剂量灵敏度不是标板占空比的强函数。
因此我们推断,对于较孤立的光栅元件,可实现对剂量和散焦的最佳光谱灵敏度;这引导我们得到下述更简单的标板实施例。
图17中示出了用于测量CD的本发明的离散微分光栅标板455的一个优选实施例1701。该示例性标板1701提供了一种测量CD的优选方法,其对标称CD尺寸不进行限制。将标板光栅1701分成分别由沿y方向定向的长度为H的线例如1711、1712构成的两个或多个子图形区,例如区域1(参考标号1731)、区域2(参考标号1732)。线1711、1712的各自的标称宽度,例如Wd1、Wd2分别在各子图形区1731、1732内均匀,但在子图形区1731、1732之间相互不同(例如,包括线1711的第一子图形区1731具有标称宽度Wd1,以及包括线1712的第二子图形区1732具有标称宽度Wd2)。优选通过连接区1750接合特征1711、1712,其中该连接区1750可有助于避免元件1711、1712的线缩短,并在印刷结构上对元件1711、1712提供结构支撑。注意,元件1711、1712的宽度通常远小于连接区1750的宽度。分隔的子图形区(例如1731、1732)优选但不必相对于彼此沿y轴设置。定义并相对于间距归一化以下子图形特性:
1. w ‾ ≡ ( W 1 + W 2 ) 2 P 为未知的平均宽度,其设计标称尺寸为wd
2. δ ≡ ( W 1 - W 2 ) 2 P 为两个设计标称宽度之间的预定(设计)偏差。
在该实例中,设计标称尺寸 w ‾ d = ( W d 1 + W d 2 ) 2 P , 其中Wd1、Wd2分别为子图形线1711和1712的设计标称宽度。将2δ设计为足够小,以使在各恒定线宽的子图形区(例如1731、1732)内的反射率RA相同,并且在光刻构图工艺中CD变化范围内,预定偏差δ不变。预定偏差值δ可用作CD变化的原位校准。选择设计标称偏差δ作为设计标称平均线宽wd与0.5的偏离的分数β的函数很有用,其中
δ = β ( 1 2 - | w ‾ d - 1 2 | ) - - - ( 19 a )
两区域微分光栅CD标板1701的探测图像1800如以探测器阵列460的平视图绘制的图18A所示。如图18C所示,在其各子图形区1801、1802(分别具有HI1×LI和HI2×LI的尺寸,各约MH×MNP)内,强度(I1,I2)恒定。由于探测的强度可对许多探测器平均,这避免了精确的探测器校准的需要。对y′方向求和或积分的强度示于图18B的绘图1805中,其提供了作为标板元件的平均线宽以及标板元件的轮廓的函数的宽带源的光谱响应。因此,可通过以类似于散射测量的方式将测量的光谱响应与光谱响应库比较,确定印刷标板元件的轮廓特性。然而,根据本发明的光谱响应的使用具有这样的优点,即非零衍射级次的光谱响应对底层膜厚较不敏感,如图14所示。图18C所示的强度1811、1812是分别对x′方向求和或平均的强度1801、1802,两个平均强度1811、1812之间的对比提供了对两个标板子区的光栅元件的平均宽度的测量,这将在以下进一步解释。对于β=0.15,两个强度I1(1801)、I2(1802)对平均宽度w的依赖性示于图19。
考虑到进一步的定义:
a≡cos(2πδ)
r ≡ I 1 I 2 = 1 - cos [ 2 π ( w ‾ + δ ) ] 1 - cos [ 2 π ( w ‾ - δ ) ] - - - ( 19 b )
C ≡ I 1 - I 2 I 1 + I 2
未知的平均宽度w可表示为:
C≥0:
w ‾ = 1 2 π arccos [ α C 2 ± ( α 2 - 1 ) 1 - C 2 α 2 ( C 2 - 1 ) + 1 ] - - - ( 20 a )
C<0:
w ‾ = 1 - 1 2 π arccos [ α C 2 ± ( α 2 - 1 ) 1 - C 2 α 2 ( C 2 - 1 ) + 1 ] - - - ( 20 b )
通过测量的在图18的两个强度区域1801、1802之间的对比C以及在两个标称线宽Wd1、Wd2之间的已知的预定(即设计)偏差δ,确定等式20a、20b的平均宽度w。图20中示出了作为在晶片标板上的预期印刷平均宽度wexp的函数的计算出的w的两个解的绘图(通过选择等式20a、20b中的符号确定),用w+、w-表示。对于w=wexp,等式20a和20b的正解w+为平均线宽。对比的平方C2取决于β参量,如图21所示。随着光栅元件变得越来越孤立;即W→0,P,对比增大。注意,当W→P,光栅元件变为孤立间隔。
半导体应用所需的CD测量的精度需要在约1nm。本发明的CD测量技术的精度取决于C对w的变化的灵敏度。对于我们所关心的小变化,对比分数变化
Figure C20038011084100395
给出为:
ΔC C = Δ w ‾ C d w ‾ dC - - - ( 21 )
由等式(20a)和(20b),我们得到
C≥0:
d w ‾ dC = - F 3 2 π ( F 2 1 - F 1 2 ) - - - ( 22 a )
C<0:
d w ‾ dC = - F 3 2 π ( F 2 1 - F 1 2 ) - - - ( 22 b )
其中
F 1 ≡ α C 2 + ( α 2 - 1 ) 1 - C 2 α 2 ( C 2 - 1 ) + 1
F 2 ≡ 2 C α 2 ( C 2 - 1 ) + 1 - - - ( 23 )
F 3 ≡ α - ( α 2 - 1 ) 2 1 - C 2 - α 2 F 1
等式22a和22b表示w的变化与对比的比率,从而提供对灵敏度的测量。对于良好的测量灵敏度(定义为对比的变化与w的比率,是等式22a和22b的倒数),w的较小变化优选提供对比的较大变化。等式22a和22b的一个重要特性是,在线宽的极值w<<1以及1-w<<1(即当宽度W接近于P),其中
Figure C20038011084100406
接近零时,灵敏度增大,如图22A所示。在一个极值处,各光栅元件是其中反射率RA通过膜的存在限定的部件。在另一个极值处,各光栅元件是其中反射率RS通过膜的不存在限定的部件。
在任一情况下,本发明的微分CD测量具有希望的特性,即灵敏度随部件宽度的降低而增大。图22B中示出了在wd≤0.05的区域中的灵敏度行为的详细视图。在P=1000nm和β=0.15时,在等式21-23中替换,图17中在50nm的标称CD中的1nm变化(例如,如果wd=50nm,则Wd1增大15%,或约为57.5nm,以及Wd2减小15%,或约为42.5nm)对应于Δw=0.001, | d w ‾ dC | ≈ 0.18 , C > ~ 0.25 ,因此 ΔC C > ~ 0.02 . 可测量2%的标称对比变化(绝对对比的0.5%的变化),因此可实现精度为1nm的宽度可测量变化。
如上所述,微分CD测量的一个目的是,在晶片上光致抗蚀剂中形成图形期间,允许对加工条件,例如剂量和聚焦进行微分响应。允许分离剂量和聚焦的衍射测量标板的多个实施例示于图23-???。图23中的标板2300由限定四个区域2301、2302、2303和2304的微分线条(保留构图的层例如抗蚀剂的构图的材料层)以及间隔(去除了构图的层例如抗蚀剂的图形区)光栅构成,如图所示。光栅元件2311、2312、2313、2314的宽度W1、W2、W3、W4远小于光栅周期,即W1,W2,W3,W4<<P,因此各元件相对于其邻近元件孤立。在第一和第二区域2301、2302内,衬底(开口间隔2320)的反射率为RS1,以及光栅线条元件(例如抗蚀剂线条2311、2312)的反射率为RL,而在第三和第四区域2303、2304内,周围区域(例如大的抗蚀剂区2330)的反射率为RS2,以及光栅间隔(衬底)元件2314的反射率为RT。注意,在窄部件的区域中,反射率为受边缘效应和轮廓特性影响的“有效”反射率。存在两个相反色调的区域对,即由子图形区2301、2302构成的基本上敞口的(去除了构图区)间隔2320,以及由子图形区2303、2304构成的基本上填充的(即由构图层材料,例如抗蚀剂填充的)的区域2330。根据上述发明,测量孤立的线条和间隔的尺寸时,两个双色调区域的对(2320、2330)可分别处理。当在抗蚀剂中形成为潜在或显影的图像时,如图24A的曲线所示,随着剂量从-10%(2401)增大到0%(2402)到+10%(2403)时,孤立线条宽度 W L = W 1 + W 2 2 沿虚箭头2405所示的方向降低,这与相对于随剂量从-10%(2411)增大到0%(2412)到+10%(2413)增大的孤立间隔宽度 W T = W 3 + W 4 2 方向(在图24B的曲线中由虚箭头2402表示)相反。另一方面,间隔(图24B的曲线2411、2412和2413)和线条(图24A的曲线2401、2402和2403)的尺寸相对于散焦(或聚焦)的变化沿相同方向变化。图24A和24B的绘图是对图23所示的标板2300的聚焦-曝光矩阵(在与图11所述的相同条件下)施加的本发明测量方法的模拟,其中对间隔元件2313、2314施加40nm偏移,以确保以与线条元件2311、2312相同的标称剂量按大小印刷。在Ausschnitt(美国专利5,965,309)中或在由C.P.Ausschnitt发表的“Distinguishing dose and defocus forin-line lithography control”,Proc.SPIE,Vol.3677,140-147(1999)中,描述了剂量和散焦的提取方法,在此引用其整个内容作为参考。一旦为工艺表征了双色调标板2300对剂量和聚焦的响应,通过在以上出版物中所述的反演模拟,对由该工艺产生的图形的尺寸与标称的变化的测量可转换为剂量和散焦。在透明(或明)场中由不透明(或暗)线或部件(表示剩余的构图材料)表征一个色调,而在不透明(或暗)场中由透明(或明)部件表征相反色调图形。
图25中示出了微分CD/剂量/聚焦标板2500的另一个实施例。在此,分别在第一和第二子图形区2501、2502内,通过这样的区域形成由反射率RL表征的剩余的构图材料的有效孤立线条区域2511、2512(分别具有标称宽度W1、W2),该区域以与由图9B的精细周期线908限定的线条区901、902类似的方式,基本上被标称周期为pf<<P的嵌套窄宽度平行间隔(即在宽度优选约为pf/2的线的线条中去除了构图材料的区域)的端部包围,其长度沿主周期P的方向(即图25的x方向)定向,并垂直于有效线条区域2511、2512的边缘。在子图形区2503、2504内,通过其长度平行于主周期P的方向定向并与有效间隔区域2513、2514的边缘垂直的紧密嵌套的平行窄矩形线条(即宽度优选约为pf/2的剩余构图材料的线)的端部,形成由反射率RT表征的有效孤立间隔区域(去除了构图材料的区域)2513、2514(分别具有标称宽度W3、W4)。有效间隔区域2513、2514的轮廓勾划类似于由图9C的精细周期线909对间隔区域903、904的轮廓勾划。如衍射测量仪40所示,由有效反射率RS e表征被精细周期平行矩形线覆盖的区域2523。以类似于图23的标板2300的方式,根据如上所述的由Ausschnitt在美国专利5,965,309中描述的发明,在测量有效孤立线条的有效宽度w1、w2和间隔的有效宽度w3、w4时,可分别处理图25中的区域(2501,2502)和(2503,2504)的两个对。有效的孤立线条宽度w1、w2对剂量的响应与有效的孤立间隔w3、w4相反,而它们对散焦的响应相同,如图24A、24B以及27A、27B所示。线条和间隔结构的该不同响应允许根据Ausschnitt(美国专利5,965,309)分别控制光刻剂量和聚焦。图25中的标板2500相对于图23的标板2300的优点有两方面:1)线条和间隔端部提高了对剂量和聚焦的灵敏度;以及2)图25的标板2500维持更均匀的图形密度,其可与芯片图形密度比较,这是确保与其它工艺步骤例如CMP(化学机械抛光)的兼容性所必要的。
根据由Starikov(“Exposure Monitor Structure”,SPIE vol.1261Integrated Circuit Metrology,Inspection,and Process Control IV(1990))以及Inoue等人(美国专利6,251,544,2001年6月26日公开)所述的剂量敏感设计以及由Suwa(美国专利4,908,656)和Ausschnitt(美国专利5,953,128)所述的聚焦敏感设计,可产生允许通过在抗蚀剂膜中形成的图形的微分CD测量进行剂量和聚焦微分响应的其它标板。通过在掩膜图形上利用亚分辨辅助部件(SRAF),Starikov和Inoue的设计极大地提高了剂量敏感度和阻尼聚焦灵敏度。通过引入线端锥形,Suwa和Ausschnitt的设计提高了对散焦的灵敏度。这些设计容易适用于如图26所述的本发明的差分CD测量。在图26A中,将标板2600设计为具有由两个子图形区,即区域1和2构成的剂量敏感部分2610,以及包括两个子图形区,即区域3和4的散焦敏感部分2620,其中区域1和2分别具有重复元件2611、2612,以及区域3和4分别具有重复元件2623、2624。标板2600在N个重复的子图形元件部分2630(为清楚起见,仅仅示出了两个重复元件部分2630)的x方向上具有主周期P。敞口的构图区域或间隔(在剩余构图材料之间)具有分别与子图形元件2611、2612、2623和2624相对应的宽度W1、W2、W3、W4。图26B中示出了用于形成一个元件部分2630的部分掩膜版图2650。上掩膜部分2670包括具有相邻的亚分辨辅助部件(SRAF)2652、2654的主部件2651、2653,其分别用于在晶片上使主部件2611和2612成像。如图27A所示,在剂量敏感区2610中间隔的宽度W1、W2对剂量非常敏感,如曲线2701所示(如例如由Starikov和Inoue所述),而宽度W3、W4随散焦的变化没有迅速改变,如由图27B中所示的曲线2703所示。
比较而言,聚焦敏感区2620由例如2680的掩膜版图形成,该掩膜版图2680由例如锥形线2661、2662构成(如由Suwa和Ausschnitt所述),以分别形成构图区2623、2624。聚焦敏感区2620中间隔的宽度W3、W4作为剂量的函数以与图27A的曲线2702类似的方式变化,从而对剂量变化较不敏感,但对散焦相对地非常敏感,如由图27B的曲线2704所示。
用于根据本发明的其它衍射标板可设计为利用如Brunner(美国专利5,300,786)所示范的在掩膜上的相移元件实现聚焦灵敏度。所有这些实施例的共同点是,它们依赖于CD或覆盖测量。各种标板设计容易适用于上述的本发明的微分CD测量,或者下述的本发明的微分覆盖测量。其中,由图6、8、9、23、25和26所示的实施例可组合提供除了剂量和聚焦控制外的特定应用的标板。例如,由一系列宽度台阶构成的用于逼近图6中所示的楔形元件601的标板可提供在CD的宽范围内量化光刻工艺的线性的方法。在该标板上晶片测量与掩膜测量的比较可量化所谓的MEEF(掩膜误差提高因子)效应,该MEEF效应对于理解亚波长成像中CD变化的来源很重要。
也可使标板实施例适合特定构图层的特性。图28示出了标板2800,其整体由在x和y方向上按精细周期pf排列的接触孔2801构成,设置为形成具有标称宽度Wd1的较大元件2811,以及具有标称宽度Wd2的2812,该标板2800沿x方向具有主周期P。在另一个实施例中,图29示出了具有两个子图形区,即区域1和2的标板2900,其中背景反射率区2905由以精细周期pf精细间隔的线构成,该线沿平行于分别具有标称宽度Wd1、Wd2的可测量光栅元件2901、2902的粗周期P延伸。
示于图30的另一标板实施例3000允许将本发明的微分衍射测量应用于通间距(through-pitch)CD测量的平行采集。测量的印刷CD对间距或周期的依赖性对于光学邻近校正(OPC)的规则的确定非常重要。OPC规则控制对产品掩膜版图的修改,以确保按共同尺寸同时印刷不同间距的部件。OPC规则生成的当前限制在于,常规SEM CD测量方法很慢且费力。具体地说,SEM CD测量排除足够数据的聚集,以确保在代表性工艺窗口内印刷CD的匹配。图30的标板由多个设计上分别与图17所示类似的微分光栅3001、3002、3003、3004构成,其中周期Pa、Pb、Pc、Pd分别从一个微分光栅到下一个改变。在单色辐照λ0下,将从图30的标板3000发生衍射,如图31所示。零级强度I0a、I0b、I0c、I0d沿路径440被反射,以及一级强度I1a、I1b、I1c、I1d被光学系统430会聚。然而,子区3001的一级强度I1a未被会聚,因为子区3001的间距Pa<λ0。角度之间的关系可由光栅方程表示:
n λ 0 P = sin θ + sin α - - - ( 24 a )
非零衍射级次可被该装置探测的光栅周期的范围必须满足条件-NAx<sina<NAx,在这种情况下:
n &lambda; 0 sin &theta; + NA x < P < n &lambda; 0 sin &theta; - NA x - - - ( 24 b )
对于浅角度辐照θ=70°和NAx=0.5,其对应于最大会聚角度αmax=±30°,一级探测范围为0.7λ0<P<2.3λ0。从而,波长为200nm的可得的DUV源将允许周期在140nm<P<460nm范围内的CD测量。利用较长波长辐照,可获得包括较大周期的范围。多波长或宽带光源将提供在最先进基本规则下对于OPC所关心的整个范围,即周期从150nm至3000nm。小于等式24b中限定的下限的周期仅仅可在零级衍射中探测。图32A示出了在探测器460的平面视图上对于三个周期Pb<Pc<Pd的宽带辐照,探测到的来自标板3000的一级强度Ib、Ic、Id。由于衍射角度随周期变化,探测到的强度在x′方向上交错,这对应于在探测器460上变化的波长的方向。通过分别测量对x′方向积分或求和的强度I1(y′),允许在各种周期内同时测量CD,如图23B所示。因此,本发明方法允许在宽间距范围内同时测量CD。
图4A中装置40的零级探测路径440允许在测量CD的同时测量膜厚。如图33A所示,对于设计上类似于图17的标板1701的标板的情况,在CCD2探测器480(图4A)的平面视图上绘制的零级图像沿y′方向被分成与图17中标板1701的构图区域1731、1732相对应的区域3301、3302,而区域3305对应于图17中标板1701的未构图区域1705。未构图区域3305的强度光谱I0(x′)可用于膜厚测量。如图33B所示,在分别对应于标板区域1711、1712的两个光栅图像区3301、3302之间沿线A-A′对应于未构图标板区域1750的未构图图像区3305中,零级强度光谱I0(x′)3307具有取决于膜的固有特性-第i层膜的折射率的实部和虚部分量ni(λ)、ki(λ)-以及各膜的厚度ti的独特特征。在ni(λ)、ki(λ)值已知的情况下,利用厚度作为自由参量,通过常规拟合多膜层对测量光谱的模拟响应,可确定厚度。在一个或多个ni(λ)、ki(λ)值未知的情况下,通过利用其期望的色散行为的常规模型,例如柯西公式,厚度的确定可包括在拟合程序中。当然,在没有可探测的非零级衍射的情况(与没有标板的情况一样)下,利用图4中装置40的探测器480,可将相同方法应用于确定膜特性和厚度。
微分覆盖
图34中示出了图4中的本发明的衍射测量系统40的实施例的变异。添加了从两个相对的方向,也就是沿标板主周期P的方向,即x方向的两个方向辐照标板40的能力,其中图34A示出了从负x方向(主周期方向)导向的对标板455的辐照,以及图34B示出了从正x方向导向的对标板455的辐照。这允许利用一个装置探测正和负衍射级次。这可通过将装置配置为允许重新定位辐照410或重新定位标板晶片450以实现相对于标板的适当辐照方向得以实现。当以角度 &theta; = arcsin ( &lambda; P ) 从左向右辐照时,探测+1衍射级次441。当以角度 &theta; = arcsin ( - &lambda; P ) 从右向左辐照时,探测-1衍射级次441′。如下所述,探测正和负衍射级次的能力对于覆盖误差的测量很重要。
图35A中示出了理想覆盖标板光栅3500(类似于图5A的理想CD衍射图示)的重复单元,其中,为清楚起见,仅仅示出了一个重复单元。在晶片上刚印刷的覆盖光栅标板3500由在各周期P内的两个部件3501、3502构成,一个部件3501通过构图工艺A形成,具有宽度 W A &le; P 4 , 以及第二个部件3502通过构图工艺B形成,具有宽度 W B &le; P 4 . 构图工艺B可代表用于印刷第一层部件3502的工艺,以及构图工艺A可代表第二即覆盖层部件3501的工艺。与在上述CD标板的情况一样,部件3501和3502可由线、沟槽或更小部件的阵列构成,只要该部件可与它们将要在其上印刷的工艺层的基本规则相兼容。相对于周期P的宽度WA和WB确定可测量的覆盖误差的范围。图35A中的理想覆盖光栅3500在水平轴x的方向上的各周期内具有两个线3501、3502:宽度为WA的线3501和宽度为WB的线3502,其中线以距离Dx分离。比率Dx/P设计为具有标称值1/2。因此,对周期P归一化的在两个部件3501、3502之间的x方向覆盖误差εx可表示为:
&epsiv; x = D x P - 1 2 - - - ( 25 )
图35A中的垂直轴3507示出了具有实振幅1和零相位的线3501的以及具有实振幅γ和相位φ的线3502的归一化复反射率,其中0<γ≤1,-π≤φ≤π。线3501、3502的反射率的归一化是相对于底层膜叠层和衬底的复反射率。与其中以单层形成标板部件的CD情况(见图5)相比,可以不同膜的膜叠层形成覆盖部件3501、3502。因此,一般地说,在任何给定的波长下两个部件3501、3502相对于衬底的反射率不相等,而是如以下进一步描述的,二者在振幅和相位上都不同。
对于衬底反射率RS和各自的线反射率RA、RB,在由包括被振幅A0辐照的长度为H(长度H沿y方向定位,垂直于作为周期的方向的x方向)的线对的N个周期构成的有限光栅的表面上方,反射率振幅a(x,y)的空间变化通过以下等式描述:
a ( x , y ) = A 0 R S + R AS &lsqb; rect ( y H ) rect ( x W A ) &CircleTimes; comb ( x P ) &rsqb; rect ( x NP ) + R BS &lsqb; rect ( y H ) rect ( x - D x W B ) &CircleTimes; comb ( x P ) &rsqb; rect ( x NP ) - - - ( 26 )
其中定义RAS≡RA-RS,以及RBS≡RB-RS。在对于P>λ有效的标量衍射理论近似中,级次n的远场振幅An由等式(26)的傅立叶变换给出:
A n ( u , v ) A 0 = R S &delta; ( u , v ) + HNP sin c ( Hv ) &Sigma; n sin c &lsqb; NP ( u - n P ) &rsqb; R AS W A sin c ( W A u ) + R BS W B sin c ( W B u ) e - &gamma; 2 &pi; D x u - - - ( 27 )
其中(u,v)是由(u≡x/λz,v≡y/λz)定义的与光栅距离为z处的远场坐标。在方向 ( u = n P , v = 0 ) 上,图34的探测器460的平面内的强度根据等式(1)在x方向波长色散,并在y方向上以放大倍率M成像。当n≠0时,一级振幅给出为:
A &PlusMinus; n ( &lambda; , D x ) = K ( &lambda; ) &lsqb; 1 + &gamma; ( &lambda; ) e ( &phi; ( &lambda; ) &PlusMinus; 2 &pi;n D x P ) &rsqb; - - - ( 28 )
以及对应的强度为:
I &PlusMinus; n ( &lambda; , D x ) = | K ( &lambda; ) | 2 &lsqb; 1 + &gamma; 2 ( &lambda; ) + 2 &gamma; ( &lambda; ) cos ( &PlusMinus; 2 &pi;n D x P + &phi; ( &lambda; ) ) &rsqb; - - - ( 29 )
其中,在单个波长下,以下定义适用:
K ( &lambda; ) &equiv; A 0 HNP W A R AS ( &lambda; ) sin c ( n W A P ) - - - ( 30 a )
&gamma; ( &lambda; ) &equiv; W B sin c ( n W B P ) W A sin c ( nW A P ) | R BS ( &lambda; ) R AS ( &lambda; ) | - - - ( 30 b )
&phi; ( &lambda; ) &equiv; cos - 1 [ | R AS ( &lambda; ) R BS ( &lambda; ) | Real ( R BS ( &lambda; ) R AS ( &lambda; ) ) ] - - - ( 30 c )
以及范围0<γ(λ)≤1和-π<φ(λ)≤π适用。在多波长辐照下,等式30a-30c的定义变为在各波长的相对反射率的函数,但等式28-29中振幅和强度的通用表达仍有效。换句话说,由衍射强度测量对(未知的)覆盖误差εx的确定也需要确定两个其它未知的参量,即归一化复反射率的振幅和相位(γ,φ)。因此,本发明基于衍射强度的测量,提供了一种用于确定有效振幅和相位差以及图形A与B之间的覆盖误差的方法,这将在下面更详细描述。
在γ=1,φ=0的情况下,等式(29)中强度的表达式变为类似于等式(7),以及可应用与以上用于确定CD的方法(见等式20a和20b)类似的方法确定覆盖误差εx。然而,易于在产品晶片覆盖计量中遇到的其中γ<1,φ≠0的一般情况需要对该方法进行显著修改。图35B中示出了由等式(29)给出的在相对反射振幅γ的两个值(分别为γ=1.0以γ=0.5)和相对相位差φ=0下,n=±1衍射级次的归一化强度
Figure C20038011084100491
作为覆盖误差εx(记住由等式25的 &epsiv; x = D x P - 1 2 )的函数的绘图3513、3515。在这些条件下,n=±1衍射级次的归一化强度相同(即各曲线3513、3515是正和负级次的重叠),其中两级次的最小值固定在εx=0.5,但定义为
Figure C20038011084100493
的调制随γ的降低而降低。图35C示出了在γ=1,φ=π/8时,分别为n=+1和n=-1衍射级次的归一化强度的绘图3517、3519。现在分别为n=+1,-1的强度曲线3517、3519空间分离,以致它们的平均等效于如图35B中示出的曲线3513所示的φ=0,γ=1的情况,并且最小值在相对于与εx=0相对应的标称值Dx/P=1/2的相反方向偏移。可选地,非零φ的情况下n=±1级次的强度曲线3517、3519可存在差异,并且该差分函数在零覆盖误差的位置将具有零交叉。然而,注意,根据等式(29),随着φ趋向于零或
Figure C20038011084100494
的多倍,该方法变为无效。因此,对于φ的任意值,通过正和负衍射级次的强度变化的平均相移最佳确定覆盖误差,这将在下面详细描述。
图36示出了适于与根据本发明的衍射测量系统40(见图34)一起使用的衍射测量标板3600的一个实施例,其对测量覆盖有用。可测量的覆盖标板3600包括以角度ζ相反倾斜,各以周期P(即沿作为标板图形的主周期方向的x方向)重复的一对元件3610和3620。元件3610和3620的各对之间的相对距离Dx(y)在y方向上在尺寸H的范围内线性变化。各单元对的相对倾斜设计为沿y方向在下文中称为y0的y=H/2处Dx(y)/P=0.5。因此,设计的距离给出为:
D x ( y ) = ( y - y 0 ) tan &zeta; + P 2 - - - ( 31 )
存在覆盖误差εx时印刷距离可表示为:
D x ( y ) = ( y - y m ) tan &zeta; + P 2 = ( y - y 0 ) tan &zeta; + P 2 + P&epsiv; x - - - ( 32 )
其中ym是对应于 D x = P 2 的位置的偏移位置。关于CD标板的情况,构成覆盖标板3600的周期数目N应约为10或更大。
假定以波长λk单色辐照,利用具有y方向放大倍率M的衍射测量系统40以使HI=MH,在图37(A)-(D)中示出了图36A的光栅覆盖标板3600的n=+1的一级衍射图像。图37A和37B分别示出了+1和-1衍射级次的强度3701、3702,其分别在由来自如图34A和34B所示的方向的辐照产生的探测器460的视图上绘制,其中B工艺图形的相对相位φ为零。对x′方向平均(或求和)的对应强度分别示于绘图3711、3712中。对于φ≠0的情况,+1和-1衍射级次的强度3703、3704绘制在图37C和37D中探测器460的平面视图上,以及对应的x′方向的平均或求和的强度分别示于绘图3713、3714中。由于衍射仅仅发生在标板的构图区域中,在区域HI×LIk)外部,探测的强度为零。在探测器460平面的HI×LIk)区域内,如绘图3711、3712、3713和3714所示从y′1延伸至y′2,在x′方向上强度均匀,但根据等式(29)以及如图35B和35C所示在y′方向上变化。当φ=0时,n=±1级次相同,并在 D x ( y y &prime; ) = P 2 出现最小强度;因此,在相对于最小值的标称设计位置的相同方向上,非零覆盖误差εx将引起在正和负级次n=±1的最小强度的位置的偏移,其中所述最小值在由固定的HI周长限定的 y 0 &prime; = y 1 &prime; + y 2 &prime; 2 处(见图37A和37B的绘图3711和3712)。通常,覆盖误差表示为:
&epsiv; x = tan &zeta; P ( y v &prime; - y 0 &prime; ) - - - ( 33 )
其中,在φ=0的情况下, y v &prime; &equiv; y - v &prime; + y + v &prime; 2 = y - v &prime; = y + v &prime; .
然而,当φ≠0,n=±1级次的最小值(y′-v,y′+v)和最大值(y′-p,y′+p)关于 D x ( y &prime; ) = P 2 位置对称偏移(见图37C和37D的绘图3713和3714);因此,覆盖误差εx仅仅与对正和负衍射级次平均的最小值和最大值位置直接相关。
&epsiv; x = tan &zeta; P ( y v &prime; - y 0 &prime; ) = tan &zeta; P ( y p &prime; - y 0 &prime; ) - - - ( 34 )
其中 y v &prime; &equiv; y - v &prime; + y + v &prime; 2 以及 y p &prime; &equiv; y - p &prime; + y + p &prime; 2 .
利用非零衍射级次确定覆盖误差的最普通方法是将衍射强度的变化拟合为等式(29)的函数相关性。通过将测量的正和负衍射级次强度的和拟合为在等式(29)的垂直于标板周期的方向(y′方向)上的已知相关性的曲线拟合方法,例如最小二乘拟合,可确定在固定波长λk下,未知的振幅Kk、γk、相位φk以及覆盖误差εx
I + n ( y &prime; ) + I - n ( y &prime; ) - 2 | K k | 2 { 1 + &gamma; k 2 + &gamma; k &lsqb; cos &Phi; + n ( y &prime; ) + cos &Phi; - n ( y &prime; ) &rsqb; } = &chi; - - - ( 35 a )
其中:
&Phi; + n ( y &prime; ) = 2 &pi;n D x ( y &prime; ) P + &phi; k
&Phi; - n ( y &prime; ) = 2 &pi;n D x ( y &prime; ) P + &phi; k - - - ( 35 b )
D x ( y &prime; ) = ( y &prime; - y m &prime; ) M tan &zeta; + P 2 = ( y &prime; - y 0 &prime; ) M tan &zeta; + P ( 1 2 + &epsiv; x )
以及χ2是利用K、γ、φ和εx作为自由参量将要最小化的残差(residual)。图38A示出了在探测器460的平面上对于波长分别为λ0+Δλ、λk、λ0-Δλ的多波长辐照的正一级强度3801、3802、3803的平面视图绘图。可在探测器阵列460上在各位置x′(λk)进行对正级次衍射强度的y′相关性的测量的最小二乘拟合,如图38B所示。在探测器阵列460上的平面视图中示出了对应的负一级强度3806、3805、3804,以及图38D示出了对于负级次的最小二乘拟合。可对宽带辐照进行类似的分析。虽然覆盖误差与波长无关,但多波长或宽带辐照的使用允许仅仅那些γk>γ阈值大于近似单一的预定阈值的波长的集中使用,以确保测量精度。γ阈值的允许值将取决于标板元件的相对宽度及其在辐照波长范围内的反射率,如等式(30b)所示。测量的覆盖误差是对在不同探测器位置x′(λk)确定的波长特定的覆盖误差εxk)的平均。可以计算波长特定覆盖误差的标准偏差,该标准偏差提供对测量精度的原位监控。
与CD测量的情况一样,根据本发明,在晶片上印刷的覆盖标板3900的另一个实施例设计为包括覆盖在印刷标板3900上的“沙漏”或“桶形”标板元件3901、3902,其由用于A光刻工艺的3911和对应于B工艺条件的3912两个相反倾斜的部分构成,设置为由如图39所示的标称间距G0分隔的两个子区3910、3920。两个子区3910、3920的使用使测量灵敏度加倍,同时预定的间距消除了分别在元件3911、3912的探测器460上确定中心位置y′01、y′02(对应于图39所示的标板3900的平面内的位置y1m、y2m)的需要。对等式(35a)的最小二乘拟合确定了偏移位置之间的距离,该距离对应于 D x = P 2 ; G = y 1 m &prime; - y 2 m &prime; M . 然后相对于已知周期G0的该测量的距离确定覆盖误差:
&epsiv; x = ( G 0 - G ) tan &zeta; 2 P - - - ( 36 )
图40所示的的离散微分光栅标板4000提供了基于同一发明微分衍射测量原理的覆盖测量的可选方法。光栅4000被分成两个或更多个子区,例如区域0(4010)、区域1(4011)、区域2(4012),其中A工艺的各子区元件4001的宽度为WA,以间距P间隔,沿x方向(主周期方向)具有相同位置,而B工艺元件4002宽度为WB,也以间距P间隔,但在不同子区中位于相对于A元件4001标称不同的位置。在y方向上各子区的元件具有标称长度H。在子区中的一个中,设计为区域0(4010)的B元件4002具有相对于A元件的标称固定偏移Dx=0.5P。在邻近的子区(例如区域1(4011)、区域2(4012))中,B元件4001分别具有相对偏移D1、D2,其中D1=Dx2,D2=Dx1,其中优选Δ1=Δ2。在放大倍率为M的衍射测量系统40的探测器阵列460上,各子区分别在如图34A所示的正x方向成像,以俘获正一级衍射,然后在图34B所示的负x方向成像,以俘获负一级衍射。对于单色辐照的情况,图41A和41B示出了在探测器阵列460上的探测图像,其中各区中的强度大致恒定。区域1(4111+)、区域0(4110+)和区域2(4112+)的n=+1(正)图像示于图41A,以及区域1(4111-)、区域0(4110-)和区域2(4112-)的n=-1(负)级次图像示于图41B。如上所述,各区的图像尺寸为HI ×LI,其中HI=MH以及LI=MHP。优选通过在一个给定级次的微分标板4000的所有区域成像后切换辐照的方向,使正和负图像顺序地成像。可存储各图像,用于随后的分析。可以对成像顺序进行多种改变,包括但不限于使同时从平行于标板周期的多个方向被辐照的以不同方向印刷的多个标板成像,或者通过顺序地重新定位晶片以获得可选的成像方向。对于各衍射级次,分离的标板区域(例如4011、4010、4012)的结构成像在探测器阵列460的对应区域(例如在区域4110+、4111+、4112+中的强度I0+1、I1+1、I2+1分别用于+1级次,以及在区域4110-、4111-、4112-中的强度I0-1、I1-1、I2-1分别用于-1级次)。
在图40中的覆盖标板4000的情况下,当γ=1时,对于相对相位φ的三个代表性值(即分别为φ=0,φ=π/8和φ=π/4),图42A-42C绘制了沿主周期方向,即x方向,根据等式(29)六种强度I0+1、I1+1、I2+1、I0-1、I1-1、I2-1对覆盖误差εx的响应。仅仅在允许的动态范围内绘制了强度,该动态范围是覆盖误差εx的范围,在该范围内图40的覆盖标板4000的分离的A和B工艺图形不重叠。例如,在图40的标板4000的情况下,动态范围约为 ( P 4 + W A + W B 2 < &epsiv; x < 3 P 4 - W A + W B 2 ) , 在该范围内相对强度对覆盖误差的变化的响应将是线性的。在允许的动态范围以外,强度对覆盖误差的响应容易为非线性。优选将标板元件宽度WA、WB选择为足够大,以使近似效应不显著,从而印刷宽度实际上与设计的一样。优选地,WA、WB标称相等,并选择为固定的周期分数,优选WA和WB约为0.2P。六种强度(即对于三个覆盖标板子区4010、4011、4012的+1和-1衍射级次)对覆盖误差εx的响应都作为归一化的相对相位φ的函数单一地变化。根据印刷的标板的相对相位状态,可以将六种强度的响应曲线分类。例如,图42A示出了φ=0时的强度响应。在此,对于各标板子区4010、4011、4012,正和负衍射级次相互叠加,分别如由强度曲线4210、4211、4212所示。然而,当φ=π/8时,六种强度响应曲线强度I0+1、I1+1、I2+1、I0-1、I1-1、I2-1,即4220+、4220-、4221+、4221-、4222+、4222-各自不同,如图42B所示。另一方面,在φ=π/4情况下,I1+1(4232)和I2+1(4233)响应各不相同,但I0-1、I1-1以及I0+1、I2-1分别在曲线4230和4231中叠加,如图42C所示。因此,为了提取相对相位φ和相对振幅γ,本发明利用强度的相对响应,这将在下面更详细描述。
在单个波长下探测的强度的比率由等式组描述:
r 1 + &equiv; I 1 + 1 I 0 + 1 = 1 + &rho; cos ( &psi; + + &delta; ) 1 + cos &psi; + - - - ( 37 a )
r 2 + &equiv; I 2 + 1 I 0 + 1 = 1 + &rho; cos ( &psi; + - &delta; ) 1 + cos ( &psi; + ) - - - ( 37 b )
r 1 - &equiv; I 1 - 1 I 0 - 1 = 1 + &rho; cos ( &psi; - + &delta; ) 1 + cos &psi; - - - - ( 37 c )
r 2 - &equiv; I 2 - 1 I 0 - 1 = 1 + &rho; cos ( &psi; - - &delta; ) 1 + cos &psi; - - - - ( 37 d )
其中定义参量:
&delta; &equiv; 2 &pi;&Delta; P
&rho; &equiv; 2 &gamma; 1 + &gamma; 2 - - - ( 37 e )
&psi; + &equiv; 2 &pi; D x P + &phi;
&psi; - &equiv; 2 &pi;D x P - &phi;
重新整理等式37a-37e,推导出三个未知ψ+、ψ-和ρ的通解:
&psi; + = arccos ( T + &rho; ) - - - ( 38 a )
&psi; - = arccos ( T - &rho; ) - - - ( 38 b )
&rho; = ( T &PlusMinus; ) 2 + &lsqb; C &PlusMinus; ( 1 + &alpha;T &PlusMinus; ) &rsqb; 2 ( 1 - &alpha; 2 ) - - - ( 38 c )
其中:
a≡cosδ
&kappa; &PlusMinus; &equiv; ( r 2 &PlusMinus; + r 1 &PlusMinus; ) 2
&eta; &PlusMinus; &equiv; ( r 2 &PlusMinus; - r 1 &PlusMinus; ) 2 - - - ( 38 d )
T &PlusMinus; &equiv; 1 - &kappa; &PlusMinus; &kappa; &PlusMinus; - &alpha;
C &PlusMinus; &equiv; &eta; &PlusMinus; &kappa; &PlusMinus;
特别关心的微分覆盖计量是其中优选 &Delta; = P 4 的情况,从而α=0,以及等式(38a)-(38c)简化为:
&psi; + = arccos ( T + &rho; ) - - - ( 39 a )
&psi; - = arccos ( T - &rho; ) - - - ( 39 b )
&rho; = ( T &PlusMinus; ) 2 + ( C &PlusMinus; ) 2 - - - ( 39 c )
其中:
T &PlusMinus; &equiv; 1 &kappa; &PlusMinus; - 1 - - - ( 39 d )
为了简洁,我们将注意力限制在Δ=P/4的情况,但可容易地对其它Δ值的情况进行类似分析。
现在,根据可测量的强度(I0±1、I1±1、I2±1),可解方程(25)和(37)-(39)求出未知的覆盖误差εx、相对振幅γ,以及相对相位φ:
&epsiv; x = 1 4 &pi; ( &psi; + + &psi; - ) - 1 2 - - - ( 40 )
φ=(ψ+-)                   (41)
&gamma; = 1 &PlusMinus; 1 - &rho; 2 - - - ( 42 )
在等式(38a)和(38b)中ψ+、ψ-的定义中的反余弦函数在解中引入不定性,这可根据覆盖误差和相对相位的物理特性通过限制解得到解决。根据测量的强度比率而表示的等式(39a)-(39d)在确定正确的(即有物理意义的)覆盖误差εx和相位φ方面的应用要求:当 &Delta; = P 4 时,对(ψ+,ψ-)进行变换,该变换与在(-π<φ≤π)范围内不同的可能相位状态一致。这通过将等式(41)的φ限制为常数实现,因为相对相位不应随覆盖误差改变。另外,等式(40)的覆盖误差εx优选限制为在允许的动态范围内斜率为线性,也就是说,印刷Dx的变化优选引起εx值固定的、按比例的变化。
图43-45的流程图中示出了对(ψ+,ψ-)的必要变换,其中我们定义参量:
ΔC≡C+-C-
Δψ≡ψ+--π               (43)
ΔT≡T+-T-
C &OverBar; = C + + C - 2
图43-45所示的流程图描述了这样的逻辑树,其中测试等式43的所有来自测量的强度的各种参量,并将其与零比较,以确定是否应调整(或变换)ψ+和ψ-的值。
参考图43,首先测试参量ΔC(方块4301)。如果ΔC=0,这导致其中φ=0或π(方块4302),并且两个衍射级次n=±1相同的第一“简并”情况。下一步,测试参量Δψ的符号(方块4303)。如果Δψ≥0(方块4304),则将相对相位φ设定为等于0(方块4305),并测试参量C的符号(方块4306)。如果C≥0(方块4307),则不需要对ψ+或ψ-进行变换(方块4308)。如果C<0(方块4309),则如在方块4310中,将ψ+变换为π+ψ+,以及将ψ-变换为π-ψ-。如果Δψ<0(方块4311),将φ设定为等于π(方块4312),并测试C(方块4313)。如果C≥0(方块4314),则将将ψ+变换为π+ψ+,且不对ψ-进行变换(方块4315)。如果C<0(方块4316),则将ψ-反号,并将ψ+变换为π-ψ+(方块4317)。对于其中ΔC≠0的情况(方块4311),则采取分支(方块4400)以确定ψ+,如图44所示,以及另外,采取分支(方块4500)以确定ψ-,如图45所示。
参考图44,对于ΔC≠0的ψ+分支(方块4400),首先测试C+的符号(方块4401)。如果C+≥0(方块4402),则测试ΔT(方块4403)。如果ΔT<0(方块4404),则不需要对ψ+进行变换(方块4405)。如果ΔT≥0(方块4406),则测试ΔC(方块4407)。如果ΔC>0(方块4408),则不需要对ψ+进行变换(方块4405)。如果ΔC<0(方块4409),则将ψ+变换为π+ψ+(方块4410)。类似地,如果C+<0(方块4411),则顺序测试ΔT(方块4412)和ΔC(方块4416)的符号,以确定对ψ+的适当变换(方块4414或方块4419)。同样地,为确定ΔC≠0情况下对ψ-的适当变换(在方块4505、4510、4514和4519中),进行在图45中示出的以方块4500起始的逻辑。通过该逻辑变换ψ+和ψ-后,等式(39a)-(39d)允许根据从本发明覆盖标板例如图40的4000探测的六种n=±1级次强度的测量I0+1、I1+1、I2+1、I0-1、I1-1、I2-1(如图41所示)确定εx和φ的确切值。在Δ=P/4的情况下,在允许的动态范围内,无论γ和φ值如何,在变换ψ+、ψ-后,由等式(40)计算所得的对覆盖误差的任何输入值εin的响应εc都是线性的,其具有单一的斜率和零截距,如图46A所示。为产生该图,以增量0.1选择0.1≤γ≤1的值,以及以增量π/100选择-π≤φ≤π的值。与图36的连续变化标板的情况一样,多波长或宽带辐照的使用允许在不同的位置x(λk)为强度取样,以使γ最大化。
覆盖误差εx对测量的在等式(38d)中定义的相对强度比率的差异η以及总和κ的变化的灵敏度给出为:
&Delta;&epsiv; x = &PartialD; &epsiv; x &PartialD; &eta; &Delta;&eta; + &PartialD; &epsiv; x &PartialD; &kappa; &Delta;&kappa; - - - ( 44 )
由等式(39)可得:
&PartialD; &epsiv; x &PartialD; &eta; = 1 4 &pi; ( &PartialD; &psi; + &PartialD; &eta; + + &PartialD; &psi; - &PartialD; &eta; - ) - - - ( 45 a )
&PartialD; &epsiv; x &PartialD; &kappa; = 1 4 &pi; ( &PartialD; &psi; + &PartialD; &kappa; + + &PartialD; &psi; - &PartialD; &kappa; - ) - - - ( 45 b )
在等式(38a)-(38d)中的替代给出:
&PartialD; &psi; &PlusMinus; &PartialD; &eta; &PlusMinus; = T &PlusMinus; &eta; &PlusMinus; &rho; 3 ( &kappa; &PlusMinus; ) 2 1 - ( T &PlusMinus; &rho; ) 2 - - - ( 46 a )
&PartialD; &psi; &PlusMinus; &PartialD; &kappa; &PlusMinus; = 1 &rho;&kappa; &PlusMinus; 1 - ( T &PlusMinus; &rho; ) 2 { 1 &kappa; &PlusMinus; + T &PlusMinus; &rho; 2 &lsqb; 1 &kappa; &PlusMinus; ( 1 &kappa; &PlusMinus; - 1 ) + ( &eta; &PlusMinus; &kappa; &PlusMinus; ) 2 &rsqb; } - - - ( 46 b )
其中必须对计算等式(44)中ψ+、ψ-的值进行与等式(39a)-(39d)相同的变换。
如图46B所示,对于γ=0.8和φ=0的情况,本发明的微分覆盖计量的希望特性为在εx=0时
Figure C20038011084100583
与零交叉。这确保本发明的标板设计和测量技术在εx=0附近将具有对覆盖误差的高灵敏度。
Figure C20038011084100584
对εx的相关性是限定覆盖标板的两个图形层A和B的相对振幅γ和相位φ的函数。由于不与零交叉,其对灵敏度的贡献不如
Figure C20038011084100586
大。
对覆盖误差的测量需要探测至少两个方向的n=±1级次的强度,以确定εx、εy,即覆盖误差的矢量分量。因此,本发明的衍射测量装置优选包括在与例如图39和40中所示的标板的两个方向相对应的至少两个方向上直接辐照和会聚n=±1衍射级次的能力。图47A和47B示出了本发明的衍射测量装置340的一个实施例的示意图,该装置340允许利用常用的辐照源410(例如如上所述具有λ±Δλ的带宽)、可选的滤色器412、辐照光学系统413、可选的起偏器314以及常用的衍射级次探测器460(例如第一CCD阵列),对必要的强度数据进行快速采集。旋转反射镜398将起初沿方向310的辐照顺序导向反射镜(例如301、302),该反射镜将辐照沿路径321导向在衬底450上的衍射测量标板455,该标板455由沿x方向定向的周期P表征。旋转反射镜398能够被旋转,以从不同方向对标板455提供辐照。因此,例如,在图47A中,通过例如重新导向反射镜301、302的装置,旋转反射镜398沿正x方向导向辐照,以会聚+1衍射级次441。通过将旋转反射镜398改变180度重新定向,起初沿320方向的辐照被导向例如重新导向反射镜304、303的装置,沿路径331,以从负x方向辐照标板455,从而会聚-1衍射级次441′,如图47B所示。探测光学系统430以及可选的探测器CCD1460本身与旋转反射镜398同步旋转,以维持光学系统430的各向异性(x-y不同)成像能力与辐照平面之间的固定关系。可沿y方向提供其它重新定向反射镜(未示出),以同样地获得对y方向覆盖的测量。可选地,衬底450可支撑和/或固定在旋转平台380上,该旋转平台380可用于定向衬底450,以允许从不同方向辐照。可选地提供随反射镜398旋转的起偏器314,以提供对应于最佳一级衍射效率的偏振。优选实施例将辐照导向位于正交轴上的四个不同方向。对于具有垂直于入射方向的元件的标板光栅,一级衍射被放大并成像在垂直于光栅周期的方向上的探测器CCD1460上,并且在平行于光栅周期的方向上波长色散。可选地,可提供第二探测器阵列(例如CCD2)480,其会聚被导向经过色散元件435以及第二光学系统436的零级能量440。另外,可提供第三探测器阵列485,例如CCD3,其会聚从色散元件435反射(未衍射)被导向经过第三光学系统486的零级能量,以获得其它测量,这将在下面进一步描述。
许多标板结构适用于图47的计量装置。上述基本类型的CD和覆盖标板可在单个或多个工艺层中结合成组,以同时形成适合特定生产和工艺表征应用的被辐照标板“组件”。上述的该组件中的一个是图30所示的通间距标板。在产生标板组件时,在垂直于主标板周期和辐照平面的方向上的成像确保在成像方向上的邻近光栅不会与另一个相互干涉。因此,组件可由大量(仅仅受探测视场限制)在单个方向的主标板构成,该主标板在垂直于辐照平面的方向上层叠。然而,其周期平行于辐照平面的主标板衍射强度的波长色散的拉长有这样的要求,即在同一方向的主标板不能在辐照片面内相互邻近地设置。通过改变其方向实现在辐照平面内层叠的标板的孤立。当同时辐照的主标板的方向分别平行和垂直于辐照平面时,实现在辐照平面内主标板之间的最佳孤立。图48中示出了允许同时测量覆盖、CD和膜厚的有用组件结构。如图48所示,本发明的微分覆盖标板的实施例4800在层B和A可具有两个覆盖光栅4810、4830(即,利用工艺B印刷的光栅元件与之前利用工艺A印刷的光栅元件交错),其各自类似于具有双重光栅子区的图39的光栅3900,其中x定向的光栅4810具有x方向的间距POLx,以及y定向的光栅4830具有y方向的间距POLy。在多数情况下POLx=POLy=POL。同一标板4800也可设计为结合B层CD光栅4820、4840,其各自在设计上类似于分别具有间距PCDx、PCDy的示于图6、8、9、17、23、25、26或28-30的光栅,其中多数情况下PCDx=PCDy=PCD=POL=P。
如图49B所示,根据旋转反射镜398的方向,在探测器460(CCD1)平面上将出现顺序图像4901、4902、4903、4904,例如,假定反射镜沿顺时针方向322顺序旋转到锁定位置1、2、3和4,如图49A所示,以允许分别形成各图像4901、4902、4903、4904。覆盖图像处理包括:存储在各方向和衍射级次各光栅区的强度,以及应用等式(35a)-(36)求解各双重覆盖光栅区4810、4830中的覆盖误差。
示于图47的微分CD和覆盖装置340的实施例也可配置为进行常规光谱散射测量和膜厚计量。通过使旋转反射镜398在两侧反射,在每个方向,被反射的零级440可经过波长色散元件435并经过第二光学系统436被导向至第二CCD探测器480(即CCD2)。当存在常规或微分光栅标板时,可通过常规散射测量技术分析零级光谱,以确定图形(CD、侧壁角度等)和底层膜的各种特性。如上所述,在CCD2阵列480的平面视图上的图像4905中所示的未构图标板区域的零级强度光谱,如图49所示,可用于膜厚测量。CCD2图像4905示出为椭圆形,这是因为其为近似圆形辐照410的零级强度,其在垂直于透射光栅435的周期的方向上成像,并在平行于透射光栅周期的波长色散方向上拉长。总标板图像可通过具有会聚零级能量4906的光学系统486的第三探测器阵列485(即CCD3)被俘获,其可用于标板图形识别和晶片对准,或者其它不需要分辨标板的光栅元件的测量。以图47的方式配置的本发明的装置340提供了在单个标板位置同时进行CD、覆盖和膜厚测量的能力,对于需要所有这三种测量的生产应用,产生约三倍的测量速度。虽然CD和膜厚测量不需要测量正和负两种衍射级次,可将正和负衍射级次结果求平均和差分,以提高和监控测量精度。因此,在一些应用中,可优选与覆盖分离地进行CD和膜厚计量。在任何情况下,本发明的微分衍射测量装置和方法允许在组件标板布局中的广泛的灵活性,以优化测量吞吐量和能力。
当在晶片450上没有任何标板图形时,如图50所示,本发明的装置340的实施例可用于常规光谱膜厚测量,其中在第二探测器480会聚零级光谱5001(图50C),以及预定的膜特性(在测量波长下膜折射率的实部和虚部分量)用于确定在未构图膜叠层451中的膜厚,这在本领域已知。当没有标板光栅时,在第一探测器位置460没有需要会聚的非零衍射级次,如图50B所示。可选地,装置340可配置为具有观察光学系统486,以及可提供观察探测器CCD3 485,以允许实时观察以及相对于衬底上的标板位置定位辐照所需的对准(例如通过对准标记5002)。如图所示,在探测器485上形成图像的光是来自透射光栅表面的镜面反射。可选地,置于零级光束的路径371的分束器(未示出)可将光导向至成像系统。
如图51所示,在(图47的)衍射装置340的优选实施例中,提供可调反射镜302、303,以确保一级衍射光线441、441′的方向(取决于辐射光线来自正x方向321或负x方向331),将基本上垂直于衬底表面475,以将衍射级次导向至第一探测器阵列460。根据等式(1),在图47所示的装置340中,当中心波长λ0和或标板455的主间距P改变时,入射光线321、331与一级衍射光线441、441′之间的角度θ将改变。因此,为了维持固定的一级衍射方向θ,以使第一探测器阵列460可位于垂直于衬底表面475的方向,优选装置340的下反射镜302、303的高度h和(相对于垂直或z方向的)倾斜角ξ调整为如图51所示的装置340中详细示出的几何关系所示,其中:
&zeta; = &theta; 2 - - - ( 47 )
h=r0cotθ
其中r0是下反射镜302、303与第一探测器阵列460的优选中心水平位置的水平距离。如图4C所示,角度Δθ表示被辐照标板的波长色散。注意,提供类似功能的本发明的装置340可以有许多实施例。这些实施例包括但不必限于,利用多个固定光束代替旋转反射镜,利用导向单个光束的不同装置代替旋转辐照和探测光学系统,所述不同装置例如颤动反射镜、可调光纤光信道以适当导向光束,关于标板中心旋转衬底。
图52示出了总结本发明的标板设计方法的一个实施例的流程图。方法开始(方块5200),其中提供标板设计图形,其具有将要印刷的临界图形尺寸(例如,在半导体器件制造情况下最小电路特征尺寸W0和间距p0)。给定选择的辐照中心波长λ0以及带宽±Δλ、各向异性衍射测量会聚和成像物镜413的较低NAx、NAy(见图4A),确定本发明的光栅标板455的总尺寸,以确保与所有其它衍射级次无关地探测一级衍射(方块5210)。例如,光栅区(或子区)的高度H(垂直于主周期P的方向)优选大于约
Figure C20038011084100621
其中在成像方向上的数值孔径NAy优选在约0.05与0.5之间,作为聚焦深度、标板尺寸和图像锐度之间的折衷,优选约0.2。光栅的主周期P优选为 &lambda; < P < &lambda; NA x , 其中会聚全光谱所需的数值孔径 NA x &GreaterEqual; | n | &Delta;&lambda; P 隐含着条件λ>|n|Δλ。对于n=±1和λ=2Δλ,优选NAx≈0.5。重复元件的数目N优选约为10或更大。标板设计的进一步细节取决于该应用是用于CD计量或用于覆盖计量(方块5225)。对于CD标板,元件尺寸主要基于标板部件临界尺寸W0(方块5240)。例如,在如图17所示的CD标板1701的设计中,存在两个光栅子区1731、1732,其中元件1711、1712分别具有标称宽度Wd1、Wd2。在此,Wd1=W0+Δ,以及Wd2=W0-Δ,其中优选地,优选选择子光栅标板尺寸Wd1、Wd2之间的差异Δ,以使0.01W0≤Δ≤0.25W0,以及优选约0.1W0。为提高对剂量和散焦的灵敏度,光栅元件(例如图25中的标板2500)可由具有沿与主周期P的方向垂直的方向定向的周期pf的子元件勾划,其中p0≤pf<<P。对于利用亚分辨辅助部件(SRAF)的光栅,例如图26A和26B的标板光栅2600,SRAF间隔pSRAF优选限制为小于
Figure C20038011084100624
其中参量λe、σe、NAe分别指曝光工具的波长、相干性以及数值孔径。图26的剂量标板2600的亚分辨间距pSRAF必须小于曝光工具的分辨率。
如果标板类型为用于覆盖测量(方块5230),则设计参量较少依赖于设计的临界尺寸,但受到以下因素的限制,例如衍射测量系统的分辨率,即λ、NAy,或在芯片上可得的面积。因此,覆盖标板的尺寸将取决于主周期P,以及对于如图40所示的覆盖标板4000,例如,在A工艺元件与B工艺元件之间的标称间隔D0(即水平方向上的Dx或垂直方向上的Dy)优选为D0=0.5P,以及D1=D0+Δ和D2=D0-Δ,其中Δ优选在约0.01P与0.25P之间。覆盖标板的分立单元的宽度WA、WB优选W0≤WA,B≤0.25P。
本发明的装置(例如,如图34、37、50、51所示)可用于进行对晶片上多个计量部位的多种测量,这总结在图53-55所示的流程图中。该应用的一个实例是作为在如图3所示的轨道工具或蚀刻工具上的计量模块200,但本发明的集成计量工具200也可用于离线应用35中。参考图53,在工具中装载并对准具有多个计量部位的衬底(方块5300)。对于晶片的计量部位的数目和类型可提供作为对工具的输入。可选地,在标板之间的人为差异(例如,标板周期中的偏移)可允许计量工具识别在空中的标板类型。首先进行检查,以确定晶片是否具有图形(方块5310)。通常,如果晶片被构图,在晶片上的所有图形将以类似的全局方式对准,因此通常晶片通过合适的平移和旋转对准(方块5320)。这可通过利用例如图47所示的观察探测器485(例如CCD3)得以进行。如果晶片没有被构图,可跳过对准步骤5312。下一步,然后定位晶片,以便可辐照将要测量的第一部位(方块5330)。然后标板部位的类型确定将采用哪条分析路径(方块5340)。如果没有部位图形(方块5346),则仅仅进行零级测量(路径5346)。如果该部位被构图,则可通过沿路径5348转到方块5350或5360进行非零级次测量,或者可通过沿路径5347进行零级测量。零级测量和分析可利用本发明的衍射测量标板或常规散射测量标板进行(方块5345),这将参考图54更详细描述。根据本发明,如果该部位包括CD衍射测量标板,则沿CD分析路径(方块5350),或者如果该部位包括本发明的覆盖衍射测量标板,则沿覆盖分析路径(方块5360),这将分别参考图55A和55B更详细描述。可利用同一工具用于所有选择的计量部位,继续进行分析(方块5390),以及当所有部位都已测量,可卸载晶片(方块5399),或者沿轨道或蚀刻工具继续处理。
参考图54,如果零级测量适合选择的计量部位(方块5345),则根据是否存在标板,可进行常规膜厚测量或散射测量(方块5400)。在这两种情况下,提供膜特性5403,以分析已获得的反射零级测量(方块5405)。如果不存在标板,则根据提供的膜特性5403分析零级强度测量(方块5401),以利用本领域已知的方法通过与参量化的光谱响应(方块5402)比较,确定膜厚(5406)。如果标板部位包括常规散射测量标板,则根据校准信号库和/或参量化的RCWA(严格耦合波分析)(方块5404),分析零级强度(方块5407),从而可获得对CD、轮廓和膜厚的确定(方块5408)。如果存在其它将要测量的部位,可通过本发明的工具继续处理晶片(方块5390)。
如果该部位包括本发明的CD衍射测量标板,则可进行方块5350至5370,如图53所示,以及在图55A中更详细描述方块5370。参考图55A,获得一级衍射强度测量(方块5501)。例如,由图17的区域1(1731)和2(1732),沿x′方向平均或求和,即如图18C的I(y′),获得标板子区强度之间的对比(方块5510),以及可分析该对比,以确定平均CD。提供标板对于对比变化的模拟CD响应(方块5512),例如,对于沿y方向具有连续变化的特征尺寸的标板(如图6的锥形元件标板60),可根据等式15,或者对于沿y方向具有离散变化的特征尺寸的标板(如图17的多子区标板1701),可根据等式20a和20b。将测量的对比输入模拟的响应(方块5513),以获得CD(方块5514)。如果标板部位包括对工艺条件,例如剂量和散焦,或蚀刻速率和各向同性敏感的子区,则可通过利用工艺条件与CD变化之间的关系模型(方块5515),例如通过在美国专利5,965,309中所述的Ausschnitt的方法,由所得的平均CD测量(方块5516),获得工艺条件(方块5517)。
可选地,可通过分析随沿y′方向求和或平均的波长变化的强度,即I(x′)(方块5520),获得工艺条件,例如剂量和散焦测量。可实验地提供信号库(5522),例如通过利用聚焦曝光矩阵(FEM),或通过模拟。可与强度光谱比较和匹配信号库(方块5523),以导出工艺条件,例如剂量和散焦或者蚀刻速率和各向同性(方块5527)。由于印刷部件的强度响应也取决于CD以及特性例如侧壁轮廓,可将由对比测量获得的CD值(方块5514)输入工艺响应信号分析的结果中(方块5524),以导出轮廓测量(方块5521)。对于晶片上的其它部位,可继续根据本发明的计量(5390)。
也很重要的是,注意利用本发明装置可测量的非零级次强度光谱对标板光栅元件的所有轮廓特征-例如侧壁角、抗蚀剂损耗、垫层(footing)等-敏感,以及对底层膜叠层不敏感。模拟的或经验的工艺矩阵信号库可用于确定这些轮廓细节并准确指出对应于测量光谱的工艺条件。本发明的微分衍射测量方法具有优于常规衍射测量的三方面优点:
1.由上述剂量和聚焦灵敏度很明显地提高了对轮廓变化的灵敏度,以及对底层膜叠层的不灵敏,改善了确定轮廓特性时的信噪比。
2.通过本发明的微分技术,可使轮廓测量相对于平均CD无关地确定,从而进一步提高信噪比(图55A中方块5524)。这一点通过图55A中将CD连接到轮廓确定路径的箭头5525示意性示出。
3.对底层膜叠层的不敏感允许通过在光刻时通过聚焦曝光矩阵以及在蚀刻时通过速率-各向同性矩阵确定光谱变化,产生更有效的模拟或经验库。这在光谱与工艺设置之间建立了直接关系,用于工艺控制应用。这一点通过图55A中从光谱和信号库5522向工艺设置5527的路径5519示意性示出。
参考图55B,对于覆盖标板的情况(方块5360),覆盖分析(方块5380)包括以下步骤。为了测量覆盖,需要从4个不同方向进行强度测量(方块5538)。将测量强度与覆盖标板的模拟响应(方块5539)比较(方块5540),例如,对于沿y方向具有连续变化的特征尺寸的标板(例如图36中的标板3600)如等式(35a)-(36b)所述,或者对于沿y方向具有离散变化的特征尺寸的覆盖标板(例如图23中所示的标板2300)如等式40所述。为了确保对于分析可获得最佳信噪比,可选择波长范围,以便将γ>γ阈值选择为尽可能大(对于连续变化特征尺寸的标板如等式35所述,或者对于离散变化特征尺寸的标板如等式42所述)。如果标板为离散变化类型(方块5543),有必要进行对ψ±的相位变换分析(如图43-45),以允许选择有物理意义的覆盖误差。然后,对于连续变化的特征标板尺寸根据等式35,或者对于离散变化的特征标板尺寸根据等式40,可计算覆盖误差(方块5545),作为在选择的波长下确定的覆盖误差的平均。如果剩余多个计量部位,则继续该测量方法(方块5390),直到处理完所有的选择部位。
该方法适于在计算机可读存储介质上实现,用于在计算机系统,例如图像处理器490(图4A)上执行,其具有中央处理单元、输入/输出(I/O)设备以及存储设备,它们能够执行指令以实施方法,并接受数据和控制例如图4A所示的本发明的装置。
相对于现有的对CD和覆盖计量以及剂量和聚焦控制的显微镜方法,由以上发明实现的优点包括具有优越的精度和速度的更简单和更鲁棒的计量装置。本发明的微分覆盖和CD计量方法提供了仅仅利用探测强度的相对振幅,原位校准对标板的已知周期的测量,从而消除了在常规计量工具中突出的TIS、WIS的来源以及匹配误差。此外,本发明提供了对剂量和聚焦的监视和控制,而不需要CD的散射测量或轮廓计量所需的费力的设置步骤。
本领域的技术人员将理解,根据本发明的方法和对各种版图的应用不限于上述实施例。因此,本发明旨在包括落入本发明和以下权利要求的范围和精神内的所有这些替换、修改和变化。
工业适用性
通过确保将适当的曝光和蚀刻条件用于各被处理晶片,本发明的方法在集成电路的光刻处理中有用,并且对于获得晶片设计尺寸和控制临界尺寸尤其有用。

Claims (35)

1.一种在衬底上测量尺寸的方法,包括以下步骤:
提供标称图形,所述标称图形包括在主方向上具有主间距周期P的部件阵列,其中所述标称图形由沿所述主方向以所述周期P重复的标称特征尺寸表征,以及所述标称特征尺寸具有沿与所述主方向基本上垂直的方向的预定变化;
在所述衬底上形成对应于所述标称图形的标板图形,其中所述标板图形具有对应于所述标称特征尺寸的衬底特征尺寸;
用由至少一个波长表征的辐射辐照所述标板图形,以从所述标板图形产生衍射级次;
根据所述衬底特征尺寸相对于所述标称特征尺寸的偏差,提供待测量的尺寸与沿所述基本上垂直方向探测到的一个或多个非零衍射级次的变化之间的关系;
探测沿所述基本上垂直方向的所述一个或多个非零衍射级次的变化;以及
基于所述探测到的所述一个或多个非零衍射级次的变化,根据所述关系确定所述待测量的尺寸。
2.根据权利要求1的方法,其中所述辐射包括多于一个波长,以及所述方法还包括沿所述主方向探测所述非零衍射级次的变化。
3.根据权利要求1的方法,其中所述标板图形的尺寸包括临界尺寸。
4.根据权利要求1-3中任何一项的方法,其中所述探测到的变化包括所述一个或多个非零衍射级次的强度变化。
5.根据权利要求2的方法,其中所述标板尺寸包括临界尺寸。
6.根据权利要求2的方法,其中所述标板图形包括形成在所述衬底的第一层上的第一标板部分以及形成在所述衬底的第二层上的第二标板部分,所述第一标板部分对应于所述标称标板图形的第一部分,所述第二标板部分对应于所述标称标板图形的第二部分,以及所述待测量的尺寸包括与所述标称图形相比所述第一标板部分相对于所述第二标板部分的偏移。
7.根据权利要求6的方法,其中所述探测到的变化包括所述一个或多个非零衍射级次的强度和相位变化。
8.根据权利要求1、2或5中任何一项的方法,其中所述标称图形包括第一子图形和第二子图形,所述第一子图形包括由标称长度和宽度表征的第一色调的子图形部件,以及所述第二子图形包括具有所述标称长度和宽度的互补色调的部件,其中所述方法还包括:基于对应的标板子图形部件长度和宽度相对于所述标称长度和宽度的变化,确定工艺条件与标称工艺条件的偏差。
9.根据权利要求1的方法,其中所述标板图形包括形成在所述衬底的第一层上的第一标板部分以及形成在所述衬底的第二层上的第二标板部分,所述第一标板部分对应于所述标称标板图形的第一部分,所述第二标板部分对应于所述标称标板图形的第二部分,以及所述待测量的尺寸包括与所述标称图形相比所述第一标板部分相对于所述第二标板部分的偏移。
10.根据权利要求9的方法,其中所述探测到的变化包括所述一个或多个非零衍射级次的强度和相位变化。
11.一种用于实施权利要求1、2、3、5、6、7、9或10中任何一项的方法的装置,包括:
用于辐照所述标板图形的辐射源;
用于探测所述一个或多个非零衍射级次的变化的探测器;
用于保护所述衬底的装置;以及
用于定位所述辐射源、所述衬底以及所述探测器的装置,以使所述源辐照所述标板图形,以及使所述探测器探测从所述标板图形衍射的所述辐射的所述一个或多个非零级次的变化。
12.根据权利要求11的装置,还包括:
用于探测从所述标板图形衍射的所述辐射的零级的第二探测器;
用于相对于所述源和所述衬底定位所述第二探测器的装置,以使所述第二探测器探测所述零级;以及
用于基于所述探测到的零级确定待测量的第二尺寸的装置。
13.根据权利要求11的装置,还配置为用于半导体制造的在线处理。
14.根据权利要求12的装置,还包括:
用于基于所述一个或多个非零衍射级次的变化确定工艺条件与标称工艺条件的偏差的装置;以及
根据所述确定的工艺条件的偏差在随后的工艺条件中提供调整的装置。
15.根据权利要求12的装置,其中所述装置配置为用于半导体制造的在线处理。
16.根据权利要求15的装置,还包括:
用于基于所述一个或多个非零衍射级次的变化确定工艺条件与标称工艺条件的偏差的装置;以及
根据所述确定的工艺条件的偏差在随后的工艺条件中提供调整的装置。
17.一种在衬底上测量尺寸的方法,包括以下步骤:
在衬底上提供标板图形,所述标板图形与一标称图形对应,所述标称图形包括在主方向上由主间距周期P表征的部件阵列,所述标称图形还由沿与所述主方向基本上垂直的方向限定的特征尺寸表征,其中所述标板图形具有与所述标称图形的所述特征尺寸相对应的衬底特征尺寸;
用由至少一个波长表征的辐射辐照所述标板图形,以从所述标板图形产生衍射辐射;
提供用于根据沿与所述主方向基本上垂直的所述方向所述衍射辐射的一个或多个非零级次的可测量值确定在所述标板图形中沿所述主方向待测量的尺寸的关系,所述一个或多个非零级次的可测量值根据在所述标板图形中所述衬底特征尺寸出现;
探测所述衍射辐射的所述一个或多个非零级次的所述可测量值;以及
基于所述探测到的所述衍射辐射的所述一个或多个非零级次的可测量值,根据所述关系确定所述待测量的尺寸。
18.根据权利要求17的方法,其中在所述标板图形中所述待测量的尺寸包括所述部件阵列中的部件的宽度。
19.根据权利要求18的方法,其中所述标称图形的所述特征尺寸包括沿所述基本上垂直方向连续变化的所述阵列的所述部件的宽度。
20.根据权利要求19的方法,其中所述特征尺寸沿所述基本上垂直方向根据预定锥形角度连续变化。
21.根据权利要求18的方法,其中所述标称图形的所述特征尺寸包括沿所述基本上垂直方向离散变化的所述部件阵列中的部件的宽度。
22.根据权利要求18的方法,其中所述可测量值包括沿所述基本上垂直方向所述一个或多个非零级次的强度的极值位置。
23.根据权利要求18的方法,其中所述标称图形包括具有第一中心位置的第一区域以及位于邻近所述第一区域的第二区域,所述第二区域沿所述基本上垂直方向在与所述第一中心位置的预定距离处具有第二中心位置,以及所述标板图形在衬底上具有对应的第一和第二区域,以及其中所述可测量值包括在从所述标板图形的所述第一区域的所述一个或多个非零级次的极值位置与从所述标板图形的所述第二区域的所述一个或多个非零级次的极值位置之间的距离。
24.根据权利要求17的方法,其中所述可测量值包括沿所述主方向所述一个或多个非零级次的强度。
25.根据权利要求17的方法,其中所述标板图形包括具有第一色调的部件的第一区域,以及具有不同于所述第一色调的第二色调的部件的第二区域,其中所述方法还包括比较从所述第一区域获得的测量与从所述第二区域获得的测量,以确定工艺条件的效果。
26.根据权利要求25的方法,其中所述工艺条件选自剂量、聚焦或其组合。
27.根据权利要求17的方法,其中所述标称图形包括第一部件的第一子阵列和第二部件的第二子阵列,各子阵列由间距P表征,其中定位所述第一子阵列和所述第二子阵列,以便沿所述主方向以预定偏移离开所述第二部件定位所述第一部件,以及其中所述标板图形包括具有第一反射率的对应于所述第一子阵列的第一标板子阵列,以及所述标板图形还包括具有第二反射率的对应于所述第二子阵列的第二标板子阵列,其中所述第一和第二标板子阵列由对应于所述预定偏移的所述衬底上的偏移表征,以及其中所述待测量的尺寸包括所述衬底上的所述偏移与所述预定偏移之间的差异。
28.根据权利要求27的方法,其中所述一个或多个非零级次包括所述衍射辐射的正非零级次和对应的负非零级次。
29.根据权利要求28的方法,其中所述可测量值包括所述一个或多个非零级次的强度,以及所述关系还包括确定在所述第一标板子阵列的所述第一反射率与所述第二标板子阵列的所述第二反射率之间的有效振幅和有效相位差异。
30.根据权利要求27的方法,其中所述预定偏移沿所述基本上垂直方向连续变化。
31.根据权利要求27的方法,其中所述预定偏移沿所述基本上垂直方向离散变化。
32.一种用于实施权利要求17-31中任何一项的方法的装置,包括:
辐射源,用于辐照所述标板图形;
会聚光学系统,配置为沿所述主方向会聚所述一个或多个非零级次并沿所述基本上垂直方向使所述标板图形成像;以及
探测器阵列,配置为探测沿所述基本上垂直方向和沿所述主方向来自所述会聚光学系统的所述一个或多个非零级次的空间变化。
33.根据权利要求32的装置,还包括计算机系统,所述计算机系统包括计算机可读存储介质,所述计算机可读存储介质包括指令,用于基于所述衍射辐射的所述一个或多个非零级次的所述探测到的可测量值,根据所述关系使所述计算机系统确定所述待测量的尺寸。
34.根据权利要求32的装置,还配置为提供用于探测从所述衬底衍射的零级辐射的第二探测器,并且还包括用于由所述零级辐射确定膜厚的装置。
35.根据权利要求32的装置,还配置为用于半导体制造的在线处理。
CNB2003801108418A 2003-12-19 2003-12-19 微分临界尺寸和覆盖计量装置以及测量方法 Expired - Fee Related CN100442144C (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2003/041438 WO2005069082A1 (en) 2003-12-19 2003-12-19 Differential critical dimension and overlay metrology apparatus and measurement method

Publications (2)

Publication Number Publication Date
CN1879063A CN1879063A (zh) 2006-12-13
CN100442144C true CN100442144C (zh) 2008-12-10

Family

ID=34793603

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2003801108418A Expired - Fee Related CN100442144C (zh) 2003-12-19 2003-12-19 微分临界尺寸和覆盖计量装置以及测量方法

Country Status (8)

Country Link
US (2) US7700247B2 (zh)
EP (1) EP1709490B1 (zh)
JP (1) JP2007522432A (zh)
CN (1) CN100442144C (zh)
AT (1) ATE476687T1 (zh)
AU (1) AU2003300005A1 (zh)
DE (1) DE60333688D1 (zh)
WO (1) WO2005069082A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107532945A (zh) * 2015-04-21 2018-01-02 科磊股份有限公司 用于倾斜装置设计的计量目标设计

Families Citing this family (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US6649426B2 (en) * 2001-06-28 2003-11-18 Advanced Micro Devices, Inc. System and method for active control of spacer deposition
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7475379B2 (en) * 2004-06-23 2009-01-06 International Business Machines Corporation Methods and systems for layout and routing using alternating aperture phase shift masks
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7739651B2 (en) * 2004-09-29 2010-06-15 Synopsys, Inc. Method and apparatus to determine if a pattern is robustly manufacturable
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7315384B2 (en) * 2005-05-10 2008-01-01 Asml Netherlands B.V. Inspection apparatus and method of inspection
US7751047B2 (en) * 2005-08-02 2010-07-06 Asml Netherlands B.V. Alignment and alignment marks
KR100714280B1 (ko) * 2006-04-27 2007-05-02 삼성전자주식회사 오버레이 계측설비 및 그를 이용한 오버레이 계측방법
US7596420B2 (en) * 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
US7510960B2 (en) 2006-08-29 2009-03-31 International Business Machines Corporation Bridge for semiconductor internal node
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
DE102006056625B4 (de) * 2006-11-30 2014-11-20 Globalfoundries Inc. Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
US20080233487A1 (en) * 2007-03-21 2008-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method and System for Optimizing Lithography Focus and/or Energy Using a Specially-Designed Optical Critical Dimension Pattern
US8975599B2 (en) * 2007-05-03 2015-03-10 Asml Netherlands B.V. Image sensor, lithographic apparatus comprising an image sensor and use of an image sensor in a lithographic apparatus
JP4825734B2 (ja) * 2007-06-15 2011-11-30 株式会社日立ハイテクノロジーズ 異種計測装置間のキャリブレーション方法及びそのシステム
JP5069052B2 (ja) * 2007-07-30 2012-11-07 日本電子株式会社 ドーズ補正方法及び荷電粒子ビーム描画装置
US20090121131A1 (en) * 2007-11-13 2009-05-14 Arkady Nikitin Method of determination of resolution of scanning electron microscope
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US7684038B1 (en) * 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
NL1036857A1 (nl) * 2008-04-21 2009-10-22 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2131243B1 (en) * 2008-06-02 2015-07-01 ASML Netherlands B.V. Lithographic apparatus and method for calibrating a stage position
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
WO2010020331A1 (en) * 2008-08-19 2010-02-25 Asml Netherlands B.V. A method of measuring overlay error and a device manufacturing method
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US8146025B2 (en) * 2009-07-30 2012-03-27 United Microelectronics Corp. Method for correcting layout pattern using rule checking rectangle
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
JP5279745B2 (ja) * 2010-02-24 2013-09-04 株式会社東芝 マスクレイアウト作成方法、マスクレイアウト作成装置、リソグラフィ用マスクの製造方法、半導体装置の製造方法、およびコンピュータが実行可能なプログラム
NL2007052A (en) * 2010-07-15 2012-01-17 Asml Netherlands Bv Calibration method and inspection apparatus.
US8555214B2 (en) * 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US9213003B2 (en) 2010-12-23 2015-12-15 Carl Zeiss Sms Gmbh Method for characterizing a structure on a mask and device for carrying out said method
US9568872B2 (en) * 2011-01-12 2017-02-14 Nova Measuring Instruments Ltd. Process control using non-zero order diffraction
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
US10295329B2 (en) * 2011-08-01 2019-05-21 Nova Measuring Instruments Ltd. Monitoring system and method for verifying measurements in patterned structures
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
CN105549341A (zh) 2012-02-21 2016-05-04 Asml荷兰有限公司 检查设备和方法
US8837810B2 (en) 2012-03-27 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for alignment in semiconductor device fabrication
TWI546518B (zh) * 2012-04-20 2016-08-21 德律科技股份有限公司 三維量測系統與三維量測方法
WO2014016056A1 (en) 2012-07-23 2014-01-30 Asml Netherlands B.V. Inspection method and apparatus, lithographic system and device manufacturing method
US9291920B2 (en) 2012-09-06 2016-03-22 Kla-Tencor Corporation Focus recipe determination for a lithographic scanner
US8739076B2 (en) * 2012-09-11 2014-05-27 Synopsys, Inc. Method and apparatus for process window modeling
DE102012218382B4 (de) * 2012-10-09 2015-04-23 Leica Microsystems Cms Gmbh Verfahren zum Festlegen eines Lasermikrodissektionsbereichs und zugehöriges Lasermikrodissektionssystem
CN102930101B (zh) * 2012-11-01 2015-05-20 中国科学院微电子研究所 一种金属栅表面形貌的计算方法
KR102142167B1 (ko) * 2012-11-09 2020-08-07 케이엘에이 코포레이션 계측 타겟 특성화
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
CN105308508B (zh) * 2013-06-12 2018-08-10 Asml荷兰有限公司 确定与临界尺寸相关的性质的方法、检查装置和器件制造方法
US9494853B2 (en) 2013-12-18 2016-11-15 Cypress Semiconductor Corporation Increasing lithographic depth of focus window using wafer topography
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
WO2016000914A1 (en) 2014-06-30 2016-01-07 Asml Netherlands B.V. Method of determining dose, inspection apparatus, patterning device, substrate and device manufacturing method
WO2016020925A1 (en) * 2014-08-07 2016-02-11 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
KR102574171B1 (ko) 2014-08-29 2023-09-06 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
NL2016472A (en) 2015-03-25 2016-09-30 Asml Netherlands Bv Metrology Methods, Metrology Apparatus and Device Manufacturing Method.
WO2016162228A1 (en) * 2015-04-10 2016-10-13 Asml Netherlands B.V. Method and apparatus for inspection and metrology
US9940429B2 (en) 2015-06-29 2018-04-10 International Business Machines Corporation Early overlay prediction and overlay-aware mask design
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
CN105044941B (zh) * 2015-08-03 2018-01-12 深圳市华星光电技术有限公司 光刻图形的尺寸检测方法
CN109073642A (zh) * 2015-09-17 2018-12-21 格哈德·马勒 用于生物感测和其它应用的传感器设备
JP6782769B2 (ja) 2015-09-28 2020-11-11 エーエスエムエル ネザーランズ ビー.ブイ. 2次元又は3次元の形状の階層的表現
NL2017466A (en) 2015-09-30 2017-04-05 Asml Netherlands Bv Metrology method, target and substrate
EP3153924B1 (en) * 2015-10-07 2021-11-17 Aselta Nanographics Method for determining the dose corrections to be applied to an ic manufacturing process by a matching procedure
NL2017857A (en) * 2015-12-18 2017-06-26 Asml Netherlands Bv Process flagging and cluster detection without requiring reconstruction
DE102015122726A1 (de) * 2015-12-23 2017-06-29 Bundesdruckerei Gmbh Inspektionsvorrichtung und Verfahren zum Verifizieren eines Chipkartenhalbzeugs
US10067425B2 (en) * 2016-03-29 2018-09-04 Mentor Graphics Corporation Correcting EUV crosstalk effects for lithography simulation
US10579768B2 (en) * 2016-04-04 2020-03-03 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10303839B2 (en) * 2016-06-07 2019-05-28 Kla-Tencor Corporation Electrically relevant placement of metrology targets using design analysis
US10372113B2 (en) * 2016-09-23 2019-08-06 Kla-Tencor Corporation Method for defocus detection
KR102495480B1 (ko) * 2017-02-10 2023-02-02 케이엘에이 코포레이션 산란계측 측정들에서의 격자 비대칭성들에 관련된 부정확성들의 완화
WO2018172027A1 (en) * 2017-03-23 2018-09-27 Asml Netherlands B.V. Asymmetry monitoring of a structure
JP2020529621A (ja) * 2017-06-06 2020-10-08 ケーエルエー コーポレイション レティクル最適化アルゴリズム及び最適ターゲットデザイン
CN109425318B (zh) * 2017-08-28 2020-06-30 上海富筑实业有限公司 一种检测筛选原生态动物肠衣长度及根数的方法
US10520829B2 (en) * 2017-09-26 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Optical proximity correction methodology using underlying layer information
US11067389B2 (en) * 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US11164768B2 (en) 2018-04-27 2021-11-02 Kla Corporation Process-induced displacement characterization during semiconductor production
DE102018213127A1 (de) * 2018-08-06 2020-02-06 Carl Zeiss Smt Gmbh Anordnung und Verfahren zur Charakterisierung einer Maske oder eines Wafers für die Mikrolithographie
US10824082B2 (en) * 2018-10-30 2020-11-03 Kla-Tencor Corporation Estimation of asymmetric aberrations
TWI799654B (zh) * 2018-11-29 2023-04-21 美商科磊股份有限公司 度量衡目標,半導體度量衡的方法,電腦程式產品,及度量衡模組
CN109827657B (zh) * 2019-03-12 2024-03-01 深圳劲嘉集团股份有限公司 一种测量素面镭射材料光栅常数的方法和装置
JP7319524B2 (ja) * 2019-04-09 2023-08-02 株式会社東京精密 パターン測定方法及び装置
CN110914965B (zh) * 2019-10-18 2021-05-25 长江存储科技有限责任公司 用于以基于衍射的叠加量测为基础评估临界尺寸的系统和方法
US11036912B2 (en) * 2019-11-11 2021-06-15 Globalfoundries U.S. Inc. Overlay optimization
US11798828B2 (en) * 2020-09-04 2023-10-24 Kla Corporation Binning-enhanced defect detection method for three-dimensional wafer structures
FR3128779B1 (fr) * 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
CN114061451A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号数据拟合方法及其装置
CN117371387B (zh) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 集成电路器件版图参数化构建方法装置、存储介质和终端

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3200894B2 (ja) 1991-03-05 2001-08-20 株式会社日立製作所 露光方法及びその装置
US5363171A (en) 1993-07-29 1994-11-08 The United States Of America As Represented By The Director, National Security Agency Photolithography exposure tool and method for in situ photoresist measurments and exposure control
USRE38153E1 (en) 1993-11-09 2003-06-24 Nova Measuring Instruments, Ltd. Two-dimensional beam deflector
IL107549A (en) 1993-11-09 1996-01-31 Nova Measuring Instr Ltd Device for measuring the thickness of thin films
EP0745211B1 (en) 1994-01-24 2003-05-14 Svg Lithography Systems, Inc. Grating-grating interferometric alignment system
JP2715895B2 (ja) 1994-01-31 1998-02-18 日本電気株式会社 光強度分布シミュレーション方法
US5629772A (en) 1994-12-20 1997-05-13 International Business Machines Corporation Monitoring of minimum features on a substrate
IL112313A (en) 1995-01-11 1999-08-17 Nova Measuring Instr Ltd Method and apparatus for determining a location on a surface of an object
US5867590A (en) 1995-01-11 1999-02-02 Nova Measuring Instruments, Ltd. Method and apparatus for determining a location on a surface of an object
IL113829A (en) 1995-05-23 2000-12-06 Nova Measuring Instr Ltd Apparatus for optical inspection of wafers during polishing
US5631721A (en) 1995-05-24 1997-05-20 Svg Lithography Systems, Inc. Hybrid illumination system for use in photolithography
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5739909A (en) 1995-10-10 1998-04-14 Lucent Technologies Inc. Measurement and control of linewidths in periodic structures using spectroscopic ellipsometry
US5712707A (en) 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5757507A (en) 1995-11-20 1998-05-26 International Business Machines Corporation Method of measuring bias and edge overlay error for sub-0.5 micron ground rules
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
JP4306800B2 (ja) * 1996-06-04 2009-08-05 ケーエルエー−テンカー テクノロジィース コーポレイション 表面検査用光学走査システム
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
US6259513B1 (en) 1996-11-25 2001-07-10 Svg Lithography Systems, Inc. Illumination system with spatially controllable partial coherence
JP2910716B2 (ja) 1997-01-16 1999-06-23 日本電気株式会社 光強度計算のパラメトリック解析方法
SG71082A1 (en) 1997-01-30 2000-03-21 Tokyo Electron Ltd Method and apparatus for coating resist and developing the coated resist
JP4327266B2 (ja) 1997-02-26 2009-09-09 株式会社東芝 パターン寸法評価方法及びパターン形成方法
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5953128A (en) * 1997-08-28 1999-09-14 International Business Machines Corporation Optically measurable serpentine edge tone reversed targets
US5916711A (en) 1997-10-10 1999-06-29 California Institute Of Technology Phase-shifting masks for photolithography
IL123575A (en) 1998-03-05 2001-08-26 Nova Measuring Instr Ltd Method and apparatus for alignment of a wafer
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
IL123727A (en) 1998-03-18 2002-05-23 Nova Measuring Instr Ltd Method and apparatus for measurement of patterned structures
US6476920B1 (en) 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US5917594A (en) 1998-04-08 1999-06-29 Kla-Tencor Corporation Spectroscopic measurement system using an off-axis spherical mirror and refractive elements
IL125338A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for monitoring and control of photolithography exposure and processing tools
JP4601744B2 (ja) 1998-07-14 2010-12-22 ノバ メジャリング インスツルメンツ リミテッド フォトリソグラフィープロセスを制御するための方法およびシステム
IL125337A0 (en) 1998-07-14 1999-03-12 Nova Measuring Instr Ltd Method and apparatus for lithography monitoring and process control
JP4722244B2 (ja) 1998-07-14 2011-07-13 ノバ・メジャリング・インストルメンツ・リミテッド 所定のフォトリソグラフィ工程に従って基板を加工する装置
US6223139B1 (en) 1998-09-15 2001-04-24 International Business Machines Corporation Kernel-based fast aerial image computation for a large scale design of integrated circuit patterns
US6094256A (en) * 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
IL126949A (en) 1998-11-08 2004-03-28 Nova Measuring Instr Ltd Apparatus for integrated monitoring of wafers and for process control in semiconductor manufacturing and a method for use thereof
US6212961B1 (en) 1999-02-11 2001-04-10 Nova Measuring Instruments Ltd. Buffer system for a wafer handling system
US6263299B1 (en) 1999-01-19 2001-07-17 Lsi Logic Corporation Geometric aerial image simulation
US6171731B1 (en) 1999-01-20 2001-01-09 Lsi Logic Corporation Hybrid aerial image simulation
AU3187100A (en) 1999-03-10 2000-09-28 Nova Measuring Instruments Ltd. Method and apparatus for monitoring a chemical mechanical planarization process applied to metal-based patterned objects
IL128920A0 (en) 1999-03-10 2000-02-17 Nova Measuring Instr Ltd Method for monitoring metal cmp
IL130087A0 (en) 1999-05-24 2000-02-29 Nova Measuring Instr Ltd Optical inspection method and system
US6183919B1 (en) 1999-06-11 2001-02-06 International Business Machines Corporation Darkfield imaging for enhancing optical detection of edges and minimum features
EP1065567A3 (en) 1999-06-29 2001-05-16 Applied Materials, Inc. Integrated critical dimension control
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
US6432729B1 (en) 1999-09-29 2002-08-13 Lam Research Corporation Method for characterization of microelectronic feature quality
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
IL132639A (en) 1999-10-28 2003-11-23 Nova Measuring Instr Ltd Optical measurements of patterned structures
US6414750B2 (en) * 2000-01-10 2002-07-02 Lj Laboratories, L.L.C. Spectrometric apparatus and method for measuring optical characteristics of an object
IL134626A (en) 2000-02-20 2006-08-01 Nova Measuring Instr Ltd Test structure for metal cmp process control
IL136608A0 (en) 2000-02-20 2001-06-14 Nova Measuring Instr Ltd Test structure for metal cmp process monitoring
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
IL138193A0 (en) 2000-08-31 2001-10-31 Nova Measuring Instr Ltd A method and system for optical inspection of a structure formed with a surface relief
KR100583692B1 (ko) 2000-09-01 2006-05-25 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 작동 방법, 리소그래피 장치, 디바이스제조방법, 및 이것에 의해 제조된 디바이스
US6673637B2 (en) * 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
IL140179A (en) 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6879400B2 (en) 2000-12-11 2005-04-12 International Business Machines Corporation Single tone process window metrology target and method for lithographic processing
US6433878B1 (en) 2001-01-29 2002-08-13 Timbre Technology, Inc. Method and apparatus for the determination of mask rules using scatterometry
US6383824B1 (en) 2001-04-25 2002-05-07 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control deposition processes
US6433871B1 (en) 2001-05-25 2002-08-13 Advanced Micron Devices, Inc. Method of using scatterometry measurements to determine and control gate electrode profiles
IL144805A (en) 2001-08-08 2006-08-01 Nova Measuring Instr Ltd Method and system for measuring the topograpy of a sample
IL145699A (en) 2001-09-30 2006-12-10 Nova Measuring Instr Ltd Method of thin film characterization
IL146924A (en) 2001-12-04 2007-03-08 Nova Measuring Instr Ltd Metal cmp process monitoring
US6451621B1 (en) 2002-01-16 2002-09-17 Advanced Micro Devices, Inc. Using scatterometry to measure resist thickness and control implant
US6609086B1 (en) 2002-02-12 2003-08-19 Timbre Technologies, Inc. Profile refinement for integrated circuit metrology
US7136796B2 (en) 2002-02-28 2006-11-14 Timbre Technologies, Inc. Generation and use of integrated circuit profile-based simulation information
IL148566A (en) 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for measuring overlap accuracy
US6869739B1 (en) 2003-01-28 2005-03-22 International Business Machines Corporation Integrated lithographic print and detection model for optical CD
JP2005123427A (ja) * 2003-10-17 2005-05-12 Nikon Corp 光学性能測定方法、露光方法、露光装置、及びマスク

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965309A (en) * 1997-08-28 1999-10-12 International Business Machines Corporation Focus or exposure dose parameter control system using tone reversing patterns
US5976740A (en) * 1997-08-28 1999-11-02 International Business Machines Corporation Process for controlling exposure dose or focus parameters using tone reversing pattern
US6429930B1 (en) * 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107532945A (zh) * 2015-04-21 2018-01-02 科磊股份有限公司 用于倾斜装置设计的计量目标设计
CN107532945B (zh) * 2015-04-21 2020-12-01 科磊股份有限公司 用于倾斜装置设计的计量目标设计

Also Published As

Publication number Publication date
ATE476687T1 (de) 2010-08-15
EP1709490A1 (en) 2006-10-11
EP1709490A4 (en) 2009-03-25
EP1709490B1 (en) 2010-08-04
WO2005069082A1 (en) 2005-07-28
AU2003300005A1 (en) 2005-08-03
JP2007522432A (ja) 2007-08-09
US20070105029A1 (en) 2007-05-10
US20100103433A1 (en) 2010-04-29
CN1879063A (zh) 2006-12-13
US8035824B2 (en) 2011-10-11
DE60333688D1 (de) 2010-09-16
US7700247B2 (en) 2010-04-20

Similar Documents

Publication Publication Date Title
CN100442144C (zh) 微分临界尺寸和覆盖计量装置以及测量方法
US11003099B2 (en) Method and apparatus for design of a metrology target
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
CN1916603B (zh) 用于角分解光谱光刻表征的方法与设备
US9804504B2 (en) Method and apparatus for design of a metrology target
CN102918464B (zh) 衬底上结构的测量
TWI643033B (zh) 量測目標之方法、基板、度量衡裝置及微影裝置
CN106062634B (zh) 测量涉及光刻术的制造过程的过程参数
TWI685720B (zh) 用於微影設備的度量衡方法
US8724109B2 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
CN101261452B (zh) 检验方法和设备、光刻处理单元和器件制造方法
KR20190051071A (ko) 계측 레시피 선택
CN105874387A (zh) 用于设计量测目标的方法和设备
JP2004279405A (ja) デバイス検査
US10620550B2 (en) Metrology method and apparatus
JP7000454B2 (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
TWI663486B (zh) 量測方法、器件製造方法、度量衡設備及微影系統
EP3299890A1 (en) Metrology recipe selection

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081210

Termination date: 20181219

CF01 Termination of patent right due to non-payment of annual fee