JP2004279405A - デバイス検査 - Google Patents

デバイス検査 Download PDF

Info

Publication number
JP2004279405A
JP2004279405A JP2003366656A JP2003366656A JP2004279405A JP 2004279405 A JP2004279405 A JP 2004279405A JP 2003366656 A JP2003366656 A JP 2003366656A JP 2003366656 A JP2003366656 A JP 2003366656A JP 2004279405 A JP2004279405 A JP 2004279405A
Authority
JP
Japan
Prior art keywords
diffraction
diffraction grating
grating
marker
gratings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003366656A
Other languages
English (en)
Other versions
JP4222926B2 (ja
Inventor
Boef Arie Jeffrey Den
ジェフレイ デン ボーフ アリー
Frank Bornebroek
ボルネブローク フランク
Hugo Augustinus Joseph Cramer
オウガスティヌス ヨセフ クラメール ヒューゴ
Mircea Dusa
デューサ マーシー
Haren Richard Johannes Franciscus Van
ヨハンネス フランシスカス ファン ハレン リカルド
Antoine Gaston Marie Kiers
ガストン マリー キールス アントイネ
Justin Lloyd Kreuzer
ロイド クリューザー ジャスティン
Der Schaar Maurits Van
ファン デル シャール マウリトス
Wijnen Paul Jacques Van
ヤックス ファン ウィユネン パウル
Everhardus Cornelis Mos
コルネリス モス エヴェルハルデュス
Pieter Willem Herman Jager
ウィレム ヘルマン ヤゲール ピエテル
Der Laan Hans Van
ファン デル ラーン ハンス
Paul Frank Luehrmann
フランク ルールマン パウル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP03076422A external-priority patent/EP1477860A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2004279405A publication Critical patent/JP2004279405A/ja
Application granted granted Critical
Publication of JP4222926B2 publication Critical patent/JP4222926B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)

Abstract

【課題】従来の欠点を克服するデバイス検査方法を提供する。
【解決手段】方法は、検査すべきデバイスに非対称マーカを設けることを含み、マーカの非対称性の形態は、検査すべきパラメータに依存し、さらに、マーカに光を配向することと、特定の波長または回折角度の回折光を検出することにより、マーカの位置の第1測定値を取得することと、異なる波長または回折角度の回折光を検出することにより、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度を示すシフトを決定するため、第1、第2測定位置を比較することとを含む。
【選択図】図1

Description

本発明は、リソグラフィ技術でのデバイスの製造に使用可能な検査方法に、およびデバイス検査装置に関する。
リソグラフィ投影装置を使用する製造プロセスでは、放射線感受性材料(レジスト)の層で少なくとも部分的に覆われた基板に、(例えばマスクの)パターンを撮像する。この撮像ステップの前に、基板は、プライミング、レジスト・コーティング、ソフト・ベークなど、様々な手順を経ることがある。露光後、基板は、露光後ベーク(PEB)、現像、酸化、化学機械研磨などの様々なプロセスを経験し、これは全て、個々の層を仕上げるよう意図される。幾つかの層が必要な場合は、全手順またはその変形を、新しい層ごとに繰り返さねばならない。最終的に、基板(ウェーハ)上にデバイスのアレイが存在する。次に、これらのデバイスを、ダイシングまたはソーイングなどの技術で相互から分離し、そこから個々のデバイスをキャリアに搭載したり、ピンに接続したりすることができる。このようなプロセスに関するさらなる情報は、例えばPeter van Zantの著書「Microchip Fabrication: A Practical Guide to Semiconductor Processing」第3版(McGraw Hill Publishing Co., 1997, ISBN 0-07-067250-4)から取得することができ、これは参照により本明細書に組み込まれる。
レジスト現像後の検査ステップは、一般に計測と呼ばれ、2つの目的に役立つ。第1に、現像したレジストのパターンに障害があるターゲット区域があれば、それを検出することが望ましい。十分な数のダイに障害がある場合は、障害のあるパターンでエッチングなどのプロセス・ステップを実行して、障害を永久的なものにするのではなく、ウェーハからパターン形成したレジストを剥がし、望ましくは正確に再露光することができる。第2に、測定により、照明設備または露光時間など、リソグラフィ装置のエラーを検出し、その後の露光のために補正することができる。
計測測定を使用して、2つのウェーハ層間のオーバレイ誤差を決定するか、これを使用して、特定のウェーハ層(通常は最上層)の機構の微小寸法(CD)または焦点誤差を決定することができる。計測測定を実行できる様々な方法がある。通常、これはリソグラフィ装置とは別個の装置で実行する。別個の装置で実行する測定は、通常、オフラインと呼ばれる。1つのオフライン装置を使用して、幾つかのリソグラフィ装置で作成したウェーハの計測測定を実行してもよい。
オーバレイの測定に使用する既知のオフライン計測装置の一つは、ウェーハに印刷されたボックスの撮像を使用し、第1ボックスは第1層に印刷され、第2ボックスは第2層に印刷される。この装置は、焦点誤差の測定に使用してもよい。装置は、通常、ボックス・イン・ボックス(またはフレーム・イン・フレーム)と呼ばれる。ボックス・イン・ボックス装置の欠点は、1つの線像の検出に頼っているので、一般的正確さが制限されることである。
第2の既知のオフライン計測装置は、走査電子顕微鏡(SEM)を備える。これは、ウェーハ表面の非常に高解像度測定を提供し、CD測定に使用される。この装置の欠点は、時間および費用がかかることである。
第3の既知のオフライン計測装置は、スキャッタメータとして知られる。これは、CDおよび/またはオーバレイの測定を提供する。スキャッタメータでは、白色光が現像したレジストの周期的構造で反射し、その結果の反射スペクトルを所与の角度で検出する。反射スペクトルを引き起こす構造は、例えば厳密結合波分析(RCWA)を使用するか、シミュレーションで得られたスペクトルのライブラリと比較することにより、再構築される。しかし、構造の再構築は、非常にコンピュータ集約的で、この技術は、低感度および低再現性に苦しむことがある。
以上の欠点の少なくとも1つの克服するデバイス検査を提供することが、本発明の目的である。
本発明によると、デバイス検査方法が提供され、方法は、検査すべきデバイスに非対称マーカを設けることを含み、マーカの非対称性の形態は、検査すべきパラメータによって決定され、さらに光をマーカに配向することと、特定の波長または回折角度の回折光を検出して、マーカの位置の第1測定値を取得することと、異なる波長または回折角度の回折光を検出して、マーカの位置の第2測定値を取得することと、マーカの非対称の程度を示す変位を決定するため、第1および第2測定位置を比較することとを含む。
第1および第2位置測定は、回折角度は異なるが波長は同じである回折光の検出を含むことができる。あるいは、第1および第2位置測定は、回折角度は同じであるが波長が異なる回折光の検出を含むことができる。さらなる代替法では、第1および第2位置測定は、回折角度が異なり、波長が異なる回折光の検出を含んでもよい
マーカは、1つまたは複数の回折格子を備えることができ、回折角度は回折次数を含むことができる。
マーカは、デバイスの第1層に設けた第1回折格子、およびデバイスの第2層に設けた第2回折格子を備えることができ、第1回折格子および第2回折格子は、同じ周期を有し、相互に重ねて設けられ、したがって光は組み合わせた回折格子の両方によって回折する。
マーカは、デバイスの第1層に設けた第1回折格子、およびデバイスの第2下位層に設けた第2回折格子を備えることができ、第1回折格子および第2回折格子は、それぞれが異なる回折次数で強力な回折を引き起こすよう選択された異なる周期を有し、したがって第1回折格子の位置測定は、1つの回折次数の測定によって提供され、第2回折格子の位置測定は、他の回折次数の測定によって提供されて、変位が、第1および第2層のオーバレイを示す。
「強力な回折」という用語は、回折が測定するのに十分なほど強力で、好ましくは組み合わせた回折格子の両方からの回折より強力であることを意味するよう意図される。
マーカは、リソグラフィ投影装置の焦点の正確さを測定するよう配置された1つの位相回折格子を備えることができ、方法は、リソグラフィ投影装置のマスク上に、ほぼλ/4の光学路長のステップを含む下位構造を有する位相回折格子を設けることを含み、ステップは、回折格子の隣接する線では反対方向であり、下位構造の周期は、リソグラフィ装置でデバイス上に投影すると、焦点誤差により位相回折格子が変位するよう選択され、位相回折格子の隣接する線は、反対方向に変位し、変位によって測定される非対称性を引き起こす。
マーカは、リソグラフィ投影装置内でウェーハ上で露光したパターンの微小寸法を測定するよう配置された1つの回折格子を備えることができ、方法は、リソグラフィ投影装置によって撮像できる微小寸法の、またはそのオーダーの周期で、下位構造を有する回折格子をウェーハ上に撮像することを含み、基板は、回折格子を非対称にする回折格子の追加線を形成するよう配置され、微小寸法が変化すると、下位構造の有効反射率が変化し、それによって回折格子の非対称性が変化して、変化した非対称性が変位として検出される。
本発明は、デバイス検査装置も提供し、装置は、デバイス上に設けた非対称マーカに光を配向するよう配置された光源と、特定の波長または回折角度でマーカから回折した光を検出し、それによってマーカの測定位置を提供するよう配置された検出器と、異なる波長または回折角度でマーカから回折した光を検出し、それによってマーカの第2位置測定を提供するよう配置された第2検出器と、測定した位置を比較して変位を決定するよう配置された比較手段とを備える。
本発明は、デバイス検査装置も提供し、装置は、デバイスに設けた位相回折格子に光を配向するよう配置された光源と、位相回折格子から回折した光を検出するよう配置された検出器と、検出した回折光を使用して、検査情報を取得するよう配置された処理手段とを備える。
本書では、本発明による装置のIC製造での使用に特に言及しているが、このような装置は他の多くの用途が可能であることを理解されたい。例えば、集積光学系、磁気ドメイン・メモリの案内および検出パターン、液晶表示パネル、薄膜磁気ヘッドなどの製造に使用することができる。このような代替用途の状況では、本書の「レチクル」、「ウェーハ」または「ダイ」という用語は、より一般的な用語「マスク」、「基板」および「ターゲット部分」それぞれで置換されると見なされることが、当業者には理解される。
本明細書では、「光」、「放射線」および「ビーム」という用語は、紫外線(例えば365、248、193、157または126nmの波長)およびEUV(極紫外線、例えば5〜20nmの範囲の波長を有する)、さらにイオン・ビームまたは電子ビームなどの粒子ビームなど、全タイプの電磁放射線を含むよう使用される。
次に本発明の実施形態を、添付の略図に関して例示によってのみ説明する。
図では、対応する参照記号は対応する部品を示す。
リソグラフィ投影装置
図1は、本発明による方法で使用可能なリソグラフィ投影装置を概略的に示す。装置は、
−放射線(例えばDUV放射線)の投影ビームPBを供給するため、この特定のケースでは放射線源LAも備える放射線システムExと、
−マスクMA(例えばレチクル)を保持するためにマスク・ホルダを設け、アイテムPLに対してマスクを正確に位置決めするため、第1位置決め手段に接続された第1オブジェクト・テーブル(マスク・テーブル)と、
基板W(例えばレジストを被覆したシリコン・ウェーハ)を保持するために基板ホルダを設け、アイテムPLに対して基板を正確に位置決めするため、第2位置決め手段に接続された第2オブジェクト・テーブル(基板テーブル)WTと、
−マスクMAの照射部分を基板Wのターゲット部分C(例えば1つまたは複数のダイを備える)に撮像する投影システム(「レンズ」)PL(例えば回折レンズ・システム)とを備える。
本明細書で描くように、装置は透過タイプ(例えば透過性マスクを有する)である。しかし、概して、例えば(反射性マスクを有するなどの)反射タイプでもよい。あるいは、装置は、上述したようなタイプのプログラマブル・ミラー・アレイなど、別の種類のパターン形成手段を使用してもよい。
ソースLA(例えばエキシマ・レーザ)は放射線ビームを生成する。このビームは、直接に、または例えばビーム拡大器Exなどの調整手段を横断した後、照明システム(照明装置)ILに供給される。照明装置ILは、ビームの強度分布の内および/または外径範囲(一般に外部σおよび内部σと呼ばれる)を設定する調節手段AMを備えてよい。また、概して、集積器INおよびコンデンサCOなど、様々な他の構成要素を備える。この方法で、マスクMAに衝突するビームPBは、その断面に所望の均一性および強度分布を有する。
図1に関して、ソースLAは、(ソースLAが例えば水銀灯などの場合で、往々にしてあるよう)リソグラフィ投影装置のハウジング内にあってもよいが、リソグラフィ投影装置から離れ、それが精製した放射線ビームを(例えば適切な配向ミラーの助けにより)装置に案内してもよいことに留意されたく、後者のシナリオは、ソースLAがエキシマ・レーザである場合に、往々にしてある。本発明および請求の範囲は、このシナリオの両方を含む。
ビームPBは、その後、マスク・テーブルMT上に保持されたマスクMAと交差する。ビームPBは、マスクMAを横断した後、レンズPLを通過し、これがビームPBを基板Wのターゲット部分Cに集束させる。第2位置決め手段(および干渉計測定手段IF)の助けにより、例えばビームPBの路で様々なターゲット部分Cを位置決めするよう、基板テーブルWTを正確に移動させることができる。同様に、第1位置決め手段を使用して、例えばマスク・ライブラリからマスクMAを機械的に取り出した後、または走査中に、ビームPBの路に対してマスクMAを正確に位置決めすることができる。概して、オブジェクト・テーブルMT、WTの動作は、図1では明示的に図示されていない長ストローク・モジュール(粗い位置決め)および短ストローク・モジュール(微細位置決め)の助けにより実現される。しかし、(走査ステップ式装置とは反対に)ウェーハ・ステッパの場合、マスク・テーブルMTは、短ストローク・アクチュエータに接続するか、固定するだけでよい。
図示の装置は、次の2つの異なるモードで使用することができる。
ステップ・モードでは、マスク・テーブルMTを基本的に静止状態に維持し、マスク像全体を1回で(つまり「フラッシュ」1回で)ターゲット部分Cに投影する。次に、異なるターゲット部分CをビームPBで照射できるよう、基板テーブルWTをxおよび/y方向にシフトさせる。
走査モードでは、基本的に同じシナリオが当てはまるが、所定のターゲット部分Cを1回の「フラッシュ」で露光しない。代わりに、投影ビームPBがマスク像を走査するよう、マスク・テーブルMTを速度vで所定の方向(いわゆる「走査方向」、例えばy方向)に移動させることができ、それと同時に基板テーブルを速度V=Mvで同方向または反対方向に移動させ、ここでMはレンズPLの倍率(通常はM=1/4または1/5)である。この方法で、解像度を妥協することなく、比較的大きいターゲット部分Cを露光することができる。
図2は、本発明が一部を形成できるリソグラフィ・プロセスの流れ図である。図1に関して上述したようなリソグラフィ装置を使用して実行できる露光ステップS4の前に、シリコン・ウェーハなどの基板はプライミング・ステップS1、レジストの層で被覆するスピン・コーティング・ステップS2、およびレジストから溶剤を除去するソフト・ベークS3を経る。露光後、ウェーハは露光後ベークS5、現像ステップS6を経て、その間に露光または非露光レジストが(レジストがプレスかマイナスかに応じて)除去され、さらにハード・ベークS7、その後に検査ステップS8を経る。検査ステップS8は、様々な異なる測定および検査を含み、本発明によると、以下でさらに説明する計測ステップを含む。ウェーハが検査に合格したら、プロセス・ステップS9を実行する。これは、レジストで覆われていない基板の区域のエッチング、プロダクト層の付着、金属化、イオン注入などを含むことができる。プロセス・ステップS9の後、残りのレジストをS10で剥ぎ、最終検査S11を実行してから、プロセスが別の層で再開する。基板がS8で検査を不合格になった場合、これは剥ぎ取りステップS10に直接配向され、作成した同じプロセス層に再度印刷を試みる。検査ステップはハード・ベークS7の後に実行することが好ましいが、場合によっては、露光後ベークS5の後、あるいは露光S4の直後でも実行することができる。その実行方法について、以下でさらに説明する。
検査ステップでは、図3に示すタイプの計測ユニットを使用する。計測ユニットは、例えば国際特許第98/39689号に記載されたような先行技術のアライメント・ユニットに対応し、これは参照により本明細書に組み込まれる。図3を参照すると、基板マークは、P1で指示された回折格子の形態で設けられる。波長λを有し、回折格子に入射する照明ビームbは、幾つかのサブビームに分割され、これは回折格子に直角な様々な角度αn(ラベルなし)で延在し、この角度は以下の既知の回折格子式で定義される。
Figure 2004279405
ここでnは回折次数、Pは回折格子周期である。本明細書でさらに使用するため、回折格子は、一連の線および空間と定義される。強度回折格子では、線および空間は異なる反射率を有し、線は全てほぼ等しい反射率を有して、空間は全てほぼ等しい反射率を有する。波面が平坦な放射線ビームが強度回折格子に衝突した場合、線および空間での強度が、放射線が回折格子を出る面では異なる。位相回折格子では、線および空間がほぼ同じ反射率を有するが、異なる屈折率および/または異なる高さを有する。波面が平坦な放射線ビームが位相回折格子に衝突した場合、線および空間での位相が、放射線が回折格子を出る面では異なる。
回折格子で反射したサブビームの路は、レンズ・システムL1を組み込み、これはサブビームの様々な方向を、面73におけるそのサブビームの様々な位置unに変換する。
Figure 2004279405
この面には、様々なサブビームをさらに分離する手段を設ける。そのため、この面にプレートを配置することができ、これには例えばウェッジの形態の偏向エレメントを設ける。図3では、ウェッジ・プレートがWEPで指示されている。ウェッジは、例えばプレートの背側に設ける。次に、プレートの前側にプリズム72を設けることができ、これでHe−Heレーザなどの放射線源70から来る入射ビームを計測センサに結合することができる。このプリズムは、0次サブビームが検出器に到達するのを防止することもできる(0次サブビームは検出器には望ましくない)。ウェッジの数は、使用するサブビームの数に対応する。図示の実施形態では、次元ごとに6つのウェッジがあり、さらに次数があるので、サブビームは最大7次まで使用することができる。全てのウェッジは異なるウェッジ角度を有し、したがって異なるサブビームを最適に分離することができる。
第2レンズはウェッジ・プレートの背後に配置される。このレンズ・システムは、マークP1を面基準プレートRGPに撮像する。ウェッジ・プレートがないと、全てのサブビームが基準面で重なってしまう。ウェッジ・プレートを通る様々なサブビームは、異なる角度で偏向するので、サブビームによって形成された像は、基準面の様々な位置に到達する。その位置Xnは下式で与えられる。
Figure 2004279405
ここでγは、サブビームがウェッジ・プレートによって偏向する角度である。
この位置に、基準回折格子を設ける。別個の検出器を、各基準回折格子の背後に配置する。各検出器の出力信号は、基板回折格子P1の像が関連の基準回折格子と一致する程度によって決定される。各回折格子の周期は、その回折格子に入射する関連のサブビームの次数に適合する。次数が増加するにつれ、周期は減少する。
図4は、2つの波長を使用するよう配置した、図3に示したタイプの計測ユニットを示す。図4では、参照番号160は偏光感受性ビーム・スプリッタを指示する。このビーム・スプリッタは、He−Neレーザから例えば633nmの第1波長λ1を有する第1ビームbを受け、第1偏光方向を有し、このビームを基板アライメント・マークP1に渡す。このビーム・スプリッタには、第2アライメント・ビームb5も入射し、これは例えば532nmの第2波長λ2を有し、周波数2倍器の前にあるYAGレーザから来る。ビームb5は、ビームbの偏光方向に対して直角の偏光方向を有し、したがってビームb5は基板マークP1へと反射する。ビームbおよびb5の主光線は、ビーム・スプリッタによって一致し、したがってこれらのビームは1本のビームとしてマークP1へと渡されることが確認されている。マークによって反射した後、ビームbおよびb5はビーム・スプリッタによって再び分割される。これらのビームそれぞれに、別個のユニット170、180が存在する。これらのユニットはそれぞれ、入射ビームを発し、ビーム・スプリッタを介して、基板マークから来る様々な回折次数のサブビームを受ける。これらのユニットそれぞれで、図3に関して説明したように、基板マークが様々なサブビームで様々な基準回折格子上に形成される。そのため、各ユニットにはレンズ・システムL1、L2(L1’、L2’)、ウェッジ・プレートWEP(WEP’)、基準回折格子RGP(RGP’)を有するプレート、幾つかの検出器90〜96(90’〜96’)、および結合プリズム72(72’)を介してシステムに結合されるビームを有する放射線源70(70’)を設ける。
図3または図4に示すタイプの計測ユニットは、インライン計測を実行できるよう都合よく配置される。本発明の一つの実施例では、計測ユニットをトラックに配置する(トラックは保管場所との間でウェーハを搬送し、さらにウェーハをベークして現像する)。計測ユニットは、露光後ベークおよび現像の下流に配置され、したがってレジスト層で露光したパターンをユニットが明白に見えることができる。代替実施例では、計測ユニットをトラックに隣接して配置し、それと接続する。ウェーハはトラックから計測ユニットへと進み、測定後にトラックに戻る。トラックとの接続は、従来の出力ポートを介し、露光後ベークおよび現像の下流に配置される。さらなる代替実施例では、計測ユニットを、トラックに接続されていない、つまりオフラインの別個の装置に設ける。あるいは、計測ユニットをリソグラフィ投影装置内に設けてもよい(この実施例については、以下でさらに説明する)。
生産中に(つまりインラインで)、回折格子を備えるマーカは、デバイス特徴をウェーハに投影する間、ウェーハに曝露する。回折格子は、特に指定した非プロダクト区域に配置するか、プロダクト構造を分離するスクライブ線に配置してもよい。ウェーハを現像し、ベークして処理する。回折格子は、以下でさらに説明するように、焦点計測の検査中に使用するか、微小寸法計測の検査中に使用してよい。検査は、図2に関して上記で示したように、任意の都合のよい時間に実行してよい。
オーバレイ計測が必要な場合、回折格子およびプロダクト機構の処理は、ウェーハ上に永久的に保持されるよう完了する。レジストの層はウェーハ上へと広がり、プロダクト機構のその後の層は、第2回折格子とともにウェーハ上で露光する。第2回折格子は第1回折格子の上に配置され、それによって非対称マーカを形成する。マーカを備える第1および第2回折格子の位置測定(例えば個々に、または複合回折格子として検出する)は、計測ユニットの様々な回折次数または波長を使用して実行する。これらの測定は、オーバレイを決定するのに使用される。
本発明の実施形態では、マーカは、図5に示すように、ウェーハのプロダクト層に設けた第1回折格子10、およびウェーハのレジスト層に設けた第2回折格子11を備える。回折格子10、11間には多少の垂直方向の間隔があり、これは例えばプロダクト層の上に位置する酸化物層12のせいである。第2回折格子11は第1回折格子10と同じ周期Pを有するが、第2回折格子の各線の方が細い。第2回折格子は、第1回折格子に対して作為的にシフトDだけ変位する。2つの回折格子は、特定の全体的形状を有する複合回折格子を備える1つのマーカと見なすことができる。複合回折格子は、第1、第2回折格子間の作為的なシフトDによって生じる非対称性を含む。図5に示す第2回折格子の各線は、第1回折格子より細いが、これが当てはまるかは本質的なことではない。必要なことは、第2回折格子が、第1回折格子の一部とともに、計測ユニットに見えることである(例えば、第1回折格子の各線が、第2回折格子の線より広く、第2回折格子が作為的なシフトDのせいで見えてもよい)。
非対称性の結果、図3および図4の計測ユニットで測定した複合回折格子の見かけの位置はシフトする。このシフトxshiftは、検出された波長(λ)および回折次数nによって決定される。シフトは、波長および回折次数に依存するので、様々な波長および回折次数について測定した位置を比較することにより、シフトに関する情報を取得することができる。シフトが作為的なシフトD、およびリソグラフィ投影装置の不正確さ(例えばオーバレイ誤差)によって生じるシフトを含む場合、不正確さによって生じるシフトのサイズおよび記号は、そのシフトを作為的シフトと比較することによって測定することができる。これは、ウェーハのインライン計測測定を提供する。作為的シフトDは、インライン計測測定を容易にするため、第1、第2回折格子間に非対称性を導入することができる多くの方法の一つであることが理解される。非対称性を導入する代替方法を、以下でさらに説明する。
計測測定の間、計測ユニットに対して基板を走査する。基板は、例えば計測ユニットの基準回折格子(図3のRGP)を走査する状態で固定することができ、必要なのは、基板と基準回折格子との間に相対的動作があることだけである、ということが理解される。走査は、回折格子の線の方向に対して横向きであり、回折格子P1の像が各基準回折格子RGP(RGP’)上を通過し、それによって検出器90〜96(90’〜96’)にシヌソイド信号を生成するという効果を有する。シヌソイド信号は、基板の位置の関数として記録され、回折格子P1の中心は、各検出器からのシヌソイド信号がピークを通る位置として決定される。
基板の走査は、基板テーブル(図1のWT)を走査することによって達成される。基板テーブルの動作は、基板テーブルに未知の小さい位置誤差Δxstage(t)を持ち込む。この誤差を考慮に入れて、走査中に、時間tの関数として検出された計測信号を走査することは、下式のように書くことができる。
Figure 2004279405
ここでnは回折次数、λは波長、aおよびbは定数、Δxstage(t)は、基板テーブルの意図された位置vtと基板テーブルの実際の位置との差である。低周波数誤差の場合、基板テーブル位置誤差は、測定した位置の位置誤差として現れる。例えば最小二乗適合などを使用した曲線の当てはめから、以下の測定位置が得られる。
Figure 2004279405
次数/色間の測定位置の差を測定すると、次数間シフトSbOが得られる。
Figure 2004279405
ここでmおよびnは回折次数を、λ1およびλ2は波長を示す。上式で示すように、「次数間シフト」(SbO)は、様々な回折次数を測定した場合、または同じ回折次数について異なる波長を測定した場合、または異なる回折次数について異なる波長を測定した場合に生じる測定位置の差を指す。用語を簡単にするため、この用語は特に様々な波長を指すものではない。これは、本明細書のいかなる箇所でも、異なる波長の測定から生じる測定位置の差を排除するよう意味することは意図されていない。
両方の位置測定を同時tに実行してよい。これで、ステージ誤差はSbOで解消され、これは下式に還元される。
Figure 2004279405
したがってSbOは時間およびステージ誤差に依存しない。
別の実施形態では、SbOがオフセットDのみの関数となるよう、選択された次数および波長、および測定された時間tは固定されたままである。
設定の対称性から、下式となる。

Figure 2004279405
オーバレイを測定するため、オーバレイを測定するため、2つの複合回折格子に反対のオフセットD+δおよび−D+δを印刷し、ここでDは作為的シフト、δはリソグラフィ投影装置の不正確さによって生じるシフトを示す。完全なオーバレイδ=0の場合、SbO’の合計はゼロになる。これでオーバレイ計測測定が単純になり、これは計測ユニットの解像度(例えば1nm未満)まで、いつオーバレイが完全になるかを示す。しかし、ほぼ確実にオーバレイが完全でない状況になるので、そのためオーバレイ誤差を定量化して、有用な計測測定を提供する。
オーバレイ誤差は、誤差を既知の小さいオフセットと比較して定量化される。オーバレイ誤差OVを2OV=2δとすると、OVは下式のように表すことができる。
Figure 2004279405
位置測定の対を上述したように同時に実行すると、t1=t2およびt3=t4になり、したがってステージ誤差Δxstage(t)誤差が取り消される。オーバレイ誤差を定量化するため、δ1およびδ2の小さい変化に対して、つまりオーバレイ誤差の関数として、SbOがいかに急速に変動するかを決定する必要がある。この感度は、シフトD+d+δを印刷した第3回折格子で測定し、ここでdは小さい既知のオフセットである。実際に観察されるオーバレイ誤差のケースである直線性を仮定すると、小さい変位のSbOの感度は下式によって与えられる。
Figure 2004279405
ステージ誤差は、この場合も上記で示したように取り消すことができる。dの値は、要件を対立させることによって決定する。つまり、一方でd+δ=dの近似を正当化し、ノイズを最小にするために大きくなければならないが、他方では直線性を保証するため十分に小さくなければならない。通常、dは、測定できるようにしたい最大オーバレイ誤差と同じサイズか、またはそれよりわずかに大きくなければならず、例えばdは100分の数ナノメートルのオーダーでよい。他の適切な値を使用してもよい。オーバレイは、3つの回折格子に関する下記の測定になる。

Figure 2004279405
この測定は、多くの次数/波長対について実行できるが、実際には最高感度の次数/波長対しか使用する必要がない。
各回折格子は、数十平方ミクロンのサイズを有することができる。各回折格子の周囲には数ミクロンの排除ゾーンがあってもよい。回折格子は、ダイの隅に隣接するスクライブ・レーンに設けることができる。計測測定はダイの複数の隅で実行することが望ましい。これを実行できる一つの方法は、測定が必要な各隅に3つの回折格子を設けることである。あるいは、回折格子の数を減少させ、それによって他の要素のためのスペースを自由にするため、ダイの1つの隅に3つの回折格子を設け、ダイの1つの隅に3つの回折格子を設けて、測定が必要な他の隅には回折格子を1つだけ設けることができる(つまり1つの隅につき1つの回折格子)。単一の回折格子を使用して測定したオーバレイは、3つの回折格子を使用して取得した感度測定を使用して定量化される。これは、測定の感度がダイの隅ごとに大きく変動しないという事実を利用する。
全ての検出器が(例えば図3に示すような)検出器面を形成する状況では、その検出器面の信号強度信号は、回折格子の周期的信号のフーリエ変換ΣF(i,x,y)と強度関数I(x,y)のフーリエ変換との間の畳み込みである(指数iは様々な次数を表す)。強度関数I(x,y)は、回折格子に入射するビームの強度プロフィールIP(x,y)とその位置における回折格子の存在E(x,y)との積である(ここでE(x,y)は回折格子の空間範囲を示す、つまりE(x,y)=回折格子では1、E(x,y)=他の位置では0である)。
Figure 2004279405
任意の検出器は、(xj,yj)にて1つの特定次数しか検出しないよう意図されている。しかし、畳み込みC(i,x,y)により、異なる次数iの割合をその検出器で検出し、それによって次数jについて検出した信号に誤差を導入できるようになった。これは数学的にはC(i,xj,yj)≠0と表現される。これは、回折格子の有限長さのため、検出器面における次数iの像は空間的に十分広く、その縁が次数jに意図された検出器に当たると考えることにより、直感的に理解することができる。
当業者には明白であるように、この問題には幾つかの解決法が知られている。一例は、C(i,xj,yj)がC(j,xj,yj)にそれほど匹敵しないよう、強度プロフィールIP(x,y)を選択することができる。別の例は、C(i,xj,yj)がC(j,xj,yj)にそれほど匹敵しないほどF(i,xj,yj)が小さくなるよう、回折格子の周期を選択できることである。F(i,xj,yj)は、次数iとjからの最大信号の位置間の間隔が非常に大きいか、F(i,xj,yj)が(xj,yj)で局所極小を有することから、小さく維持することができる。
本発明の上述した実施形態での発生に加えて、重なった信号の問題は、下記の本発明の実施形態でも発生することがある。上記の解決法は、それらの実施形態にも適用することができる。
本発明の実施形態の変形では、3つの回折格子ではなく、回折格子の対を使用して、オーバレイ計測測定を獲得することができる。これは、スクライブ・レーン区域で占有する量が少ないので有利である。2つの回折格子への減少は、感度定量化オフセットdを作為的オフセットD、−Dに組み込めることが認識されるので、可能になる。
一般的用語では、次数間で検出されるシフトは、回折格子間のオフセットΔxと、回折格子の「深さ」とその間隔(図5の「z」)に依存する倍率kとによって生じると考えられる。これは下式のように表すことができる。
Figure 2004279405
ここで、オフセットは作為的オフセットDとオーバレイ誤差OVとの組合せである。
Figure 2004279405
2つの回折格子を使用すると、これは次数測定値間に2つのシフトを提供し、これは2つの未知の値k、OVを決定することができる十分な情報を提供する(作為的オフセットDは、回折格子を投影するマスクの設計から知られる)。
Figure 2004279405
これは、感度定量化オフセットdを(+D−2D)と等しくすることと同等である。オーバレイは、2つの回折格子の測定から得られる。
Figure 2004279405
以上の説明は、同じ周期を有する2つの重なった回折格子を使用して複合回折格子を形成する本発明の実施形態に関する。しかし、周期が等しいレジストおよびプロダクト回折格子は、回折格子間の強力な結合を生成する。この結合により、次数間のシフトは、オーバレイの関数であるばかりでなく、回折格子の垂直間隔(図5のz)、波長、および回折格子形状にも影響される。この理由から、2つ以上の複合回折格子の校正が必要である。
本発明の代替実施形態では、次数間のシフトを、結合されていない回折格子を備えるマーカについて測定する(厳密に言うと、全ての回折格子はある程度結合され、「結合されていない」という言葉は、結合によって生じる信号のサイズが、各回折格子から個々に生じる信号よりはるかに小さいという意味である)。この代替実施形態は、空間の周波数多重化に基づき、(P/N)および(P/M)という異なる周期を有する回折格子を使用する。Pは数十ミクロンのオーダーでよい。これらの周期は、P/(1、2・・・7)の周期を有する計測ユニット基準回折格子と互換性があるよう選択される。他の適切な周期を使用してよいことが理解される。次数間のシフト測定値は、オーバレイに正比例し、したがって複数マーカでの校正はもはや必要でない。オーバレイ誤差により、回折格子を備えるマーカが非対称になり、次数間のシフト(つまり回折次数の位置の差)を使用して測定するのは、この非対称性である。
本発明のこの代替実施形態は、P/6などの所与の周期の回折格子から生じる回折が、同じ周期を有する計測ユニット基準回折格子で強力に検出されるということに基づく。P/7などの異なる周期の回折格子から生じる回折は、その周期を有する計測ユニット基準回折格子で強力に検出される。つまり、プロダクト層とレジスト層にある回折格子が、相互に重なっていれば、異なる回折次数で調べることにより、これを別個に検出することが可能である。測定した位置の差、つまりSbOは、回折格子のオーバレイを直接示す。本発明のこの実施形態は、異なる回折次数ではなく異なる照明波長を使用できることが理解される(必要なことは、異なる回折格子からの回折光が、異なる計測ユニット基準回折格子によって強力に検出されることだけである)。
x方向で動作する回折格子が、ウェーハ上で露光し、処理される。その結果の固定回折格子を、本明細書ではプロダクト層と呼ぶ。回折格子は周期P/Nを有し、ここでNは1、2・・・7のいずれか一つである。ウェーハを露光する前に、この回折格子をレジスト・フィルムで覆う。露光前の反射フィールドは下式のように表すことができる。
Figure 2004279405
下付文字Nは、P/Nの周期性を示し、
Figure 2004279405

は反射フィールド(いわゆる0次)の平均複素数値である。他の次数の複素振幅は、FNのフーリエ分解から得られる。次に、レジストを周期P/Mのより高次の回折格子で露光し、ここでMは1、2・・・7のいずれか一つである(M≠N)。これによって、現像後に、図6に示すようなプロダクト回折格子の頂部にレジスト回折格子が生じる。図6では、プロダクト回折格子はP/6の周期を有し(つまりN=6)、レジスト回折格子はP/7の周期を有する(つまりM=7)。
レジスト回折格子は、プロダクト回折格子によって反射したフィールドを摂動させ、したがってもはや上述した単純な形態ではない。プロダクト回折格子とレジスト回折格子の間のオーバレイ誤差がx0であると仮定すると、反射フィールドは以下の形態で表すことができる。
Figure 2004279405
これらの項を図形で説明するため、それぞれNおよびM8の異なる周期を有する2つの透過回折格子FおよびGに関して図7に示す(図示を容易にするため、透過回折格子を、反射回折格子の代わりに使用する)。

Figure 2004279405

は、FおよびGが透過するゼロ次数である。
オーバレイ計測に使用する項は
Figure 2004279405

である。項FN(x,y)GM(x−x0,y)は、両方の回折格子によって回折した次数を備え、この実施形態では計測に使用しない。これらの項は、それぞれ一方の回折格子のみで回折され、それぞれ回折格子Fおよび回折格子Gである。プロダクト回折格子の位置は、項
Figure 2004279405

で測定し、レジスト回折格子の位置は項
Figure 2004279405

で測定し、2つの測定位置間の差がオーバレイ誤差を示す。つまり、
Figure 2004279405

のSbOは、オーバレイとそのまま等しい。計測ユニットは、P/6の周期を有する回折格子のみを監視してプロダクト回折格子の位置を測定し、その後にP/7の周期を有する回折格子のみを監視してレジスト回折格子の位置を測定する。回折格子の位置間の差が、レジスト層とプロダクト層の間のオーバレイ誤差を示す。
本発明の代替実施形態は、空間周波数多重化の一形態と見なすことができ、レジストおよびプロダクト回折格子は、異なる空間周波数を有するので、計測ユニットで別個に測定することができる。計測ユニットは、図3に関して上述したように、異なる回折次数を異なる検出器に配向するよう配置されているので、これらを別個に測定することができる。
P/(NまたはM)以外の周期を有する回折格子を使用してよいことが理解される。NおよびMが等しくなく、回折次数同士を混合しても、計測ユニットで検出される信号として同じ周波数を有する組合せ信号(モアレ信号)にならないよう選択するなら、任意の適切な周期を使用することができる。例えば、N=2およびM=4が推奨される。混合信号が、プロダクト回折格子からの信号と干渉するからである(これでも機能するが、その正確さは低下する)。
問題になる組合せ信号にならないよう、周期を選択することができる。つまり、結合した項FN(x,y)GM(x−x0,y)が、両方の回折格子によって回折された次数を備える(これは図7の最低ビームで図示される)。結合項は最小にすることが好ましい。測定周波数MおよびNで空間周波数成分を生成することがあるからである。例えば、図7では、最低ビームが最高ビームの測定に誤差を持ち込む。両方のビームが同じ空間周波数を有するからである。
周期は、NおよびMが共通の除数を有さないよう選択する(例えばN=6およびM=7)。これを実行すると、第1組合せ信号が検出次数Mへと折り返し、第2組合せ信号の解が検出次数Nへと折り返す。したがって、再びN=6およびM=7と仮定すると、n=7およびm∈[−5,−7]は検出次数Mへと折り返し、m=6およびn∈[−6,−8]は検出次数Nへと折り返す。折り返した信号は、mおよびnの値が高いので、非常に弱くなる。
結合項によって生じた折り返し信号は、上記の規則に従うと、大抵の場合、十分に低い振幅であるので、オーバレイ計測測定に有意の誤差を持ち込まない。高い周波数項の振幅が小さい理由の一つは、ウェーハの処理のため、回折格子の形状が、方形波よりサイン波に近く、それによってより高い調波を抑制するからである。
所望に応じて、回折次数同士の結合は、本発明のさらなる代替実施形態で、プロダクト回折格子とレジスト回折格子との間に空間的重なりがないことを確認することにより、最小にすることができる。これは、レジスト回折格子を、これが図8に示すようにプロダクト回折格子に隣接するよう置き換えることによって達成することができる。レジスト回折格子およびプロダクト回折格子は、図示のように異なる周期を有する。回折格子が重ならないので、第1次近似まで回折格子間の結合はない。x方向での各回折格子の位置は、計測ユニットを使用して決定し、位置間の差がオーバレイ誤差を示す。
図8に示す回折格子の構成に伴う欠点は、ウェーハのx軸が計測ユニットのx軸と正確に平行でない場合、計測測定中の回折格子の走査が誤差を生じることである。これは、回転のため、計測ユニットが回折格子の一方をx方向にシフトしたものとして、他方の回折格子を−x方向にシフトしたものとして測定するからである。この誤差は、回折格子の位置が交換されている第2対の回折格子を設けることによって取り消すことができる。交換とは、計測ユニットで測定した誤差の記号が反対であり、測定値から取り消せる、という意味である。
回転によって誘発された誤差という問題を解決するための代替方法は、レジスト回折格子およびプロダクト回折格子を図9に示すように重ならない部分に分割することである。本発明のこの実施形態は、さらに、図9および図10に関して以下で説明するように、直角方向で大きいオーバレイ誤差を検出できるので有利である。
図9を参照すると、プロダクト回折格子が3つの部分に分離され、レジスト回折格子は2つの部分に分離される。この部分は、相互に重ならないよう配置される。プロダクト回折格子およびレジスト回折格子は、両方の回折格子を二分するx方向の軸線を中心に、両方とも対称である。この構成が、回転により誘発される誤差を解消する。
計測ユニットの測定方向、つまり測定中にウェーハを走査する方向を、図9にxとして示す(これは従来通りの回転である)。プロダクト回折格子はP/7の周期を有し、レジスト回折格子はP/6の周期を有する(Pは10ミクロンのオーダーである)。測定方向に対して直角なウェーハの面の方向を、図9にyとして示す。各回折格子を3つの別個の部分に分離することは、プロダクト回折格子およびレジスト回折格子がy方向に周期的になるような分離である。これは同じ周期Qを有するが、図9に示すように、相互に180°位相がシフトしている。プロダクト回折格子およびレジスト回折格子は、空間的に分離されているので、その間に実質的に結合はない(小さい程度の残余結合が残っていてもよい)。レジスト回折格子の位置は、計測ユニットを使用して測定し、プロダクト回折格子の位置は、計測ユニットを使用して別個に測定し、位置の差がオーバレイ誤差を示す(以前に述べたように、測定はx方向で実行する)。
図9に示すように2次元回折格子を使用することは、回転で誘発される誤差が回避されるという利点を有する。y方向で大きいオーバレイ誤差を検出できるというさらなる利点を有し、これは一般に捕捉エラーと呼ばれるアライメント誤差により生じる。位相回折格子アライメント・マークを使用する場合、アライメントを提供するために使用する信号はシヌソイド状である。アライメント前の作業でアライメント・マークをその所期の位置に十分近づけて配置したと仮定すると、アライメント・ユニットは、アライメントを補正できるピークを備えたシヌソイド信号の部分を見ることになる。しかし、アライメント前の作業が正確に実行されなかった場合、アライメント・ユニットは、隣接するピークを含むシヌソイド信号の部分を見ることがある。次に、この隣接ピークへと位置合わせが実行され、誤差を生じる。誤差のサイズは、シヌソイド信号の隣接ピーク間の距離に依存し、通常は約10ミクロンである。2次元回折格子は、捕捉誤差によって生じるオーバレイ誤差を検出する手段を提供する(つまり約10ミクロンのオーバレイ誤差)。
図10aを参照すると、捕捉誤差がないので、プロダクト回折格子とレジスト回折格子とが十分に分離されている。y方向に捕捉誤差が生じると、図10bに示すように回折格子が重なる。回折格子間の間隔は、回折格子間の結合、つまり回折信号の結合項(図7に関して上述した)を監視することによって検出される。結合は、計測ユニットの検出器で検出できる空間うなり周波数として現れる(周波数は|N−M|である)。図10aに示す回折格子間には、無視できるほど低レベルの結合が見られ、それにより捕捉誤差が生じていないことを示す。強力に結合された信号は、捕捉誤差が生じたことを示す。捕捉誤差が存在する状態で回折格子のオーバレイが生じるためには、周期Qを適切に選択しなければならない。例えば、予想される捕捉誤差の1/3に等しい周期Qは、捕捉誤差が生じた場合に、2つの回折格子を完全に重ね合わせる。
図9に示す回折格子は、3つのプロダクト部分および2つのレジスト部分を備える。異なる数の部分を使用してよく、唯一の制約は、回転で誘発された誤差を回避すべき場合、両方の部分がx方向に同じ対称軸を持たねばならないことである。つまり、部分の最小数は、2つのプロダクト部分および1つのレジスト部分、または2つのレジスト部分および1つのプロダクト部分である。
図10に示す2次元回折格子は、捕捉誤差の簡単かつ強健な検出を提供する。y方向の周期は、他のサイズの誤差を検出するよう選択できることが理解される。
以上の説明では、図10aに示す回折格子間に無視できるほど低レベルの結合が見られることを述べた。結合がゼロではない理由は、図11に関して説明し、これは図10aに示す回折格子の側断面図である。図11から、プロダクト回折格子とレジスト回折格子の間にはz方向に有意の間隔があることが分かる。これは、プロダクト回折格子の上に酸化物の層があるからか、プロダクト回折格子の上に幾つか他のプロダクト層が配置されているからである。計測測定のために回折格子の照明に使用する光は、図示のようにレジスト層とプロダクト層の間で少々発散し、それによって回折格子間に多少の結合を持ち込む。
図10に示す結合を回避することが望ましい場合は、レジスト回折格子の単純な改造を実行してよい。図11に示す改造は、回折格子の交互のシフトΔxをx方向に導入することを含む。この回折格子の構成を使用すると、シフトしたN次の回折格子が下式の位相偏移を経験する。
Figure 2004279405
Δφ=πの場合、シフトしたN次の回折格子はシフトしていない回折格子とともに反対位相にあり、したがってN次が消滅し、結合が解消される。これによって、計測ユニットの検出器に測定誤差を導入しないよう、高い回折次数を削除することができる。この方法では、回折格子の照明が対称である必要があり、これは実際に達成できることである。
以上でさらに説明したように、ウェーハ・ステージの位置の誤差Δstageは、計測ユニットを使用して実行した測定によって取り消される。しかし、計測測定の正確さを低下させるような第2誤差がある。第2誤差は、センサ誤差εと呼ばれる(当技術分野ではツール由来のシフトと呼ぶこともある)。ウェーハ・ステージの位置誤差Δstageは、これが占有すると考えられる正確な位置に配置されていないウェーハ・ステージによって引き起こされるが、センサ誤差εは、計測ユニットの光学系が完全でないことから引き起こされる。計測ユニットの光学系が不完全であるとは、計測ユニットの第1検出器で測定した回折格子の位置が、計測ユニットの第2検出器で測定した回折格子の位置と全く同じではないという意味であり、光学系が、回折格子によって生成された回折パターンをわずかに変位させている。
異なる周期を有する2つの回折格子に基づいて計測を実行する場合(上記)、センサのSbO校正のセンサ誤差εは、2対の回折格子を印刷することにより解消することができる。第1対はレジスト層にM次数を、プロダクト層にN次数を有する。この対で測定されるオーバレイは下式の通りである。
Figure 2004279405
第2対では、回折格子を相互交換する。つまりN次がレジストにあり、M次回折格子がプロダクト層にある。オーバレイは下式の通りである。
Figure 2004279405
実際のSbO(つまりオーバレイ)は下式によって決定される。
Figure 2004279405
この方法は、計測ユニットの校正でセンサ誤差εを解消する。
同じ周期を有する2つの回折格子に基づいて計測を実行する場合(上記でさらに説明)、センサのSbO校正のセンサ誤差εは、2対の回折格子を1つの回折格子と一緒に印刷することにより、解消することができる。回折格子の各対は、プロダクト層に1つの回折格子およびレジスト層に1つの回折格子を備える。1つの回折格子は、レジスト層に設ける(プロダクト層に設けてもよいことが理解される)。第1対の回折格子は、回折格子間にx方向にて作為的シフトDを含み、第2対の回折格子は、x方向に作為的シフト−Dを含む。次数SbO測定間の3つのシフトを作成すると、下式が得られる。

Figure 2004279405
ここでOVはオーバレイ、kは次数間のシフトをオーバレイと関連させる定数である。第3測定値は、センサ誤差εを直接生成する。というのは、誤差がないと、次数間のシフトがゼロになるからである(1箇所しか測定されない)。残りの2つの未知数kおよびOVは、次数間のシフトと、測定値の範囲にわたるオーバレイとの間には直線関係があるという過程で、第1および第2測定を使用して決定することができる。
本発明のさらなる代替実施形態では、プロダクト層に1つの回折格子、レジスト層に1つの回折格子を備え、これが上記でさらに説明したように、オーバレイによりずれた非対称マーカを設け、さらに回折格子の線には下位構造を設ける。回折格子の一方の下位構造は位相跳躍を含む。高い空間周波数と位相跳躍との組合せは、計測測定の感度を大幅に上昇させるという効果を有する。この方法で買い構造を使用することの利点は、従来の回折格子よりデバイス機構によく似た寸法および密度を有するよう、下位構造を配置でき、したがってオーバレイ測定値がデバイス機構のオーバレイをさらに正確に反映することである。
断面図である図13aを参照すると、ウェーハのプロダクト層に第1回折格子100が設けられ、ウェーハのレジスト層に第2回折格子101が設けられている。各回折格子の3つの周期を示す。周期Pは、両方の回折格子で同じである。
破線で形成したボックスで示す回折格子の線1本の中心部分を、図13bの拡大詳細図で示す。図13bで分かるように、各回折格子には、回折格子下位構造を設ける。プロダクト層回折格子100の下位構造は連続し、レジスト層回折格子101の下位構造は、180°の位相跳躍を含む(位相跳躍を、レジスト回折格子ではなくプロダクト回折格子に設けてもよいことが理解される)。180°の位相跳躍は、下位構造の線103を含み、これは下位構造の他の線104に対して2倍の長さである。180°位相跳躍は、プロダクト層回折格子に対して、レジスト層回折格子の下位構造の立ち上がり縁が、レジスト層回折格子の下位構造の下降縁になる効果を有する。オーバレイ誤差がない状態で、プロダクト回折格子とレジスト回折格子は、図13bに示すような対称線sに対して対称である。
回折格子の図13bに示す下位構造の周期gは、リソグラフィ投影装置の解像限界に近くなるよう選択される(通常は数百ナノメートルのオーダー)。この数字は、将来、リソグラフィ投影装置の解像力が改善されるにつれ、大幅に小さくなることが理解される。下位構造は、回折が発生して、プロダクト層とレジスト層の間に伝搬するほど、照明波長に対して十分に大きい。しかし、下位構造は、下位構造からの回折が(例えば回折が垂線に対して非常に大きい角度であるかレンズL1で収集されない(図3および図4参照)ので)計測ユニットのセンサで見られないほど、十分に小さい。下位構造からの回折は、発生しないか、見られないので、計測ユニットは、下位構造を複素反射係数rを有するミラーとして見る。
複素反射係数rは、レジストおよびプロダクト層下位構造の位置によって決定される。オーバレイが完全である場合、図13bの左側にある下位構造の複素反射係数は、図13bの右側にある下位構造の複素反射係数と同じになる。これは、図14の中心で概略的に表され、これは下位構造の各側から反射する光の、下位構造のすぐ上での振幅を示す(下位構造のすぐ上の領域は、本明細書では近接場と呼ぶ)。マイナスのオーバレイ誤差が発生する、つまりレジスト層が右にシフトすると、左側下位構造の複素反射係数が、右側下位構造のそれとは異なることになる。この非対称性は、図14で示すように、近接場での振幅(および位相)差として現れる。
図3および図4に示すような計測ユニットの検出器は、回折格子から離して配置する。検出器の位置は、本明細書では遠距離場と呼ばれ、近接場のフーリエ変換を検出するような位置である。近接場の振幅(および位相)が対称である場合、フーリエ変換も対称である。つまり検出された信号がシフトを含まない。逆に、近接場で振幅(および位相)が対称でない場合、フーリエ変換は対称でなく、回折次数(または波長)によって決定される非対称性を有する。検出器にて異なる回折次数(または波長)について測定した位置間の差を決定することにより、レジスト層およびプロダクト層のオーバレイを決定することができる。検出されたシフトは、オーバレイ誤差よりはるかに大きく、これは計測ユニットを使用して、非常に小さいオーバレイ誤差も検出できることを意味する。
遠距離場で(つまり検出器で)見られる非対称性は、複素反射係数から生じるので、その記号は常に、オーバレイ誤差の記号と一貫して一致する。
数学的に、下位構造の効果は、以下のように表すことができる。つまり、小さいオーバレイ誤差の場合、左半分および右半分の反射係数は、下式に従い別々に変動する。
Figure 2004279405
その結果の左右の非対称性が、次数間に測定可能なシフトを生成する。複素反射率の変化は、下位構造の周期により周期的であり、これは1ミクロン未満である。つまり、オーバレイ誤差が下位構造より大きい場合、それは計測ユニットの測定が不正確なのである。
下位構造は、2対の回折格子および1つの回折格子を使用して校正され、下式の値を以上でさらに説明したのと同じ方法で決定する。
Figure 2004279405
校正で、オーバレイ誤差の記号も得られる。
場合によっては、下位構造を校正するために、使用する回折格子を少なくすることが好ましい。それを実行できる一つの方法は、プロダクト層回折格子100およびレジスト層回折格子101の下位構造の形状を再構築することにより、次数間のシフトをオーバレイと連結させる定数kを決定することである(kは下位構造に依存する)。再構築は、2つの部分で実行される。第1部分では、レジスト層回折格子をレジストに撮像する前に、プロダクト層回折格子を測定する。測定は、図3および図4に示す計測ユニットを使用するか、あるいは図23に関して以下で説明する計測ユニットを使用して実行する。測定は、複数の波長について実行する(そのために、図3および図4に示す計測ユニットに、追加の波長チャネルを追加してもよい)。計測結果を使用し、微小寸法スキャッタメータで現在使用されている「逆散乱」技術を用いて、プロダクト層回折格子の下位構造の形状を再構築する。適切な逆散乱技術は、米国特許第6,563,594号および米国特許第6,559,924号に記載されている。
再構築の第2の部分は、レジスト層回折格子の下位構造の形状を再構築する。レジスト層回折格子は、上述した計測ユニットのうち1つを使用して複数の波長について測定し、結果を使用して、逆散乱技術を用いてレジスト層回折格子の下位構造の形状を再構築する。レジスト層回折格子とプロダクト層回折格子間のオーバレイが再構築に及ぼす影響は無視できるほどで、そのため再構築が強健になる。オーバレイが許容不可能な誤差を持ち込むことが判明した場合は、第2レジスト層回折格子(同じ下位構造を有する)を第1レジスト層回折格子の隣に撮像してもよい。この回折格子の下位構造は、オーバレイ誤差を持ち込まずに再構築することができる。下位構造を決定する代替方法は、例えば原子力顕微鏡を使用して実行する別個の測定を使用することである。
プロダクト層回折格子およびレジスト層回折格子の下位構造が再構築されたら、これを層の分離の知識と一緒に使用して、定数kを計算する。計算は、例えばG−SolverまたはTempestなど、既知の磁気解決プログラムを使用して実行することができる。kが決定されたら、次数間のシフトを使用して、オーバレイを計算することができる。再構築に基づく校正方法の利点は、以上でさらに説明した校正方法より、必要な回折格子が少なく、そのため他の構造のためのスペースが大きくなることである。この校正方法のさらなる利点は、回折格子の下位構造を再構築するので、微小寸法を直接測定することである。
本発明のさらなる代替実施形態では、マスクを担持する回折格子(図1のMA)上に、それぞれ例えば図15に示すようにλ/4の光学路長にステップを設けた下位構造を含むことにより、1つの回折格子を備えたマーカに、非対称性を設ける。図15を参照すると、マスク111上にある回折格子110に3本の線が図示されている。回折格子の第1線110aには、それぞれ非透過部分112および第1および第2透過部分113、114を備えた下位構造を設ける。ステップ115は、第1透過部分113と第2透過部分114の間に位置する。ステップは、第1部分113を通る光の光学路長が、第2部分114を通る光の光学路長よりλ/4大きくなるようなステップである。
回折格子の第2線110bには、それぞれ非透過部分116とステップ119によって分離された第1および第2透過部分117、118を備えた下位構造を設ける。ステップは、回折格子の第1線110aのステップ115とは位相が逆である。つまり、第1部分117を通る光の光学路長は、第2部分118を通る光の光学路長よりλ/4小さい。
回折格子のその後の線には、交互のパターンで同じ下位構造を設ける。
λ/4のステップの効果は、回折格子が正しく集束されない場合、ウェーハ表面にて、回折格子の各線が変位することである(この効果は、米国特許第2002/0021434号に記載され、これは参照により本明細書に組み込まれる)。変位はステップの方向に依存する。つまり、回折格子の隣接する線は、焦点ずれの結果、反対方向に変位する。
ウェーハ上の下位構造の効果を、図16に概略的に示す。図示を簡単にするため、図16の回折格子の寸法は、図15の回折格子の寸法に対応するが、実際には、図16の回折格子の寸法は、図15のそれの1/4になる。図16の断面図には、回折格子の3本の線が図示されている。回折格子は周期Pを有し、これは10ミクロンのオーダーである。回折格子は線121を備え、これはλ/4のプラスの位相偏移を有するマスクを通して撮像されており、λ/4のマイナスの位相偏移を有するマスクを通して撮像された線120と、交互になっている。図16に示す例では、焦点ずれにより、1本の線120が右側に移動し、いずれかの側の線121が左側に移動する。
マスク111上の下位構造は、回折格子の線120、121に下位構造として撮像される。しかし、基板はゼロ回折次数しか生成せず、したがって1次までは複素反射係数を有する平面の表面として挙動するよう近似することができる。
非対称性は、計測ユニットが、異なる回折次数および/または異なる波長について焦点ずれによって生じる変位を比較することにより測定される(前述したように、用語を簡単にするため、このシフトは次数間シフトつまりSbOと呼ばれる)。焦点ずれがない場合、下位構造によって引き起こされる変位はゼロであり、回折格子は完全に対称で、次数間シフト(SbO)はゼロになる。しかし、焦点ずれは非対称性を引き起こし、線120および121が反対方向に距離Δxだけ変位する。この非対称性は、以下で説明するように、計測ユニットで測定できる次数間シフトとして現れる。
焦点計測の感度は、それぞれW1およびW2として示される回折格子の線120、121の幅を使用して、調整することができる。信号強度を犠牲にして、感度を非常に大きくできることが示されている。幅W1およびW2は、平均部分と差がある部分との組合せとして示される。
Figure 2004279405
図17は、1次および3次のΔWの関数として計算した感度(つまりシフト測定値と実際のシフトとの比率)を示す。
ΔWの値が小さい場合、次数間シフトの測定値は非常に大きい。さらに、1次と3次は反対方向に動作し、これは次数間シフトをさらに増加させる。ΔW=4(任意の単位)の場合、感度は両方の次数で1になり、したがって次数間シフトはゼロになる。これは驚くことではない。この場合は、線121が効果的に消滅し、したがって焦点ずれがあっても、回折格子全体が対称性を維持している状況に対応するからである。
一見すると、ΔWには小さい値を選択すると良いように見える。しかし、それには払うべき代償がある。ΔWが減少するにつれ、検出した信号の強度も低下するのである。図18は、1次および3次回折次数について、ΔWの関数として正規化した検出信号強度を示す。ここでは信号強度が正規化されている。それと同時に、信号強度が非常に小さくなると、レチクルの書き込み誤差および表面粗さが、正確さを制限する。ΔWの値が小さいと、新語強度が急速に低下するという事実は、直感的に理解できる。というのは、ΔWが小さいと、回折格子が、PではなくP/2の周期を有する回折格子として挙動し始めるからである(計測ユニットは、Pの周期を有する格子から回折を検出するよう構成されている)。しかし、例えば10倍の信号損が許容可能であると仮定した場合(正規化した信号強度=0.1)、計測ユニットが使用する位相回折格子検出の大きい動作範囲を使用することにより、これをある程度補償することができる。
回折格子の全周期に上述した下位構造を設ける必要はないことが理解される。必要なのは、次数間シフトを所望の正確さで測定できるために十分な量の下位構造があることだけである。
1次までは、次数間シフトはステージのドリフトおよびステージの振動の影響を受けず、したがってこの方法は、低品質走査ステージには特に有用である。
本発明のさらなる実施形態では、マーカに、ウェーハ上で露光したパターンの微小寸法(CD)に左右される非対称性を設ける(微小寸法とは、ウェーハで露光した機構の解像度を指す)。非対称性は、図19に示す3つの異なる領域を備えた計測マーカに基づく方法を使用して測定する。この領域は、非露光(つまり隆起、線)領域15、下位構造151を有する領域、および露光(つまり凹部、スペース)領域152である。3つの領域は、一緒になって非対称位相回折格子154の1周期を形成し、これは4Pの周期を有する(Pはミクロンのオーダーである)。下位構造151は、リソグラフィ投影装置の解像限界に匹敵する周期を有し、この場合、それは図示を容易にするためP/5に設定される。非露光領域150および露光領域152は、両方ともPの幅を有し、下位構造領域151は2Pの幅を有する。
再び図19を参照すると、設けた回折格子は非対称であることが分かる。さらに、回折格子の非対称性は、CDの関数として変化する。非対称性は、図3および図4の計測ユニットによって次数間シフト(前述したように、これは回折次数間および/または波長間のシフトでよい)として正確に検出され、これによってCDの測定値を提供する。
CDの変化の効果は、図19に関して直感的に理解することができる。CDを、例えばP/12.5(ΔCD=−P/50)まで改善すべき場合、基板151の各線の幅が狭くなる。こうすると、回折格子の「重心」(つまり計測ユニットが測定した回折格子の中心)が左側に移動する。つまり、下位構造が検出器に回折する光が少なくなり、線150が回折する光の量は変化せず、したがって回折光の強度中心が線150に向かって移動する(つまり左側に移動する)。回折格子154の重心の移動量は、回折格子154から回折された光の回折次数および波長に依存する。つまり、回折格子の相対的動作(または波長)の校正を実行していると、CDの測定値は、次数間シフト(または波長)を調べることにより獲得される。
直感的例の極端な場合を図20に示す。図20aを参照すると、CDがゼロになると(ΔCD=−P/10)、下位構造が存在しないことになり、したがって回折格子154の重心は、回折格子の中心線150として測定される。図20bは反対の極端を示す。CDがP/5であると(ΔCD=+P/10)、下位構造151が合併し、したがって回折格子154の重心が、線150の開始と合併した下位構造領域151の終端との中心点として測定される。したがって、P/5というCDの変化は、計測ユニットでPのシフトとして見られる。
図19および図20に示す回折格子の構成は、例にすぎないことに留意されたい。実際には、当業者には明白であるように、多くの異なる構成が可能である。下位構造によって持ち込まれる非対称性の記号は、使用するのがプラスのレジストかマイナスのレジストかに依存する。図20に示す例は、プラスのレジストの場合である。
本発明のさらなる実施形態では、次数間シフトを使用して、ウェーハ上に撮像されたパターンの処理の効果に影響される非対称性を測定する。プロセス効果からマーカの一部をクリアすることを利用するこの方法を、図21に概略的に示す。2つの回折格子180、181を備えたマーカを、ウェーハのレジスト層内で露光させる(図21ではマークを上から見ている)。第1回折格子は第1周期(P/N)を有し、第2回折格子は第2周期(P/M)を有する。ウェーハは従来の方法で処理する。その後、処理は、第2回折格子からクリアされる。これは、レジストの層をウェーハに適用し、第2回折格子の領域を露光させ、その領域を現像してエッチングし、そこからレジストを剥ぎ取って実行する。これで、マーカは第1処理回折格子180a、および第2回折格子181aを備え、そこから処理の効果が除去されている。第1および第2回折格子180a、181aの相対位置は、図7および図8に関して上述した次数間シフト方法を使用して測定する。これで、処理した回折格子に対する処理の効果が測定され、これを使用して、他の処理済み回折格子に基づきアライメントを補正することができる。
本発明のこの実施形態は、検出された様々な次数(または色)から生じる1つまたは複数の撮像誤差を経験し、様々な位置測定を提供する。これを補正するため、図22に示すように追加マーカを露光してよい。左手側のマーカは、図21のそれに対応する回折格子180a、18aを備える。右手側のマーカは、左手側のマーカの露光に使用したものと同じマスク(図1参照)を使用して露光する(これは、マスクから生じる誤差を回避するためである)。しかし、右手側のマーカでは、両方の回折格子180b、181bから処理効果をクリアしてある。右手側のマーカを使用して、左手側マーカで生じた測定誤差を補正するために使用する次数間シフト測定を提供する。
非対称性の測定に、したがって微小寸法または他の特性の測定に使用できる代替計測ユニットを、図23に示す。広帯域コヒーレント光源200(例えば広帯域レーザ)が視準した光線を生成し、これは45°ミラー201の開口を通過し、レンズ202によって回折格子203に集束される(回折格子は、図19および図20に示すタイプである)。回折格子203で回折した光は、レンズ202で視準され、45°ミラーで反射して、第2レンズ204によって1組の走査透過基準回折格子205に集束する。透過基準回折格子205を通過する光は、第3レンズ206によって分光計回折高次207に視準される。分光計回折格子207は、光の波長により決定される角度で光を回折する。回折した光は、第4レンズ208によって検出器アレイ209に集束する。好ましい実施形態では、図示のように、計測ユニットはさらに、回折格子203を通過する光の直線偏光を制御するために使用する従来の屈折計ハードウェア210および偏光器211を備える。従来の屈折計ハードウェア210は当業者にはよく知られ、したがって本明細書では説明しない。回折格子203によって散乱する0次の光は逆反射し、標準低な屈折計ハードウェアへと通る。この光は、普通の屈折計と同様の方法で検出し、処理する。
図23から、3つの走査透過基準回折格子205を相互に隣接して設けることが分かる。これは、検出器アレイ209で異なる回折次数を測定できるよう実行する。
本発明の上述した実施形態は、個々に使用するか、組み合わせて使用することができる。さらに、所与のマーカを位置合わせに使用し、その後に計測に使用する。図24から図26に関する以下の説明は、このような方法の例を含む。
図24を参照すると、ウェーハのプロセス層にあるマーカ(上から見る)は、図3および図4に示す計測ユニットの特定検出器(または複数の波長を使用する場合は、複数の特定検出器)によって優先的に検出されるよう配置された第1周期(P/N)の回折格子250を備える。アライメント・ユニットが回折格子の位置を測定し、これを使用して、その後の層をウェーハに撮像するため、位置合わせした位置を決定する。アライメント・ユニットは、例えば図3および図4に示すように計測ユニットと同じ装置を備えてよく、検出した信号を使用する方法は異なる(アライメント・ユニットおよび計測ユニットという用語は、説明のこの部分では交換可能である)。
その後に撮像される層は、第1回折格子の各側に配置された第2周期(P/M)の2つの回折格子251を含む。これらの第2回折格子は、計測ユニットの異なる(1つまたは複数の)検出器によって、第1回折格子の検出に使用したものより優先的に検出されるよう配置される。3つの回折格子250、251は、組み合わせて非対称マーカを形成し(オーバレイが完全でないと仮定する)、これを使用して、図7から図12に関して上述したように、オーバレイを測定することができる。
第2回折格子を有する層を処理した後、第2回折格子を使用して、さらなる層をウェーハに撮像するために位置合わせする位置を決定することができる。
第2回折格子251は、任意選択で、第1回折格子に隣接して配置される追加の回折格子252を伴ってもよい。追加の回折格子は周期(P/N)を有し、さらなる層をウェーハに撮像するため位置合わせする位置を決定することができる。さらなる層が、適切に配置され、適切な周期を有する回折格子253を含む場合、追加の回折格子は、上述したものと同様の方法で、オーバレイを測定することができる。
本発明は、図25に示すタイプのマーカを使用する処理によって生じた非対称性を測定するために使用することができる。図25を参照すると、マーカは、より長い第2周期(P/M)を有する回折格子256を備えた第2部分の間に挟まれ、第2周期(P/N)を有する回折格子255を備えた中心部分を備える(マーカは上から見ている)。マーカによって、様々な回折周期で見られる次数間シフトを測定することができ、これによって処理で生じた非対称性を測定することができる。ターゲット全体を1つの層について撮像し、処理する(つまり回折格子は異なる層には位置しない)。長い方の周期(P/M)は、短い周期(P/N)より非対称処理からの影響が大きい。次数間シフトと処理の非対称性との関係は、異なる非対称性を有する処理を経験するウェーハを使用して事前に校正し、特定の次数間シフトを引き起こす非対称性を定量化するために保存することができる。ターゲットは追加の回折格子を備えてよく、これは、例えば保存した校正データと比較できる幾つかの次数間シフト測定値を生成することにより、非対称性を定量化できる正確さを向上させるため、使用することができる。
図25に関して説明した実施形態を、図26に示すように、図24に関して説明した実施形態と組み合わせてもよい。この実施形態では、第1ターゲットが、長い方の第2周期(P/M)を有する回折格子261を備える第2部分の間で挟まれ、第1周期(P/N)を有する回折格子260を備えた中心部分を備える。回折格子260、261はプロセス層に配置され、上述したように処理の非対称性を測定するのに使用することができる。プロセス層には、第1周期(P/N)を有する追加の回折格子262が、第1ターゲットに隣接して位置する。その後、回折格子をレジスト層に撮像する。第2周期(P/M)の回折格子263は、追加のプロセス層回折格子262の各側に位置する。これが一緒になってターゲットを形成し、これは上述したように次数間シフトを使用したオーバレイの測定に使用することができる(このターゲットをオーバレイ・ターゲットと呼ぶ)。長い方の第2周期(P/M)を有する回折格子265を備えた第2部分に挟まれ、第1周期(P/N)を有する回折格子264を備えた中心部分を備えた第3ターゲットも、レジスト層に撮像する。この第3ターゲットは、センサ誤差の補正に使用することができる(上記参照)。
本発明の上述した実施形態の他の組合せを使用して、計測測定および/または計測およびアライメント測定の所望の組合せを獲得することができる。
上述した本発明のオーバレイ計測実施形態は、計測ユニットを使用して測定した非対称マーカに関して説明されている。通常、計測ユニットは、ウェーハ(図2のS8)上にレジストを現像し、ベークした後に使用され、計測ユニットは、リソグラフィ投影装置から多少距離を設けて配置する(ウェーハは、トラックとして知られるコンベヤを介してリソグラフィ投影装置から計測ユニットまで搬送することができる。)しかし、本発明は、リソグラフィ・プロセス・サイクルの他の段階で、オーバレイを計測測定するのに使用でき、計測ユニットは代替位置を有してよいことが理解される。例えば、計測ユニットは、リソグラフィ投影ユニット内に配置して、例えば以前に処理した2つのプロダクト層、または潜像を有するプロダクト層およびレジスト層のオーバレイ測定など、計測測定をするのに使用することができる。(計測ユニットは、アライメント測定に使用するものと同じユニットでよい)。
以前に処理した2つのプロダクト層を、つまりエッチングおよび/または処理後に計測測定するため、2つの層に、例えば何らかの非対称性の形態を設けた回折格子を設ける(非対称性は、上述した形態のいずれでもよい)。レジスト層を重リアの方法でウェーハに適用して、新しい層を露光できるようにし、ウェーハがリソグラフィ投影装置を通過する。新しい層を露光する前に、計測ユニットを使用し、回折格子に存在する非対称性を介して、上述した1つまたは複数の方法で計測測定を実行する。計測測定は、露光後に実行してよいことが理解される。計測ユニットは、その後の露光のためにアライメント情報の獲得にも使用するユニットを備えてもよいので都合がよい(つまり、別個の計測およびアライメント・ユニットが必要ない)。先行する幾つかのプロダクト層のオーバレイ計測測定は、適切な非対称性を有する回折格子を比較することにより実行できることが理解される。一般的条件では、層n+1を露光する前に、以前の層n、n−1(またはn−2、・・・n−m)で露光されたマークを測定し、層nと層n−1(またはn−2、・・・n−m、およびその組合せ)間のオーバレイ計測を可能にすることができる。
オーバレイ計測測定は、露光するためにウェーハを位置合わせする間に実行することができる。つまり、位置合わせのためにアライメント・ユニットが所与のアライメント回折格子上に位置する時に、これは、位置合わせするために回折格子のみに基づいて第1測定を実行し、アライメント回折格子の上または下にある層に位置する回折格子に基づき(または両方の回折格子の組合せに基づき)、第2測定を実行することができ、第2測定を使用して、オーバレイを計測測定することができる。二重ステージ・リソグラフィ装置を使用する(つまり例えば欧州特許第1037117号に記載されているように、露光する前にウェーハを別個のステージでマッピングする)場合は、生産性を全く低下させることなく、オーバレイ計測測定を実行することができる。
このようにこの方法を使用すると、ウェーハごとにオーバレイを計測測定し、歩留まりがないウェーハまたはダイが検出されないという可能性を最低にすることができるので有利である。これは、ウェーハの代表的例でしかオーバレイ計測測定を実行しない従来の構成と比較すると、好ましい。これで提供されたオーバレイ計測データを使用して、所与のレジスト層nについて、バッチのその後のウェーハに適用される補正値を推定することができる(フィードバック)。また、処理ステップが同様である場合、計測データを使用して、その後の層に適用する補正値を推定することができる(フィードフォワード)。
本発明を実現するオーバレイ計測は、潜像について実行することができる。潜像は、レジストに露光されている、つまり露光後ベークがない像である。しかし、場合によっては、このような像を解像することが不可能であり、その場合は露光後ベークを使用してよい。
オーバレイ計測に加えて、本発明は、上述したように焦点計測、微小寸法計測、および他の計測にも使用することができる。これを実行する場合は、プロセス層にあるマーカ、またはレジスト層にあるマーカで測定を実行してよい。露光後ベークの前または後に、潜像について計測測定を実行することができる。計測ユニットは、上述した位置のいずれにあってもよい。
当技術分野では、回折するために回折格子を使用する必要がないことが知られている。回折は、適切な寸法にした1つの機構(通常、この機構は照明の波長のオーダーである)または他の適切な寸法のマーカに照明を配向することによって得られる。本発明の上述した実施形態で、回折格子の使用が好ましいのは、強力な回折信号を提供するからである。しかし、本発明は、回折格子でないマーカを使用して実現できることが理解される。例えば、図5に関して説明した本発明の実施形態について考察してみる。図示のマーカは、プロダクト回折格子の4本の線、およびレジスト回折格子の4本の線を備える。各回折格子の3本の線をマーカから除去すると、マーカは、プロダクト層にある1本の線の上に配置されたレジスト層の1本の線を備える。マーカに配向された光は、そのマーカで回折する。様々な回折次数および/または波長は、計測ユニットで検出される。様々な回折次数および/または波長について測定したマーカの位置の違いを使用して、上記でさらに説明した方法でオーバレイを測定することができる。
回折格子に関して説明した本発明の他の実施形態は、1つの機構または適切な寸法の他のマーカを使用して実現してもよいことが理解される。
本発明が正しく機能するために必要なのは、ある程度の非対称性を含むマーカである。マーカが全体的に非対称である場合は、本発明による計測ができない。以下は、非対称性がなぜ必要かについての数学的説明である。
位置x0の周囲で対称で、これもx0の周囲で対称の光線によって照明された単独機構マーカを考察してみる。対称性を考察するおかげで、この構成によって生成される近接場も、下式のようにx0の周囲で同じタイプの対称性を示さねばならない。
Figure 2004279405
ここでは、波長λへの近接場の依存性が明示的に示されている。単純にするため、1次元(x)しか考察しないが、2次元への拡張も容易に実行することができる。以上で定義した場の伝搬は、均一媒体の波動方程式に従う。これで得られる散乱マークから非常に遠い場の分布を、遠距離場と呼ぶ。このテーマに関する様々な教科書[例えばJ. W. GoodmanのIntroduction to Fourier Optics(McGraw-Hill)]には、この遠距離場が近接場のフーリエ変換であることが示されている。この場合も対称性により、この遠距離場も対称性がなければならない。
Figure 2004279405
ここで下付き文字「e」は、遠距離場角度θの偶関数を指し、k=2πsin(θ)/λは空間角周波数と呼ばれる。上記の方程式の第2式は、フーリエのずらし定理を使用しているだけである。空間領域でのシフトの結果、周波数領域で直線位相シフトが生じる。つまり、対称のマーカは常に遠距離場の対称の振幅を有する。さらに、遠距離場の位相φeも対称で、存在できる唯一の逆対称成分は、マーカの変位によって持ち込まれる線形位相シフトである。
この処理は、回折格子および単独オブジェクトに有効であることに留意されたい。これは基本的に、光学アライメント・センサの概念の数学的公式化である。基本的に、既存の光学アライメント・センサは、マイナスの空間周波数の選択範囲[−k1・・・−k2]と、プラスの空間周波数の対応する範囲[k2・・・k1]との位相差を比較する。この位相差は、x0の関数にすぎず、位相差φeからさえ独立している。
本発明の実施形態は、計測ユニットが、異なる次数/色について、非対称(複合)回折格子の見かけの位置を測定するということを利用している。これらの実施形態の共通要素は、回折格子の非対称性が、測定する必要がある計測パラメータ(オーバレイ、CD、レンズ収差・・・)の(非線形)関数であることである。
非対称マーカによって位置x0に生成される近接場も、概ね非対称である。数学的に、この近接場は常に対称成分(=偶数)と逆対称成分(=奇数)に分解することができる。
Figure 2004279405
ここで、下付き文字「e」および「o」は、それぞれ下式の特性を有する偶数および奇数の複素関数を指す。
Figure 2004279405
この近接場をフーリエ変換し、フーリエ変換の直線性を用いると、これも対称部分(=偶数)および逆対称部分(=奇数)で構成された近接場が得られる。
Figure 2004279405
上述した奇数複素関数の特性によると、位相および振幅の条件は下式に従う。

Figure 2004279405
かなり抽象的なこの解析の実際的解釈に進む前に、この分析はどのマーカでも有効であることを強調しておくことは意味がある。さらに、偶数および奇数の位相項φeおよびφoは、空間周波数(=遠距離場角度θ)および波長λの関数である(つまり項と項の差は、次数間シフトを測定することによって分かる)。
図27は、x0=0の(つまりオブジェクトが画定された位置にある)場合の状況のグラフ的解釈を示す。その場合、2つの遠距離場角度θおよび−θにおいて回折した場の対称部分の複素振幅は、等しいが、選択した角度よび波長に依存する。逆対称の複素場も図27に図示され、その振幅および偶数部分に対する位相αも、遠距離場の角度および波長に依存する。
計測ユニットは、遠距離場の対称部分と逆対称部分とを区別しない。これは、合計の場を測定するだけであり、これは図27に示した偶数場と奇数場のベクトル和である。一般的条件では、計測ユニットは、監視した空間周波数(または同等に遠距離場角度)間の位相差Ψ(図27参照)を測定する。ベクトル構造は、これがスペクトルの偶数部分および奇数部分の大きさおよび相対位相に依存することを明白に示す。概して、マーカの非対称性が変化すると、遠距離場の偶数部分および奇数部分が変化する。この変化は、波長/遠距離場角度に依存し、その結果、以下の測定可能な位置になる。
Figure 2004279405
ここで下付き文字「m」は、これが2項で構成された「測定」位置に関することを示す。つまり「真」の位置x0と非対称性オフセットである。真の位置は、波長および空間周波数に依存せず、したがって2つの異なる色および/または空間周波数(回折格子の場合は「回折次数」)の位置を測定することにより、この未知の項を削除することができる。
Figure 2004279405
このベクトル構造は、定数(つまり振幅の差)も使用できることを示すことに留意されたい。しかし、非対称性の効果は通常、非常に小さく、そのため1からの偏差がわずかしかないコントラストが生じるので、これは好ましくない。
本発明を使用して検査できるデバイスの製造に使用できるリソグラフィ投影装置の略図である。 本発明を使用して検査できるデバイスの製造に使用できるリソグラフィ・プロセスの流れ図である。 本発明により使用できる計測ユニットの略図である。 本発明により使用できる計測ユニットの略図である。 本発明による方法で使用する計測回折格子の略図である。 本発明による代替方法で使用する計測回折格子の略図である。 回折格子間の結合の略図である。 本発明による代替方法で使用する計測回折格子の略図である。 本発明による代替方法で使用する計測回折格子の略図である。 本発明による代替方法で使用する計測回折格子の略図である。 測定誤差を減少させるため、本発明と組み合わせて使用できる方法の略図である。 測定誤差を減少させるため、本発明と組み合わせて使用できる方法の略図である。 本発明による代替方法に使用する計測回折格子の略図と、計測回折格子の効果の略図である。 本発明による代替方法に使用する計測回折格子の略図と、計測回折格子の効果の略図である。 本発明による代替方法で使用する計測回折格子の略図と、その回折格子を使用して取得する結果である。 本発明による代替方法で使用する計測回折格子の略図と、その回折格子を使用して取得する結果である。 本発明による代替方法で使用する計測回折格子の略図と、その回折格子を使用して取得する結果である。 本発明による代替方法で使用する計測回折格子の略図と、その回折格子を使用して取得する結果である。 本発明による代替方法で使用する計測回折格子および計測ユニットの略図である。 本発明による代替方法で使用する計測回折格子および計測ユニットの略図である。 本発明による代替方法で使用する計測回折格子の略図である。 本発明による代替方法で使用する計測回折格子の略図である。 本発明により使用できる代替計測ユニットの略図である。 本発明によるアライメントおよび計測方法の略図である。 本発明によるアライメントおよび計測方法の略図である。 本発明によるアライメントおよび計測方法の略図である。 回折格子を使用せずに本発明を実現できる方法の略図である。
符号の説明
10、11 回折格子
12 酸化物層
70 放射線源
72 プリズム
73 面
90〜96 検出器
100 プロダクト層回折格子
101 レジスト層回折格子
103、104 線
110 回折格子
110a 第1線
110b 第2線
111 マスク
112、116 非透過部分
113 第1透過部分
114 第2透過部分
115 ステップ
117 第1透過部分
118 第2透過部分
119 ステップ
120、121 線
150 非露光領域
151 下位構造
152 露光領域
154 回折格子
160 ビーム・スプリッタ
170 ユニット
180 ユニット/回折格子
181 回折格子
200 光源
201 ミラー
202 レンズ
203 回折格子
204 第2レンズ
205 透過基準回折格子
206 第3レンズ
207 分光計回折格子
208 第4レンズ
209 検出器アレイ
210 屈折計ハードウェア
211 偏光器
250〜252、255、256、260〜265 回折格子

Claims (68)

  1. デバイス検査の方法で、検査すべきデバイスに非対称マーカを設けることを含み、マーカの非対称性の形態は、検査すべきパラメータに依存し、さらにマーカに光を配向することと、特定の波長または回折角度の回折光の検出により、マーカの位置の第1測定値を取得することと、異なる波長または回折角度の回折光の検出により、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度を示すシフトを決定するため、第1および第2測定位置を比較することとを含む方法。
  2. 第1および第2位置測定が、回折角度は異なるが波長は同じである回折光の検出を含む、請求項1に記載の方法。
  3. 第1および第2位置測定が、回折角度は同じであるが波長は異なる回折光の検出を含む、請求項1に記載の方法。
  4. 第1および第2位置測定が、異なる回折角度および異なる波長を有する回折光の検出を含む、請求項1に記載の方法。
  5. 第1および第2位置測定が同時に実行される、請求項1から4いずれか1項に記載の方法。
  6. マーカが1つまたは複数の回折格子を備える、請求項1から5いずれか1項に記載の方法。
  7. 1つまたは複数の回折格子が位相回折格子である、請求項6に記載の方法。
  8. マーカが、デバイスの第1層に設けた第1回折格子と、デバイスの第2層に設けた第2回折格子とを備え、第1回折格子および第2回折格子が、同じ周期を有し、光が、組み合わせた両方の回折格子によって回折するよう重ねて設けられ、回折格子間で測定された非対称性が、第1および第2層のオーバレイを示す、請求項6または7に記載の方法。
  9. 第1回折格子の線が、第2回折格子の線より細い、請求項8に記載の方法。
  10. シフトを使用して、第1および第2層のオーバレイを決定する、請求項8または9に記載の方法。
  11. オーバレイを、それぞれ第1および第2層に設けた第3および第4回折格子を使用して校正し、第3および第4回折格子を、第1および第2回折格子に隣接して設ける、請求項10に記載の方法。
  12. 第1記号のオーバレイ・オフセットを、第1、第2回折格子間に設け、反対の記号のオーバレイ・オフセットを、第3、第4回折格子間に設ける、請求項11に記載の方法。
  13. オフセットの大きさが、所望の最大オーバレイ測定値のオーダーである、請求項12に記載の方法。
  14. オフセットが100nmのオーダーである、請求項13に記載の方法。
  15. オーバレイの校正を使用して、デバイスの他の位置にあるさらなる回折格子を使用して取得したオーバレイ測定値を校正する、請求項11から14いずれか1項に記載の方法。
  16. 第1、第2、第3および第4回折格子に加えて、第1および第2層それぞれに第5および第6回折格子を設け、第5および第6回折格子が、オーバレイ測定の校正の正確さを向上させるために使用される異なるオフセットを有する、請求項11から15いずれか1項に記載の方法。
  17. 第1層または第2層で、さらなる回折格子を他の回折格子に隣接して設け、方法が、さらに、測定したシフトのセンサ誤差を決定するため、さらなる回折格子のシフトを測定することを含む、請求項11から16いずれか1項に記載の方法。
  18. 第1および第2回折格子に下位構造を設け、回折格子の一方の下位構造が位相跳躍を含み、したがって下位構造の相対位置の関数として、回折した光に非対称性が生じ、測定された非対称性が第1および第2層のオーバレイを示す、請求項8から17いずれか1項に記載の方法。
  19. 下位構造の機構サイズが、回折格子をデバイスに投影するために使用するリソグラフィ投影装置の解像力限界のオーダーである、請求項18に記載の方法。
  20. 下位構造の機構サイズが、回折格子に配向された光の波長に対して十分に大きく、したがって下位構造からの回折が生じて、第1層と第2層間で伝搬するが、下位構造の機構サイズは、下位構造からの回折が測定中に検出されないほど十分に小さい、請求項18または19に記載の方法。
  21. 第1および第2回折格子に、第1記号のオーバレイ・オフセットを設け、同じ下位構造を有する第3および第4回折格子に、反対の記号のオーバレイ・オフセットを設け、オフセットを使用してオーバレイ測定値を校正する、請求項18から20いずれか1項に記載の方法。
  22. 追加のさらなる回折格子を、第1層または第2層内で他の回折格子に隣接して設け、方法が、さらに、測定したシフトのセンサ誤差を決定するため、追加の回折格子のシフトを測定することを含む、請求項21に記載の方法。
  23. 下位構造の測定を使用して、下位構造の形状を再構築し、それにより測定したシフトをオーバレイに関連させる、請求項18から20いずれか1項に記載の方法。
  24. マーカが、デバイスの層に設けた第1回折格子と、デバイスの第2層に設けた第2回折格子を備え、第1回折格子および第2回折格子が、それぞれ異なる回折次数または波長で強力な回折を生成するよう選択された異なる周期を有し、マーカの非対称性が、第1および第2層のオーバレイに依存し、測定が、1つの回折次数または波長を測定することにより、第1回折格子の位置を測定することと、他の回折次数または波長を測定することにより、第2回折格子の位置を測定することとを含み、測定した位置間のシフトが、マーカの対称性と、第1および第2層のオーバレイとを示す、請求項6に記載の方法。
  25. 第1および第2回折格子の周期は、両方の回折格子が回折した光が、測定した回折次数と同じ周波数を有する強力な組合せ信号を生成しないよう選択される、請求項24に記載の方法。
  26. 第1および第2回折格子を重ねて設ける、請求項24または25に記載の方法。
  27. 第1および第2回折格子が空間的に分離される、請求項24または25に記載の方法。
  28. 第1回折格子を、回折格子対として第2回折格子の隣に配置する、請求項27に記載の方法。
  29. 第2回折格子と同じ周期を有する第3回折格子と、第1回折格子と同じ周期を有する第4回折格子とを備える第2回折格子対を設けることにより、回転誤差を回避し、第2対が第1回折格子対に対して、回折格子の線を横断する方向で横方向に変位する、請求項28に記載の方法。
  30. 一方の回折格子を、他方の回折格子の各側にある2つの列に分割し、分割は、回折格子の線の方向に対して横方向の軸線に沿う、請求項29に記載の方法。
  31. 第1回折格子と第2回折格子との両方を、2つ以上の交互の列に分割する、請求項30に記載の方法。
  32. 第1回折格子および第2回折格子が、回折格子の線の方向に対して横方向にある共通対称軸を有する、請求項31に記載の方法。
  33. 列の間隔によって画定された周期を有する回折格子を形成するよう、列を配置する、請求項31または32に記載の方法。
  34. 方法が、さらに、列の間隔によって画定される周期に平行な方向でオーバレイを示すため、第1回折格子によって回折された光と、第2回折格子によって回折された光との結合によって引き起こされるうなり周波数の強度を監視することを含む、請求項33に記載の方法。
  35. 捕捉誤差によって生じるオーバレイ誤差が、強力な結合を生じるよう、列の間隔を選択する、請求項34に記載の方法。
  36. オフセットを、他方の回折格子に対して回折格子の一方に導入し、第1回折格子によって回折する光と、第2回折格子によって回折する光との結合が最小になるよう、オフセットのサイズを選択する、請求項30から32いずれか1項に記載の方法。
  37. 方法が、さらに、デバイスの第1層に、第2回折格子と同じ周期を有する第3回折格子を設けることにより、センサ誤差を決定することと、デバイスの第2層に、第1回折格子と同じ周期を有する第4回折格子を設けることとを含み、第1および第2回折格子と第3および第4回折格子とのシフト測定値を比較することによって、センサ誤差を解消する、請求項24から36いずれか1項に記載の方法。
  38. マーカが、リソグラフィ投影装置の焦点の正確さを測定するよう配置された回折格子を備え、方法が、リソグラフィ投影装置のマスク上に、光学路長にステップを含む下位構造を有する回折格子を設けることを含み、リソグラフィ投影装置によって回折格子をデバイスに投影する間に、焦点誤差によって、投影された回折格子が変位し、投影された回折格子の隣接する線同士が反対方向に変位し、シフトによって測定される非対称性を生成するよう、ステップを選択する、請求項6に記載の方法。
  39. 光学路長のステップが、回折格子をデバイスに投影するために使用する光の波長のほぼ1/4の位相差を導入するようなステップである、請求項38に記載の方法。
  40. 回折格子の隣接する線の相対的幅を、異なるよう選択し、したがって投影された回折格子の非対称性が、シフトで測定するのに十分なほど大きい、請求項38または39に記載の方法。
  41. マーカが、リソグラフィ投影装置の微小寸法を測定するよう配置された回折格子を備え、方法が、さらに、リソグラフィ投影装置の解像限界と同じ、またはそのオーダーの周期を有する下位構造を有する回折格子を、デバイスに設けることを含み、下位構造が、回折格子の線を延長するよう配置され、それによって回折格子が非対称になり、さらに微小寸法を変化させ、下位構造の有効反射率を変更し、それによって回折格子の非対称性を変更し、変更した非対称性がシフトによって測定される、請求項6に記載の方法。
  42. マーカが、第1回折格子よび隣接する第2回折格子を備え、第1回折格子および第2回折格子が、それぞれ異なる回折次数で強力な回折を生じるよう選択された異なる周期を有し、したがって第1回折格子の位置測定が、一方の回折次数を測定することによって実行され、第2回折格子の位置測定が、他の回折次数を測定することによって実行され、方法は、マーカが処理効果から生じる非対称性を含むよう第1および第2回折格子を処理することと、処理がマーカに及ぼす効果を決定するために、第1位置と第2位置間のシフトを測定することを含む、請求項6に記載の方法。
  43. 処理効果を、既知の処理の非対称性について決定した以前のシフト測定値とシフトを比較することにより定量化する、請求項42に記載の方法。
  44. 第1および第2回折格子の位置測定の前に、第2回折格子から処理をクリアする、請求項42または43に記載の方法。
  45. マーカが、さらに、それぞれ第1および第2回折格子に対応する周期を有する第3回折格子および第4回折格子を備え、方法が、さらに、第3および第4回折格子を処理して、そこから処理をクリアすることと、測定位置間のシフトを決定するため、第3および第4回折格子の位置を測定することと、第1および第2回折格子について測定したシフトの誤差を補正するため、決定したシフトを使用することとを含む、請求項44に記載の方法。
  46. 第1および第2回折格子を使用し、請求項42から45いずれか1項に記載の方法を使用して、処理の非対称性を測定する、請求項24から37いずれか1項に記載の方法。
  47. オーバレイ測定の前に、第1回折格子を使用して、第2回折格子を含む像をデバイスに投影するため、位置合わせする位置を決定する、請求項24から37いずれか1項に記載の方法。
  48. オーバレイ測定の後に、第2回折格子を使用して、その後の像をデバイスに投影するため、位置合わせする位置を決定する、請求項47に記載の方法。
  49. 投影された像が、第2回折格子とは異なる周期を有する追加の回折格子を含み、方法が、さらに、その後の像をデバイスに投影するため、位置合わせする位置を決定するために追加の回折格子を使用することを含む、請求項47に記載の方法。
  50. デバイス上のマーカを露光した直後に、検査方法を実行する、請求項1から49いずれか1項に記載の方法。
  51. デバイス上のマーカの露光および露光後ベークの後に、検査方法を実行する、請求項1から49いずれか1項に記載の方法。
  52. デバイス上のマーカの露光およびハード・ベークの後に、検査方法を実行する、請求項1から49いずれか1項に記載の方法。
  53. デバイス上のマーカの露光および処理の後に、検査方法を実行する、請求項1から49いずれか1項に記載の方法。
  54. レジスト層をデバイスに適用した後、およびレジストを露光する前に、検査方法を実行し、マーカを、デバイスの1つまたは複数の処理済み層に設ける、請求項1から49いずれか1項に記載の方法。
  55. リソグラフィ投影装置内に位置するデバイスで方法を実行し、マーカの位置を使用して、デバイスの検査に加えて、リソグラフィ投影の位置合わせ情報を提供する、請求項1から54いずれか1項に記載の方法。
  56. デバイス検査装置で、デバイスに設けた非対称マーカに光を配向するよう配置した光源と、特定の波長または回折角度でマーカから回折した光を検出し、それによってマーカの位置の測定値を提供する検出器と、異なる波長または角度でマーカから回折した光を検出し、それによってマーカの位置の第2測定値を提供するよう配置された第2検出器と、測定した位置を比較して、マーカの非対称性の程度を示すシフトを決定するよう配置された比較手段とを備える装置。
  57. 装置がリソグラフィ投影装置内に位置する、請求項56に記載のデバイス検査装置。
  58. 装置が、投影装置に接続されたトラック内に位置する、請求項56に記載のデバイス検査装置。
  59. 装置を、リソグラフィ投影装置から分離されたハウジング内に設ける、請求項56に記載のデバイス検査装置。
  60. 請求項1から55のいずれか1項に記載の方法を実行するよう構成された、請求項56から59いずれか1項に記載のデバイス検査装置。
  61. デバイス検査装置で、デバイスに設けた位相回折格子に光を配向するよう配置された光源と、位相回折格子から回折した光を検出するよう配置された検出器と、検出した回折光を使用して検査情報を取得するよう配置された処理手段とを備える装置。
  62. 検査すべきデバイス上のマーカに光を配向するよう構成された広帯域光源と、少なくとも1つの基準格子を担持した平行移動式キャリアと、光を異なる波長に分離するよう構成された分光計回折格子と、異なる波長で光を検出するよう配置された検出器アレイとを備える計測ユニットで、使用時に、デバイス上のマーカで回折した光が、少なくとも1つの基準格子を通過し、分光計回折格子を介して検出器アレイへと向かうよう構成され、検出器アレイで周期的信号を検出するよう、基準格子を平行移動させる計測ユニット。
  63. 計測ユニットが、さらに、マーカから逆反射した光を検出するよう配置された反射率計を備える、請求項62に記載の計測ユニット。
  64. デバイス検査方法で、検査すべきデバイスに、デバイスの第1層に第1回折格子を、デバイスの第2層に第2回折格子を備える非対称マーカを設けることを含み、第1回折格子よび第2回折格子が同じ周期を有し、相互に重ねて設けられ、したがって両方の回折格子の組合せで光を回折し、マーカの非対称性が、第1および第2層のオーバレイに依存し、さらに光をマーカに配向することと、特定の波長または回折角度の回折光を検出することにより、マーカの位置の第1測定値を取得することと、異なる波長または回折角度の回折光を検出することにより、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度を示すシフトを決定するため、第1、第2測定位置を比較することとを含む方法。
  65. デバイス検査方法で、検査すべきデバイスに、デバイスの第1層に第1回折格子を、デバイスの第2層に第2回折格子を備える非対称マーカを設けることを含み、第1回折格子および第2回折格子が、異なる回折次数または異なる波長で強力な回折を生成するようそれぞれ選択された異なる周期を有し、マーカの非対称性が、第1および第2層のオーバレイに依存し、方法が、さらに、マーカに光を配向することと、特定の波長または回折次数の回折光を検出することにより、マーカの位置の第1測定値を取得することと、異なる波長または回折次数の回折光を検出することにより、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度と、第1および第2層のオーバレイとを示すシフトを決定するため、第1、第2測定位置を比較することとを含む方法。
  66. リソグラフィ投影装置の焦点の正確さを測定するデバイス検査方法で、光学路長にステップを含む下位構造を有する回折格子をリソグラフィ投影装置のマスクに設けることを含み、ステップが、回折格子の隣接する線同士で異なる記号であり、さらに回折格子をデバイスに投影するためにリソグラフィ投影装置を使用することを含み、投影した回折格子の隣接する線同士が、反対方向に変位して、ステップによる非対称マーカを形成し、さらに、特定の波長または回折角度の回折光を検出することにより、マーカの位置の第1測定値を取得することと、異なる波長または回折角度の回折光を検出することにより、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度および焦点誤差を示すシフトを決定するため、第1、第2測定位置を比較することとを含む方法。
  67. リソグラフィ投影装置の微小寸法を測定するデバイス検査方法で、検査すべきデバイスに、リソグラフィ投影装置の解像力限界の、またはそのオーダーの周期を有する下位構造を有する回折格子を備える非対称マーカを設けることを含み、基板は、回折格子の線を延長するよう配置され、それによって回折格子を非対称にし、微小寸法の変化が、下位構造の有効反射率を変更して、それによって回折格子の非対称性を変更し、方法が、さらに、マーカに光を配向することと、特定の波長または回折角度の回折光を検出することにより、マーカの位置の第1測定値を取得することと、異なる波長または回折角度の回折光を検出することにより、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度、およびリソグラフィ投影装置の微小寸法を示すシフトを決定するよう、第1、第2測定位置を比較することとを含む方法。
  68. デバイス検査方法で、検査すべきデバイスに、デバイスの第1層に第1回折格子を、デバイスの第2層に第2回折格子を備える非対称マーカを設けることを含み、第1回折格子および第2回折格子が、異なる回折次数または異なる波長で強力な回折を生成するようそれぞれ選択された異なる周期を有し、マーカの非対称性が、第1および第2層のオーバレイに依存し、方法が、さらに、マーカが処理効果から生じる非対称性を含むよう、第1および第2回折格子を処理することと、特定の波長または回折次数の回折光を検出することにより、マーカの位置の第1測定値を取得することと、異なる波長または回折次数の回折光を検出することにより、マーカの位置の第2測定値を取得することと、マーカの非対称性の程度および処理がマーカに与える効果を示すシフトを決定するため、第1、第2測定位置を比較することとを含む方法。
JP2003366656A 2002-09-20 2003-09-19 デバイス検査 Expired - Fee Related JP4222926B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US41186102P 2002-09-20 2002-09-20
US41360102P 2002-09-26 2002-09-26
EP03075954 2003-04-01
EP03076422A EP1477860A1 (en) 2003-05-12 2003-05-12 Lithographic marker structure compliant with microelectronic device processing

Publications (2)

Publication Number Publication Date
JP2004279405A true JP2004279405A (ja) 2004-10-07
JP4222926B2 JP4222926B2 (ja) 2009-02-12

Family

ID=33303964

Family Applications (10)

Application Number Title Priority Date Filing Date
JP2003366658A Expired - Lifetime JP4222927B2 (ja) 2002-09-20 2003-09-19 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2003366659A Expired - Fee Related JP4362347B2 (ja) 2002-09-20 2003-09-19 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2003366657A Pending JP2004282017A (ja) 2002-09-20 2003-09-19 リソグラフィ装置の位置決めシステムおよび方法
JP2003366656A Expired - Fee Related JP4222926B2 (ja) 2002-09-20 2003-09-19 デバイス検査
JP2007009156A Expired - Fee Related JP4166810B2 (ja) 2002-09-20 2007-01-18 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2007236876A Pending JP2007335906A (ja) 2002-09-20 2007-09-12 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2007267899A Pending JP2008034878A (ja) 2002-09-20 2007-10-15 リソグラフィ装置の位置決めシステムおよび方法
JP2008119913A Expired - Fee Related JP4422774B2 (ja) 2002-09-20 2008-05-01 マーカ構造、位置合わせ方法、超小型電子デバイス用の基板、およびリソグラフィ投影機器
JP2008307147A Expired - Fee Related JP4972628B2 (ja) 2002-09-20 2008-12-02 リソグラフィ装置の位置決めシステム
JP2012022300A Expired - Fee Related JP5508448B2 (ja) 2002-09-20 2012-02-03 アライメントマーク

Family Applications Before (3)

Application Number Title Priority Date Filing Date
JP2003366658A Expired - Lifetime JP4222927B2 (ja) 2002-09-20 2003-09-19 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2003366659A Expired - Fee Related JP4362347B2 (ja) 2002-09-20 2003-09-19 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2003366657A Pending JP2004282017A (ja) 2002-09-20 2003-09-19 リソグラフィ装置の位置決めシステムおよび方法

Family Applications After (6)

Application Number Title Priority Date Filing Date
JP2007009156A Expired - Fee Related JP4166810B2 (ja) 2002-09-20 2007-01-18 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2007236876A Pending JP2007335906A (ja) 2002-09-20 2007-09-12 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2007267899A Pending JP2008034878A (ja) 2002-09-20 2007-10-15 リソグラフィ装置の位置決めシステムおよび方法
JP2008119913A Expired - Fee Related JP4422774B2 (ja) 2002-09-20 2008-05-01 マーカ構造、位置合わせ方法、超小型電子デバイス用の基板、およびリソグラフィ投影機器
JP2008307147A Expired - Fee Related JP4972628B2 (ja) 2002-09-20 2008-12-02 リソグラフィ装置の位置決めシステム
JP2012022300A Expired - Fee Related JP5508448B2 (ja) 2002-09-20 2012-02-03 アライメントマーク

Country Status (6)

Country Link
US (12) US7332732B2 (ja)
JP (10) JP4222927B2 (ja)
KR (5) KR100597041B1 (ja)
CN (4) CN1506768B (ja)
SG (4) SG125923A1 (ja)
TW (4) TWI251722B (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006140500A (ja) * 2004-11-12 2006-06-01 Asml Netherlands Bv 多層基板の各層の位置合わせを制御するためのマーカー構造及び方法
JP2006518942A (ja) * 2003-02-22 2006-08-17 ケーエルエー−テンカー テクノロジィース コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
KR100718741B1 (ko) * 2004-12-27 2007-05-15 에이에스엠엘 네델란즈 비.브이. 다수의 정렬 구성들을 갖는 리소그래피 장치 및 정렬 측정방법
JP2008047900A (ja) * 2006-08-15 2008-02-28 Asml Netherlands Bv 角度分解分光リソグラフィキャラクタライゼーションのための方法および装置
JP2008258593A (ja) * 2007-03-07 2008-10-23 Asml Netherlands Bv インスペクション方法及び装置、リソグラフィ装置、リソグラフィプロセシングセル及びデバイス製造方法、これら方法で使用する基板
JP2009076936A (ja) * 2004-06-30 2009-04-09 Asml Netherlands Bv 不透明なゲート層の位置合わせ用マーカ、このようなマーカの製作方法、及びリソグラフィ機器でのこのようなマーカの使用
JP2009105433A (ja) * 2004-12-23 2009-05-14 Asml Netherlands Bv 2次元位置合わせ測定構成及び2次元位置合わせ測定方法を有したリソグラフィ装置
JP2009147328A (ja) * 2007-12-13 2009-07-02 Asml Netherlands Bv 位置合わせ方法、アライメントシステムおよびアライメントマークを有する製品
JP2009170899A (ja) * 2007-12-27 2009-07-30 Asml Netherlands Bv 基板上にアライメントマークを作成する方法および基板
JP2011123474A (ja) * 2009-11-16 2011-06-23 Seiko Epson Corp 偏光素子及びプロジェクター
JP2011170135A (ja) * 2010-02-19 2011-09-01 Seiko Epson Corp 偏光素子及びプロジェクター
JP2011170136A (ja) * 2010-02-19 2011-09-01 Seiko Epson Corp 偏光素子及びプロジェクター
JP2012146959A (ja) * 2010-11-30 2012-08-02 Asml Netherlands Bv 測定方法、装置および基板
JP2014030047A (ja) * 2006-03-31 2014-02-13 Kla-Encor Corp スキャトロメトリを用いてオーバレイ誤差を検出するための装置および方法
JP2015532733A (ja) * 2012-09-06 2015-11-12 ケーエルエー−テンカー コーポレイション 埋設sem構造オーバーレイ標的を用いたovlのためのデバイス相関計測法(dcm)
KR20170108015A (ko) * 2014-12-31 2017-09-26 상하이 마이크로 일렉트로닉스 이큅먼트(그룹) 컴퍼니 리미티드 오버레이 에러를 검출하는 방법 및 디바이스
JP2017532602A (ja) * 2014-10-14 2017-11-02 ケーエルエー−テンカー コーポレイション 画像ベースの測定および散乱測定ベースのオーバーレイ測定のための信号応答計計測
JP2020519928A (ja) * 2017-05-08 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
JP7377355B2 (ja) 2019-10-29 2023-11-09 エーエスエムエル ホールディング エヌ.ブイ. 較正システム及び較正方法

Families Citing this family (245)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
TWI251722B (en) 2002-09-20 2006-03-21 Asml Netherlands Bv Device inspection
JP4095391B2 (ja) 2002-09-24 2008-06-04 キヤノン株式会社 位置検出方法
SG120958A1 (en) * 2002-11-01 2006-04-26 Asml Netherlands Bv Inspection method and device manufacturing method
SG149702A1 (en) * 2002-12-16 2009-02-27 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
SG124270A1 (en) * 2002-12-16 2006-08-30 Asml Netherlands Bv Lithographic apparatus with alignment subsystem, device manufacturing method using alignment, and alignment structure
JP4101076B2 (ja) * 2003-02-06 2008-06-11 キヤノン株式会社 位置検出方法及び装置
US7025498B2 (en) * 2003-05-30 2006-04-11 Asml Holding N.V. System and method of measuring thermal expansion
US7565219B2 (en) * 2003-12-09 2009-07-21 Asml Netherlands B.V. Lithographic apparatus, method of determining a model parameter, device manufacturing method, and device manufactured thereby
KR101026935B1 (ko) * 2003-12-10 2011-04-04 엘지디스플레이 주식회사 디스펜서 정렬장치 및 그 방법
JP2005233828A (ja) * 2004-02-20 2005-09-02 Canon Inc Euv光スペクトル測定装置およびeuv光のパワー算出方法
US7265366B2 (en) * 2004-03-31 2007-09-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7259828B2 (en) * 2004-05-14 2007-08-21 Asml Netherlands B.V. Alignment system and method and device manufactured thereby
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
EP1645893A1 (de) * 2004-10-08 2006-04-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beugungsgitter für elektromagnetische Strahlung sowie Verfahren zur Herstellung
DE112005002970T5 (de) * 2004-11-30 2007-10-25 Kabushiki Kaisha Yaskawa Denki Ausrichtungsvorrichtung
US20060138681A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Substrate and lithography process using the same
KR100636492B1 (ko) 2005-01-05 2006-10-18 삼성에스디아이 주식회사 기판과 마스크의 정렬장치 및 정렬방법
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US7408624B2 (en) * 2005-06-30 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
JP4509974B2 (ja) * 2005-06-30 2010-07-21 エーエスエムエル ネザーランズ ビー.ブイ. レチクル予備位置合わせセンサ用一体照明システムがあるエンドエフェクタ
US7414722B2 (en) * 2005-08-16 2008-08-19 Asml Netherlands B.V. Alignment measurement arrangement and alignment measurement method
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
TW200715075A (en) * 2005-09-16 2007-04-16 Mapper Lithography Ip Bv Lithography system and projection method
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US20070146708A1 (en) * 2005-11-24 2007-06-28 Nikon Corporation Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method
US7557903B2 (en) * 2005-12-08 2009-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007184342A (ja) * 2006-01-05 2007-07-19 Nikon Corp 露光システム、露光方法、及びデバイス製造方法
US7897058B2 (en) * 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
SG178791A1 (en) * 2006-02-21 2012-03-29 Nikon Corp Pattern forming apparatus, mark detecting apparatus, exposure apparatus, pattern forming method, exposure method and device manufacturing method
TWI297920B (en) * 2006-02-22 2008-06-11 Advanced Semiconductor Eng Compact camera module and its substrate
US20080013062A1 (en) * 2006-03-23 2008-01-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
US20080013090A1 (en) * 2006-03-29 2008-01-17 Nikon Corporation Measurement method, measurement unit, processing unit, pattern forming method , and device manufacturing method
JP4839127B2 (ja) * 2006-05-10 2011-12-21 株式会社日立ハイテクノロジーズ 校正用標準部材及びこれを用いた校正方法および電子ビーム装置
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008007173A1 (en) * 2006-07-06 2008-01-17 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
EP2991101B1 (en) * 2006-08-31 2017-04-12 Nikon Corporation Exposure method and apparatus, and device manufacturing method
CN100456142C (zh) * 2006-10-18 2009-01-28 上海微电子装备有限公司 一种对准标记及其制造方法
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
JP5425363B2 (ja) * 2006-11-28 2014-02-26 ルネサスエレクトロニクス株式会社 半導体装置、及び表示装置
US7923265B2 (en) * 2006-11-28 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improving critical dimension proximity control of patterns on a mask or wafer
US8010307B2 (en) * 2006-12-07 2011-08-30 Hermes-Microvision, Inc. In-line overlay measurement using charged particle beam system
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US8609441B2 (en) * 2006-12-12 2013-12-17 Asml Netherlands B.V. Substrate comprising a mark
US8722179B2 (en) * 2006-12-12 2014-05-13 Asml Netherlands B.V. Substrate comprising a mark
JP4858146B2 (ja) * 2006-12-14 2012-01-18 大日本印刷株式会社 フォトマスクおよび転写方法
KR100795665B1 (ko) 2006-12-28 2008-01-21 동부일렉트로닉스 주식회사 반도체 장치 검사 방법
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080171422A1 (en) * 2007-01-11 2008-07-17 Tokie Jeffrey H Apparatus and methods for fabrication of thin film electronic devices and circuits
US20080175468A1 (en) * 2007-01-24 2008-07-24 Hermes Microvision, Inc. Method and system for creating knowledge and selecting features in a semiconductor device
US7684011B2 (en) 2007-03-02 2010-03-23 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7999912B2 (en) * 2007-05-08 2011-08-16 Asml Netherlands B.V. Lithographic apparatus and sensor calibration method
US20090246896A1 (en) * 2007-07-19 2009-10-01 Melissa Kreger Method and apparatus for improved printed cathodes for organic electronic devices
US8233207B2 (en) * 2007-08-06 2012-07-31 Abariscan Gmbh Method and apparatus for reactive optical correction of galvano motor scanning heads
US7847938B2 (en) * 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
US8482732B2 (en) * 2007-10-01 2013-07-09 Maskless Lithography, Inc. Alignment system for various materials and material flows
JP2009097871A (ja) * 2007-10-12 2009-05-07 Sony Corp 部材所定位置の検出装置
JP2009099873A (ja) * 2007-10-18 2009-05-07 Canon Inc 露光装置およびデバイス製造方法
NL1036179A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
NL1036191A1 (nl) * 2007-12-05 2009-06-08 Asml Netherlands Bv Marker structure and method of forming the same.
JP5006889B2 (ja) 2008-02-21 2012-08-22 エーエスエムエル ネザーランズ ビー.ブイ. 粗ウェーハ位置合わせ用マーク構造及びこのようなマーク構造の製造方法
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
EP2267766A4 (en) * 2008-03-17 2013-04-24 Tokyo Electron Ltd CONTROL DEVICE AND CONTROL METHOD
NL1036702A1 (nl) * 2008-04-15 2009-10-19 Asml Holding Nv Diffraction elements for alignment targets.
WO2009134708A1 (en) * 2008-04-28 2009-11-05 University Of North Carolina At Charlotte Dynamic metrology methods and systems
KR100975832B1 (ko) * 2008-05-21 2010-08-13 윈텍 주식회사 압흔 검사장치 및 방법
TWI436313B (zh) * 2008-05-22 2014-05-01 Creator Technology Bv 具有彎曲基板的堆疊顯示器,電子設備及其製造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
WO2010009930A1 (en) * 2008-06-02 2010-01-28 Asml Netherlands B.V. Sub-wavelength segmentation in measurement targets on substrates
US8665417B2 (en) * 2008-06-11 2014-03-04 Asml Netherlands B.V. Apparatus and method for inspecting a substrate
NL2003292A (en) 2008-09-08 2010-03-15 Asml Netherlands Bv A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
TW201015230A (en) 2008-10-03 2010-04-16 Univ Nat Chiao Tung Immersion inclined lithography apparatus and tank thereof
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
DE102009060277A1 (de) 2008-12-24 2010-09-02 X-Fab Semiconductor Foundries Ag Verfahren zur Herstellung von Justiermarken für licht-undurchlässige bzw. licht-absorbierende Schichten (Light-Shield-Resistmasken)
CN101526750B (zh) * 2009-01-13 2011-06-29 上海微电子装备有限公司 用于光刻设备的对准系统及应用其的光刻设备
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US8039366B2 (en) * 2009-02-19 2011-10-18 International Business Machines Corporation Method for providing rotationally symmetric alignment marks for an alignment system that requires asymmetric geometric layout
CN101487992B (zh) * 2009-03-04 2010-10-20 上海微电子装备有限公司 一种硅片标记捕获系统与方法
NL2004216A (en) * 2009-03-26 2010-09-28 Asml Netherlands Bv Alignment measurement arrangement, alignment measurement method, device manufacturing method and lithographic apparatus.
WO2010130516A1 (en) * 2009-05-11 2010-11-18 Asml Netherlands B.V. Method of determining overlay error
JP5326811B2 (ja) * 2009-05-22 2013-10-30 住友電気工業株式会社 半導体光素子を作製する方法
US8164753B2 (en) * 2009-06-05 2012-04-24 Nanya Technology Corp. Alignment mark arrangement and alignment mark structure
US8313877B2 (en) * 2009-06-12 2012-11-20 Micron Technology, Inc. Photolithography monitoring mark, photolithography mask comprising an exposure monitoring mark, and phase shift mask comprising an exposure monitoring mark
JP5391333B2 (ja) * 2009-06-17 2014-01-15 エーエスエムエル ネザーランズ ビー.ブイ. オーバレイ測定方法、リソグラフィ装置、検査装置、処理装置、及びリソグラフィ処理セル
CN101943865B (zh) * 2009-07-09 2012-10-03 上海微电子装备有限公司 一种用于光刻设备的对准标记和对准方法
KR101116321B1 (ko) * 2009-08-21 2012-03-09 에이피시스템 주식회사 기판 정렬 방법
US8804137B2 (en) * 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
CN101634815B (zh) * 2009-08-31 2011-06-29 上海微电子装备有限公司 一种基于多个不同波长的对准方法
CN102024689B (zh) * 2009-09-11 2012-09-19 中芯国际集成电路制造(上海)有限公司 提高多晶硅栅制作工艺中对准性能的方法
NL2005332A (en) * 2009-10-13 2011-04-14 Asml Netherlands Bv Inspection method and apparatus.
US8502324B2 (en) * 2009-10-19 2013-08-06 Freescale Semiconductor, Inc. Semiconductor wafer having scribe lane alignment marks for reducing crack propagation
EP2494578B1 (en) 2009-10-26 2016-06-15 Mapper Lithography IP B.V. Charged particle multi-beamlet lithography system, with modulation device
JP5800456B2 (ja) * 2009-12-16 2015-10-28 キヤノン株式会社 検出器、インプリント装置及び物品の製造方法
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
EP2537069B1 (en) * 2010-02-19 2020-03-04 ASML Netherlands BV Lithographic apparatus and device manufacturing method
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
CN102253602A (zh) * 2010-05-18 2011-11-23 上海微电子装备有限公司 一种光刻系统中实时控制照明剂量的装置
CN102253603B (zh) * 2010-05-21 2013-05-22 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
KR20120000846A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 웨이퍼의 정렬 방법 및 공정 모니터링 방법
CN102314091B (zh) * 2010-07-01 2013-07-17 上海微电子装备有限公司 一种可调节对准系统照明光斑尺寸的光刻机
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007177A (en) * 2010-09-13 2012-03-14 Asml Netherlands Bv Alignment measurement system, lithographic apparatus, and a method to determine alignment of in a lithographic apparatus.
CN102402140B (zh) * 2010-09-17 2014-02-19 上海微电子装备有限公司 一种对准系统
US8669507B2 (en) 2010-10-22 2014-03-11 Industrial Technology Research Institute Laser scanning device
NL2007425A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
KR101492205B1 (ko) 2010-11-12 2015-02-10 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법
JP5830853B2 (ja) 2010-12-14 2015-12-09 ソニー株式会社 撮像レンズ及び撮像装置
JP5589815B2 (ja) 2010-12-14 2014-09-17 ソニー株式会社 撮像レンズ及び撮像装置
CN102540743B (zh) * 2010-12-22 2015-03-25 上海微电子装备有限公司 用于光刻设备的参考光栅装调装置及方法
CN102566337B (zh) * 2010-12-28 2014-05-21 上海微电子装备有限公司 一种标记期望位置确定方法
CN102566338B (zh) * 2010-12-28 2013-11-13 上海微电子装备有限公司 光刻对准系统中对对准位置进行修正的方法
KR20120086073A (ko) 2011-01-25 2012-08-02 삼성전자주식회사 오버레이 계측 방법 및 그 장치
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2008111A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
CN102692827B (zh) * 2011-03-21 2015-07-22 上海微电子装备有限公司 一种用于光刻设备的对准装置
WO2012126684A1 (en) * 2011-03-24 2012-09-27 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
TW201248336A (en) 2011-04-22 2012-12-01 Mapper Lithography Ip Bv Lithography system for processing a target, such as a wafer, and a method for operating a lithography system for processing a target, such as a wafer
EP2699967B1 (en) * 2011-04-22 2023-09-13 ASML Netherlands B.V. Position determination in a lithography system using a substrate having a partially reflective position mark
US9383662B2 (en) 2011-05-13 2016-07-05 Mapper Lithography Ip B.V. Lithography system for processing at least a part of a target
CN102890433B (zh) * 2011-07-20 2015-03-25 上海微电子装备有限公司 一种用于光刻设备的对准装置和对准方法
US8582114B2 (en) 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
CN103019052B (zh) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
CN103092011B (zh) * 2011-11-01 2015-08-26 上海微电子装备有限公司 用于光刻系统的对准装置
CN103135371B (zh) * 2011-12-02 2015-02-11 上海微电子装备有限公司 基于分束偏折结构的小光斑离轴对准系统
NL2009719A (en) * 2011-12-02 2013-06-05 Asml Netherlands Bv Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus.
KR20130064486A (ko) * 2011-12-08 2013-06-18 삼성디스플레이 주식회사 광투과율 제어가 가능한 표시장치
CN103197418B (zh) * 2012-01-10 2015-06-17 上海微电子装备有限公司 一种对准4f光学系统
US9360778B2 (en) 2012-03-02 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography patterning
JP5665784B2 (ja) 2012-03-16 2015-02-04 株式会社東芝 フォトマスクおよびパターン形成方法
DE102012204674B4 (de) * 2012-03-23 2014-11-27 Carl Zeiss Smt Gmbh Strahlregelungsvorrichtung für einen EUV-Beleuchtungsstrahl
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
KR102231730B1 (ko) 2012-06-26 2021-03-24 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
DE102012211549B3 (de) * 2012-07-03 2013-07-04 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
JP6133980B2 (ja) * 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
JP5936479B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
JP5936478B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
US9243896B2 (en) * 2012-08-15 2016-01-26 Nikon Corporation Two axis encoder head assembly
WO2014026819A2 (en) 2012-08-16 2014-02-20 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
WO2014062615A2 (en) * 2012-10-15 2014-04-24 North Carolina State University Direct write lithography for the fabrication of geometric phase holograms
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
JP6367209B2 (ja) * 2012-10-26 2018-08-01 マッパー・リソグラフィー・アイピー・ビー.ブイ. リソグラフィシステムにおいて基板の位置を測定すること
NL2011726A (en) 2012-11-05 2014-05-08 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
WO2014081913A1 (en) * 2012-11-21 2014-05-30 Kla-Tencor Corporation Process compatible segmented targets and design methods
CN103972119B (zh) * 2013-01-25 2016-08-03 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
JP6185724B2 (ja) * 2013-02-20 2017-08-23 キヤノン株式会社 露光装置および物品の製造方法
US9030661B1 (en) 2013-03-15 2015-05-12 Kla-Tencor Corporation Alignment measurement system
JP2014225428A (ja) * 2013-04-24 2014-12-04 キヤノン株式会社 荷電粒子線照射装置、荷電粒子線の照射方法及び物品の製造方法
JP6193611B2 (ja) * 2013-04-30 2017-09-06 キヤノン株式会社 描画装置、及び物品の製造方法
JP6465540B2 (ja) * 2013-07-09 2019-02-06 キヤノン株式会社 形成方法及び製造方法
CN103411538B (zh) * 2013-07-20 2016-03-02 中国科学技术大学 一种数字式波长编码光学绝对位移传感器
CN104345571B (zh) * 2013-07-24 2016-08-10 中芯国际集成电路制造(上海)有限公司 对准标记的成像和测量装置、光刻装置
US9257351B2 (en) * 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
TW201520702A (zh) * 2013-11-19 2015-06-01 Huang Tian Xing 對準誤差補償方法、系統,及圖案化方法
US10082417B2 (en) * 2013-12-30 2018-09-25 Nordson Corporation Calibration methods for a viscous fluid dispensing system
CN104898376B (zh) * 2014-03-03 2017-12-29 上海微电子装备(集团)股份有限公司 投影光刻机的离轴对准装置用于离轴对准的方法
JP6616818B2 (ja) * 2014-03-21 2019-12-04 カルペ ディエム テクノロジーズ,インク. 可撓性基板上に微細構造体を製造するシステムおよび方法
WO2015172963A1 (en) * 2014-05-13 2015-11-19 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
JP6341883B2 (ja) * 2014-06-27 2018-06-13 キヤノン株式会社 位置検出装置、位置検出方法、インプリント装置及び物品の製造方法
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
CN105511238B (zh) * 2014-09-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 光刻对准标记结构及形成方法、半导体结构的形成方法
KR20180103184A (ko) * 2015-01-28 2018-09-18 가부시키가이샤 도교 세이미쓰 레이저 다이싱 장치
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
NL2017120A (en) * 2015-07-16 2017-01-17 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
CN106569386B (zh) * 2015-10-08 2019-12-10 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
NL2017739A (en) * 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10115621B2 (en) 2016-05-13 2018-10-30 Globalfoundries Inc. Method for in-die overlay control using FEOL dummy fill layer
EP3506012A4 (en) * 2016-08-24 2020-04-15 Nikon Corporation MEASURING SYSTEM, SUBSTRATE PROCESSING SYSTEM, AND DEVICE MANUFACTURING METHOD
CN107976869B (zh) * 2016-10-24 2023-06-30 上海微电子装备(集团)股份有限公司 一种工件台非正交校正方法及校正装置
US10352967B2 (en) * 2016-11-11 2019-07-16 Fluke Corporation Non-contact electrical parameter measurement systems
NL2019924A (en) * 2016-12-14 2018-06-18 Asml Netherlands Bv An optical device and associated systems
JP6971567B2 (ja) * 2016-12-16 2021-11-24 キヤノン株式会社 位置合わせ装置、位置合わせ方法、リソグラフィ装置、および物品製造方法
KR102260942B1 (ko) 2016-12-28 2021-06-04 에이에스엠엘 홀딩 엔.브이. 계측 툴 및 계측 툴 사용 방법
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
JP2020505638A (ja) * 2017-01-25 2020-02-20 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の構造を測定するための方法及び装置
US11982521B2 (en) * 2017-02-23 2024-05-14 Nikon Corporation Measurement of a change in a geometrical characteristic and/or position of a workpiece
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
NL2018856B1 (en) * 2017-05-05 2018-11-14 Suss Microtec Lithography Gmbh Method and device for aligning a first substrate with a second substrate
CN110603492B (zh) * 2017-05-08 2022-07-08 Asml荷兰有限公司 量测传感器、光刻装置以及用于制造器件的方法
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
JP6917472B2 (ja) 2017-05-15 2021-08-11 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジセンサ、リソグラフィ装置、及びデバイスを製造するための方法
JP7152877B2 (ja) * 2017-06-15 2022-10-13 キヤノン株式会社 検出装置、リソグラフィー装置および物品製造方法
DE102017113419A1 (de) 2017-06-19 2018-12-20 Keba Ag Vorrichtung und Verfahren zum Bestimmen eines Winkels zwischen zwei Werkstückflächen
EP3422103A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
US10508971B2 (en) * 2017-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Optical test system and method for determining size of gap between two substrates of optical element
EP3467588A1 (en) * 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
WO2019105664A1 (en) * 2017-11-29 2019-06-06 Asml Netherlands B.V. Laser beam monitoring system
US10650111B2 (en) 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10429743B2 (en) * 2017-11-30 2019-10-01 International Business Machines Corporation Optical mask validation
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
JP7002383B2 (ja) * 2018-03-22 2022-02-04 キオクシア株式会社 位置計測用光源の品質管理方法および半導体製造装置
US11422292B1 (en) * 2018-06-10 2022-08-23 Apple Inc. Super-blazed diffractive optical elements with sub-wavelength structures
US11199719B2 (en) * 2018-06-13 2021-12-14 Magic Leap, Inc. System and method for qualifying a multi-layered optical stack for an optical projection system
JP7118148B2 (ja) * 2018-06-27 2022-08-15 東京エレクトロン株式会社 膜厚測定装置及び補正方法
CN110657743B (zh) * 2018-06-28 2021-08-31 上海微电子装备(集团)股份有限公司 一种栅格误差的测量方法、测量装置以及光学设备
US10772141B2 (en) 2018-06-28 2020-09-08 The Chinese University Of Hong Kong System and method for peer-to-peer wireless communication
US11333985B2 (en) * 2018-07-06 2022-05-17 Asml Netherlands B.V. Position sensor
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10481379B1 (en) 2018-10-19 2019-11-19 Nanotronics Imaging, Inc. Method and system for automatically mapping fluid objects on a substrate
KR20200072311A (ko) 2018-12-12 2020-06-22 삼성전자주식회사 반도체 소자 제조 방법
CN109786228B (zh) * 2019-01-22 2021-04-16 上海华虹宏力半导体制造有限公司 形成对准标记的方法
CN113330534B (zh) * 2019-01-28 2023-01-13 科磊股份有限公司 莫尔标靶及其在测量半导体装置的偏移时的使用方法
NL2024850A (en) * 2019-02-21 2020-08-31 Asml Holding Nv Wafer alignment using form birefringence of targets or product
TWI716821B (zh) * 2019-02-22 2021-01-21 世界先進積體電路股份有限公司 晶圓結構
US10818606B1 (en) 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
CN110001221B (zh) * 2019-04-11 2021-04-02 淮安信息职业技术学院 喷码位置偏移的检测方法及装置
JP6842680B2 (ja) 2019-07-19 2021-03-17 株式会社安川電機 エンコーダ、サーボモータ、サーボシステム
KR20210012772A (ko) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 수직형 반도체 장치 및 그 제조 방법
CN110379941A (zh) * 2019-07-31 2019-10-25 昆山梦显电子科技有限公司 高分辨率Micro-OLED的制备方法以及显示模组
KR102273278B1 (ko) * 2019-09-10 2021-07-07 (주)오로스 테크놀로지 오버레이 측정장치
CN110568701A (zh) * 2019-09-25 2019-12-13 上海创波光电科技有限公司 一种组合式二次成像视觉光源
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
US11270950B2 (en) * 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
CN114556409A (zh) * 2019-10-11 2022-05-27 应用材料公司 比较对准向量的裸片系统和方法
WO2021078474A1 (en) * 2019-10-21 2021-04-29 Asml Holding N.V. Apparatus for and method of sensing alignment marks
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN113093475A (zh) * 2020-01-08 2021-07-09 中芯国际集成电路制造(上海)有限公司 套刻精度检测方法及套刻偏差补偿方法
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11754767B1 (en) 2020-03-05 2023-09-12 Apple Inc. Display with overlaid waveguide
CN113448192B (zh) * 2020-03-26 2022-08-30 上海微电子装备(集团)股份有限公司 一种对准系统及光刻机
TWI730798B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 對準標記結構及影像感測器的製造方法
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
WO2022106182A1 (en) * 2020-11-17 2022-05-27 Asml Netherlands B.V. Metrology system and lithographic system
CN113065373B (zh) * 2021-03-16 2024-02-09 环鸿电子(昆山)有限公司 光学瞄准设备的自动化瞄准校正系统及方法
CN113725196A (zh) * 2021-08-31 2021-11-30 长江存储科技有限责任公司 半导体结构及其形成方法
US11815823B2 (en) 2021-10-15 2023-11-14 Applied Materials, Inc. Alignment mark for front to back side alignment and lithography for optical device fabrication
CN114061452A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号解算结果有效性评价方法及系统
EP4202550A1 (en) * 2021-12-22 2023-06-28 ASML Netherlands B.V. Substrate comprising a target arrangement, associated patterning device, lithographic method and metrology method
CN116819917B (zh) * 2023-08-31 2023-11-17 光科芯图(北京)科技有限公司 一种掩模板、曝光设备及掩模板对准方法

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE262510C (ja)
US4037969A (en) * 1976-04-02 1977-07-26 Bell Telephone Laboratories, Incorporated Zone plate alignment marks
NL7606548A (nl) 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
DE2820133A1 (de) * 1978-05-09 1979-11-15 Walter Ing Grad Fries Vorrichtung fuer die trockenentwicklung von lichtpausmaterial
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
NL186353C (nl) 1979-06-12 1990-11-01 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat voorzien van een opto-elektronisch detektiestelsel voor het bepalen van een afwijking tussen het beeldvlak van een projektielenzenstelsel en het substraatvlak.
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4355892A (en) * 1980-12-18 1982-10-26 Censor Patent- Und Versuchs-Anstalt Method for the projection printing
US4398824A (en) 1981-04-15 1983-08-16 Bell Telephone Laboratories, Incorporated Wafer tilt compensation in zone plate alignment system
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
DE3318980C2 (de) 1982-07-09 1986-09-18 Perkin-Elmer Censor Anstalt, Vaduz Vorrichtung zum Justieren beim Projektionskopieren von Masken
JPS5979527A (ja) 1982-10-29 1984-05-08 Hitachi Ltd パタ−ン検出装置
US4514858A (en) * 1983-03-15 1985-04-30 Micronix Partners Lithography system
JPH0732109B2 (ja) * 1983-10-07 1995-04-10 株式会社日立製作所 光露光方法
US4596467A (en) 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
NL8401710A (nl) 1984-05-29 1985-12-16 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat.
DD262510A1 (de) 1984-10-01 1988-11-30 Zeiss Jena Veb Carl Anordnung zur ausrichtung flaechenhafter gegenstaende
JPS61208220A (ja) 1985-03-13 1986-09-16 Matsushita Electric Ind Co Ltd 露光装置及び位置合わせ方法
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710026A (en) 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US4861162A (en) 1985-05-16 1989-08-29 Canon Kabushiki Kaisha Alignment of an object
CH667373A5 (de) 1985-05-22 1988-10-14 Bucher Guyer Ag Masch Verfahren zur klaerung von fluessigkeiten und anlage zur durchfuehrung desselben.
US4704033A (en) * 1986-03-06 1987-11-03 Micronix Corporation Multiple wavelength linear zone plate alignment apparatus and method
NL8600639A (nl) 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
US4748333A (en) * 1986-03-31 1988-05-31 Nippon Kogaku K. K. Surface displacement sensor with opening angle control
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
JPS6340316A (ja) * 1986-08-05 1988-02-20 Mitsubishi Electric Corp 半導体製造装置
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPS63220521A (ja) 1987-03-10 1988-09-13 Canon Inc 焦点合せ装置
DE3707711A1 (de) * 1987-03-11 1988-09-22 Hoechst Ag Oel-in-wasser-emulsionen, verfahren zu deren herstellung und deren verwendung
US4890529A (en) * 1987-06-15 1990-01-02 Grant Bruce M Luminescently outlined string instrument
JPS6414918A (en) * 1987-07-08 1989-01-19 Nikon Corp Stepper
JPS6414918U (ja) 1987-07-18 1989-01-25
US4857744A (en) 1987-07-29 1989-08-15 Hitachi, Ltd. Optical projection printing apparatus wherein wafer mark has a grating pitch in the sagittal plane of the first optical system
JPH0642448B2 (ja) 1987-09-30 1994-06-01 株式会社東芝 位置合わせ方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02170005A (ja) * 1988-12-23 1990-06-29 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5489986A (en) 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
EP0392085B1 (de) * 1989-04-12 1992-04-15 Landis & Gyr Betriebs AG Anordnung zur Messung einer Spurabweichung einer bewegbaren Folienbahn
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5543921A (en) * 1989-05-08 1996-08-06 Canon Kabushiki Kaisha Aligning method utilizing reliability weighting coefficients
JPH032504A (ja) 1989-05-30 1991-01-08 Nikon Corp 位置合わせ装置
JPH0335107A (ja) * 1989-06-30 1991-02-15 Toshiba Corp 相対位置検出用回折格子
JP2539047B2 (ja) 1989-08-02 1996-10-02 株式会社東芝 位置合せ方法
DE69013790T2 (de) * 1989-08-04 1995-05-04 Canon Kk Verfahren und Vorrichtung zur Positionsbestimmung.
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
JP2885439B2 (ja) 1989-10-06 1999-04-26 株式会社東芝 第1及び第2の物体の位置合せ方法及び装置
JP3077149B2 (ja) * 1990-01-22 2000-08-14 株式会社ニコン 測定装置、測定方法、及び露光装置、露光方法、及び回路パターンチップ
NL9000503A (nl) * 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JPH03257303A (ja) 1990-03-08 1991-11-15 Nec Corp 重ね合せ精度測定方法
JPH03262901A (ja) 1990-03-13 1991-11-22 Toshiba Corp 位置合わせ方法
JP2893823B2 (ja) 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
US5296891A (en) 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5418613A (en) 1990-11-20 1995-05-23 Canon Kabushiki Kaisha Method and apparatus for detecting the position of a substrate having first and second patterns of different sizes
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3275273B2 (ja) 1993-01-28 2002-04-15 株式会社ニコン アライメント装置及び露光装置
BE1006067A3 (nl) * 1992-07-01 1994-05-03 Imec Inter Uni Micro Electr Optisch systeem voor het afbeelden van een maskerpatroon in een fotogevoelige laag.
US5488230A (en) 1992-07-15 1996-01-30 Nikon Corporation Double-beam light source apparatus, position detecting apparatus and aligning apparatus
JPH06267824A (ja) * 1993-03-15 1994-09-22 Nikon Corp 露光方法
KR970003593B1 (en) 1992-09-03 1997-03-20 Samsung Electronics Co Ltd Projection exposure method and device using mask
JPH06177012A (ja) 1992-12-03 1994-06-24 Nikon Corp アライメント装置
US5596204A (en) * 1993-04-06 1997-01-21 Nikon Corporation Method for aligning processing areas on a substrate with a predetermined position in a static coordinate system
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
US5424548A (en) 1993-09-21 1995-06-13 International Business Machines Corp. Pattern specific calibration for E-beam lithography
CA2180941A1 (en) 1994-01-24 1995-07-27 Gregg M. Gallatin Grating-grating interferometric alignment system
US5614432A (en) * 1994-04-23 1997-03-25 Nec Corporation Method for manufacturing LDD type MIS device
US5601957A (en) 1994-06-16 1997-02-11 Nikon Corporation Micro devices manufacturing method comprising the use of a second pattern overlying an alignment mark to reduce flattening
JP3451603B2 (ja) * 1994-06-16 2003-09-29 株式会社ニコン 露光方法及び該露光方法に使用されるマスク
JP3824639B2 (ja) * 1994-08-02 2006-09-20 エイエスエムエル ネザランドズ ベスローテン フエンノートシャップ 基板上にマスクパターンを繰り返し写像する方法
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JP3257303B2 (ja) 1994-11-24 2002-02-18 株式会社デンソー アンチスキッド制御装置
JPH08167559A (ja) 1994-12-15 1996-06-25 Nikon Corp アライメント方法及び装置
JP3622249B2 (ja) 1995-02-01 2005-02-23 株式会社ニコン 位置検出方法及び装置
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5920378A (en) 1995-03-14 1999-07-06 Nikon Corporation Projection exposure apparatus
JP3590940B2 (ja) * 1995-04-27 2004-11-17 株式会社ニコン アライメント装置及び露光装置
US5995198A (en) * 1995-06-01 1999-11-30 Nikon Corporation Exposure apparatus
US5910647A (en) * 1995-06-12 1999-06-08 Circuits And Systems, Inc. Electronic weighing apparatus utilizing surface acoustic waves
KR0186068B1 (ko) * 1995-12-27 1999-04-01 문정환 리소그라피 장치의 위치 정렬 시스템
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
EP0821812B1 (en) * 1996-02-15 2000-05-10 Koninklijke Philips Electronics N.V. Method of determining the radiation dose in a lithographic apparatus, and test mask and apparatus for performing the method
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
WO1997035234A1 (en) * 1996-03-15 1997-09-25 Philips Electronics N.V. Alignment device and lithographic apparatus provided with such a device
JPH09320933A (ja) * 1996-05-28 1997-12-12 Nikon Corp 走査型露光装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH1055946A (ja) * 1996-08-08 1998-02-24 Nikon Corp 露光条件測定方法
JP2821441B2 (ja) * 1996-08-23 1998-11-05 山形日本電気株式会社 重ね合せずれ量の計測方法
US5920376A (en) * 1996-08-30 1999-07-06 Lucent Technologies, Inc. Method and system for panoramic viewing with curved surface mirrors
JP2787303B2 (ja) * 1996-11-05 1998-08-13 株式会社ニコン 位置合わせ装置、露光装置及び露光方法
DE69717975T2 (de) 1996-12-24 2003-05-28 Asml Netherlands Bv In zwei richtungen ausgewogenes positioniergerät, sowie lithographisches gerät mit einem solchen positioniergerät
JP2947196B2 (ja) * 1997-01-23 1999-09-13 日本電気株式会社 半導体基板および半導体装置の製造方法
DE69711929T2 (de) 1997-01-29 2002-09-05 Micronic Laser Systems Ab Taeb Verfahren und gerät zur erzeugung eines musters auf einem mit fotoresist beschichteten substrat mittels fokusiertem laserstrahl
US5969600A (en) * 1997-02-19 1999-10-19 Ranco Inc. Of Delware Dangerous condition warning device incorporating a time-limited hush mode of operation to defeat an audible low battery warning signal
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
DE69817491T2 (de) 1997-03-07 2004-06-17 Asml Netherlands B.V. Lithographisches belichtungsgerät mit einer ausserhalb der belichtungsachse liegenden ausrichtungsvorrichtung
USRE40043E1 (en) 1997-03-10 2008-02-05 Asml Netherlands B.V. Positioning device having two object holders
JPH10270346A (ja) 1997-03-24 1998-10-09 Nikon Corp 位置検出方法及びその装置、並びに露光装置
JP3466893B2 (ja) * 1997-11-10 2003-11-17 キヤノン株式会社 位置合わせ装置及びそれを用いた投影露光装置
US5952135A (en) * 1997-11-19 1999-09-14 Vlsi Technology Method for alignment using multiple wavelengths of light
WO1999027567A1 (fr) * 1997-11-20 1999-06-03 Nikon Corporation Procede de detection de marque et capteur de position de marque
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US5933744A (en) * 1998-04-02 1999-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment method for used in chemical mechanical polishing process
JP3067732B2 (ja) * 1998-04-02 2000-07-24 日本電気株式会社 半導体装置のアライメント装置及びアライメント方法
JP3159168B2 (ja) 1998-05-15 2001-04-23 日本電気株式会社 半導体装置とその製造方法
US6087733A (en) * 1998-06-12 2000-07-11 Intel Corporation Sacrificial erosion control features for chemical-mechanical polishing process
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
TW569083B (en) 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP3615430B2 (ja) 1999-08-20 2005-02-02 松下電器産業株式会社 認識マーク
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001185474A (ja) 1999-12-27 2001-07-06 Nikon Corp アライメント方法、アライメント装置、基板、マスク、及び露光装置
US6542243B2 (en) 2000-01-27 2003-04-01 Lambda Physik Ag Resonator optics monitoring method
JP2001267211A (ja) * 2000-03-16 2001-09-28 Nikon Corp 位置検出方法及び装置、並びに前記位置検出方法を用いた露光方法及び装置
JP3844940B2 (ja) * 2000-03-27 2006-11-15 株式会社東芝 マーク位置検出装置およびマーク位置検出方法
JP2002198303A (ja) * 2000-12-27 2002-07-12 Nikon Corp 露光装置、光学特性計測方法、及びデバイス製造方法
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
JP3297423B2 (ja) * 2000-08-09 2002-07-02 株式会社東芝 フォーカステストマスク、並びにそれを用いたフォーカス及び収差の測定方法
JP5180419B2 (ja) * 2000-08-30 2013-04-10 ケーエルエー−テンカー・コーポレーション 重ね合わせマーク、重ね合わせマークの設計方法および重ね合わせ測定の方法
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
TW556296B (en) * 2000-12-27 2003-10-01 Koninkl Philips Electronics Nv Method of measuring alignment of a substrate with respect to a reference alignment mark
TW526573B (en) 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
KR100500469B1 (ko) 2001-01-12 2005-07-12 삼성전자주식회사 정렬마크와 이를 이용하는 노광정렬시스템 및 그 정렬방법
US6819426B2 (en) * 2001-02-12 2004-11-16 Therma-Wave, Inc. Overlay alignment metrology using diffraction gratings
JP3914451B2 (ja) * 2001-02-26 2007-05-16 エーエスエムエル ネザーランズ ビー.ブイ. 測定された位置合わせマークの修正位置を決定するためのコンピュータプログラムと、デバイス製造方法と、該製造方法により製造されるデバイス
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
EP1256843A1 (en) * 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
EP1256849A1 (en) 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
KR100583693B1 (ko) * 2001-05-23 2006-05-25 에이에스엠엘 네델란즈 비.브이. 실질적으로 투과성인 공정층내에 정렬마크가 제공된 기판,상기 마크를 노광하는 마스크, 디바이스 제조방법 및 그디바이스
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
JP2004079585A (ja) * 2002-08-09 2004-03-11 Nikon Corp 結像特性計測方法及び露光方法
TWI251722B (en) * 2002-09-20 2006-03-21 Asml Netherlands Bv Device inspection
US6864956B1 (en) 2003-03-19 2005-03-08 Silterra Malaysia Sdn. Bhd. Dual phase grating alignment marks
US7494635B2 (en) * 2003-08-21 2009-02-24 Saint-Gobain Ceramics & Plastics, Inc. Boron nitride agglomerated powder
US20050204144A1 (en) 2004-03-10 2005-09-15 Kabushiki Kaisha Toshiba Image processing apparatus and personal information management program

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011155302A (ja) * 2003-02-22 2011-08-11 Kla-Tencor Corp 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2006518942A (ja) * 2003-02-22 2006-08-17 ケーエルエー−テンカー テクノロジィース コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2014042069A (ja) * 2003-02-22 2014-03-06 Kla-Encor Corp 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2012089896A (ja) * 2003-02-22 2012-05-10 Kla-Encor Corp 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2012032408A (ja) * 2003-02-22 2012-02-16 Kla-Encor Corp 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP4789798B2 (ja) * 2003-02-22 2011-10-12 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2019091094A (ja) * 2003-02-22 2019-06-13 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2009076936A (ja) * 2004-06-30 2009-04-09 Asml Netherlands Bv 不透明なゲート層の位置合わせ用マーカ、このようなマーカの製作方法、及びリソグラフィ機器でのこのようなマーカの使用
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
JP4583292B2 (ja) * 2004-11-12 2010-11-17 エーエスエムエル ネザーランズ ビー.ブイ. 多層基板の各層の位置合わせを制御するためのマーカー構造及び方法
US7879682B2 (en) 2004-11-12 2011-02-01 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
JP2006140500A (ja) * 2004-11-12 2006-06-01 Asml Netherlands Bv 多層基板の各層の位置合わせを制御するためのマーカー構造及び方法
JP2009105433A (ja) * 2004-12-23 2009-05-14 Asml Netherlands Bv 2次元位置合わせ測定構成及び2次元位置合わせ測定方法を有したリソグラフィ装置
KR100718741B1 (ko) * 2004-12-27 2007-05-15 에이에스엠엘 네델란즈 비.브이. 다수의 정렬 구성들을 갖는 리소그래피 장치 및 정렬 측정방법
JP2014030047A (ja) * 2006-03-31 2014-02-13 Kla-Encor Corp スキャトロメトリを用いてオーバレイ誤差を検出するための装置および方法
JP2008047900A (ja) * 2006-08-15 2008-02-28 Asml Netherlands Bv 角度分解分光リソグラフィキャラクタライゼーションのための方法および装置
JP4672704B2 (ja) * 2006-08-15 2011-04-20 エーエスエムエル ネザーランズ ビー.ブイ. 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
JP2008258593A (ja) * 2007-03-07 2008-10-23 Asml Netherlands Bv インスペクション方法及び装置、リソグラフィ装置、リソグラフィプロセシングセル及びデバイス製造方法、これら方法で使用する基板
JP2009147328A (ja) * 2007-12-13 2009-07-02 Asml Netherlands Bv 位置合わせ方法、アライメントシステムおよびアライメントマークを有する製品
US8072615B2 (en) 2007-12-13 2011-12-06 Asml Netherlands B.V. Alignment method, alignment system, and product with alignment mark
JP2009170899A (ja) * 2007-12-27 2009-07-30 Asml Netherlands Bv 基板上にアライメントマークを作成する方法および基板
JP2011123474A (ja) * 2009-11-16 2011-06-23 Seiko Epson Corp 偏光素子及びプロジェクター
JP2011170136A (ja) * 2010-02-19 2011-09-01 Seiko Epson Corp 偏光素子及びプロジェクター
JP2011170135A (ja) * 2010-02-19 2011-09-01 Seiko Epson Corp 偏光素子及びプロジェクター
JP2012146959A (ja) * 2010-11-30 2012-08-02 Asml Netherlands Bv 測定方法、装置および基板
JP2015532733A (ja) * 2012-09-06 2015-11-12 ケーエルエー−テンカー コーポレイション 埋設sem構造オーバーレイ標的を用いたovlのためのデバイス相関計測法(dcm)
JP2017532602A (ja) * 2014-10-14 2017-11-02 ケーエルエー−テンカー コーポレイション 画像ベースの測定および散乱測定ベースのオーバーレイ測定のための信号応答計計測
KR20170108015A (ko) * 2014-12-31 2017-09-26 상하이 마이크로 일렉트로닉스 이큅먼트(그룹) 컴퍼니 리미티드 오버레이 에러를 검출하는 방법 및 디바이스
JP2018502299A (ja) * 2014-12-31 2018-01-25 シャンハイ マイクロ エレクトロニクス イクイプメント(グループ)カンパニー リミティド オーバーレイ誤差を検出するための装置及び方法
US10268125B2 (en) 2014-12-31 2019-04-23 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Device and method for detecting overlay error
KR101966572B1 (ko) * 2014-12-31 2019-08-13 상하이 마이크로 일렉트로닉스 이큅먼트(그룹) 컴퍼니 리미티드 오버레이 에러를 검출하는 방법 및 디바이스
JP2020519928A (ja) * 2017-05-08 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
JP7377355B2 (ja) 2019-10-29 2023-11-09 エーエスエムエル ホールディング エヌ.ブイ. 較正システム及び較正方法

Also Published As

Publication number Publication date
US6844918B2 (en) 2005-01-18
TWI229243B (en) 2005-03-11
KR20040025867A (ko) 2004-03-26
TW200416500A (en) 2004-09-01
JP4972628B2 (ja) 2012-07-11
JP2009069163A (ja) 2009-04-02
US20040129900A1 (en) 2004-07-08
US7619738B2 (en) 2009-11-17
JP2008034878A (ja) 2008-02-14
JP2008211247A (ja) 2008-09-11
US20040130690A1 (en) 2004-07-08
JP2007214560A (ja) 2007-08-23
US7329888B2 (en) 2008-02-12
US7330261B2 (en) 2008-02-12
CN1495540B (zh) 2010-08-11
JP4166810B2 (ja) 2008-10-15
US20040114143A1 (en) 2004-06-17
KR100536632B1 (ko) 2005-12-14
SG152898A1 (en) 2009-06-29
CN1495540A (zh) 2004-05-12
TW200411337A (en) 2004-07-01
KR20040025866A (ko) 2004-03-26
US20050189502A1 (en) 2005-09-01
CN100337089C (zh) 2007-09-12
JP2004282019A (ja) 2004-10-07
US8139217B2 (en) 2012-03-20
TW200415449A (en) 2004-08-16
JP2007335906A (ja) 2007-12-27
CN1534271A (zh) 2004-10-06
CN1534387A (zh) 2004-10-06
US7880880B2 (en) 2011-02-01
US7297971B2 (en) 2007-11-20
JP2004282018A (ja) 2004-10-07
US20060091330A1 (en) 2006-05-04
US20060081791A1 (en) 2006-04-20
JP4422774B2 (ja) 2010-02-24
KR20040025865A (ko) 2004-03-26
KR100552455B1 (ko) 2006-02-20
JP4362347B2 (ja) 2009-11-11
US20060081792A1 (en) 2006-04-20
TWI227814B (en) 2005-02-11
JP4222927B2 (ja) 2009-02-12
KR100543536B1 (ko) 2006-01-20
SG125923A1 (en) 2006-10-30
TWI251722B (en) 2006-03-21
US20060081790A1 (en) 2006-04-20
KR20040025868A (ko) 2004-03-26
CN1506768A (zh) 2004-06-23
CN1506768B (zh) 2011-01-26
US20070176128A1 (en) 2007-08-02
KR20050102057A (ko) 2005-10-25
US20060086910A1 (en) 2006-04-27
JP2004282017A (ja) 2004-10-07
KR100632889B1 (ko) 2006-10-13
JP5508448B2 (ja) 2014-05-28
US20080180668A1 (en) 2008-07-31
US20110128520A1 (en) 2011-06-02
US7439531B2 (en) 2008-10-21
JP4222926B2 (ja) 2009-02-12
JP2012094915A (ja) 2012-05-17
SG125922A1 (en) 2006-10-30
CN100476599C (zh) 2009-04-08
TWI277842B (en) 2007-04-01
SG120949A1 (en) 2006-04-26
US7112813B2 (en) 2006-09-26
KR100597041B1 (ko) 2006-07-04
US7332732B2 (en) 2008-02-19
TW200421039A (en) 2004-10-16

Similar Documents

Publication Publication Date Title
JP4222926B2 (ja) デバイス検査
US7656518B2 (en) Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
US10001711B2 (en) Inspection method, lithographic apparatus, mask and substrate
US9436099B2 (en) Lithographic focus and dose measurement using a 2-D target
US8111398B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
US7619737B2 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
US8363220B2 (en) Method of determining overlay error and a device manufacturing method
US20090195768A1 (en) Alignment Mark and a Method of Aligning a Substrate Comprising Such an Alignment Mark
US10571812B2 (en) Method of calibrating focus measurements, measurement method and metrology apparatus, lithographic system and device manufacturing method
US20110013165A1 (en) Position calibration of alignment heads in a multi-head alignment system
CN111133384B (zh) 用于确定图案化过程参数的方法
JP4965376B2 (ja) 基板、検査装置、検査方法、リソグラフィ装置、およびリソグラフィセル
US10691030B2 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
CN101819384A (zh) 检验设备、光刻设备、光刻处理单元以及检验方法
US20080212097A1 (en) Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
CN107430349A (zh) 用于检查及量测的方法和设备
US8363218B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1400855A2 (en) Device inspection
US10317805B2 (en) Method for monitoring a characteristic of illumination from a metrology apparatus

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060303

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060510

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20060904

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070329

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20070522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070629

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070704

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070727

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20081106

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20081118

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111128

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121128

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131128

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees