KR100597041B1 - 디바이스 검사방법 및 장치 - Google Patents

디바이스 검사방법 및 장치 Download PDF

Info

Publication number
KR100597041B1
KR100597041B1 KR1020030065314A KR20030065314A KR100597041B1 KR 100597041 B1 KR100597041 B1 KR 100597041B1 KR 1020030065314 A KR1020030065314 A KR 1020030065314A KR 20030065314 A KR20030065314 A KR 20030065314A KR 100597041 B1 KR100597041 B1 KR 100597041B1
Authority
KR
South Korea
Prior art keywords
diffraction grating
diffraction
marker
gratings
overlay
Prior art date
Application number
KR1020030065314A
Other languages
English (en)
Other versions
KR20040025868A (ko
Inventor
예프레이 덴보에프아리에
보르네브뢰크프랑크
크라머휴고아우구스티누스요셉
듀사머시
판하렌리차트요하네스프란시스쿠스
키르스안토이네가스통마리에
크로이처저스틴로이드
판데르샤르마우리츠
판비요넨파울약퀴스
모스에버하르두스코르넬리스
야거피터빌렘헤어만
판데르란한스
루어만폴프랭크
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP03076422A external-priority patent/EP1477860A1/en
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20040025868A publication Critical patent/KR20040025868A/ko
Application granted granted Critical
Publication of KR100597041B1 publication Critical patent/KR100597041B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)

Abstract

디바이스 검사방법이 제공된다. 상기 방법은, 그 비대칭성의 형태가 검사될 파라미터에 종속하는 비대칭 마커를 검사될 디바이스 상에 제공하는 단계, 상기 마커에 광을 지향시키는 단계, 특정한 파장이나 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치측정값을 얻는 단계, 상이한 파장이나 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭의 정도를 나타내는 시프트를 판정하는 단계를 포함한다.

Description

디바이스 검사방법 및 장치{DEVICE INSPECTION METHOD AND APPARATUS}
본 발명의 실시예들은, 오직 예시로서, 다음의 도면들을 참조로 기재될 것이다:
도 1은 본 발명을 이용하여 검사될 디바이스의 제조에 이용될 리소그래피 투영장치를 개략적으로 나타낸 도면이고;
도 2는 본 발명을 이용하여 검사될 디바이스의 제조에 이용될 리소그래피 투영장치의 작업공정도(flow diagram)이고;
도 3 및 도 4는 본 발명에 따라 이용될 메트롤로지 유닛(metrology unit)을 개략적으로 나타낸 도면이고;
도 5는 본 발명에 따른 방법에 이용될 메트롤로지 회절격자(metrology diffraction grating)를 개략적으로 나타낸 도면이고;
도 6은 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자를 개략적으로 나타낸 도면이고;
도 7은 회절격자들간의 커플링(coupling)을 개략적으로 설명하는 도면이고;
고 8은 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자를 개략적으로 나타낸 도면이고;
도 9 및 도 10은 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자를 개략적으로 나타낸 도면이고;
도 11 및 도 12은 측정에러를 감소시키기 위하여 본 발명과 결합하여 이용될 방법을 개략적으로 설명하는 도면이고;
도 13 및 도 14는 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자를 개략적으로 나타낸 도면 및 메트롤로지 회절격자의 효과를 개략적으로 설명하는 도면이고;
도 15 내지 도 18은 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자를 개략적으로 나타낸 도면 및 그 메트롤로지 회절격자를 이용하여 얻어진 결과를 나타낸 도면이고;
도 19 및 도 20은 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자 및 메트롤로지 유닛을 개략적으로 나타낸 도면이고;
도 21 및 도 22는 본 발명에 따른 하나의 대안적 방법에 이용될 메트롤로지 회절격자를 개략적으로 나타낸 도면이고;
도 23은 본 발명에 따라 이용될 하나의 대안적 메트롤로지 유닛을 개략적으로 나타낸 도면이고;
도 24 내지 도 26은 본 발명에 따라 이용될 정렬 및 메트롤로지 방법을 개략적으로 나타낸 도면이고;
도 27은 회절격자를 사용하지 않고 본 발명이 구현될 수 있는 방법을 개략적으로 보여주는 도면이다.
상기 도면들에서 대응하는 참조부호는 대응하는 부분들을 가리킨다.
본 발명은 리소그래피 기술에 의하여 디바이스의 제조에 이용될 수 있는 검사 방법 및 디바이스 검사장치에 관한 것이다.
리소그래피 투영장치를 이용하는 제조 공정에서는, 방사선-감응물질(레지스트)층에 의해 적어도 부분적으로 도포된 기판상에 (예를 들면, 마스크 내의) 패턴이 묘화(imaging)된다. 이 묘화단계에 앞서서, 기판은 전처리(priming), 레지스트 코팅 및 소프트 베이크와 같은 다양한 과정을 거친다. 노광 후에, 상기 기판에 대해 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처의 검사와 같은 다른 과정이 행해질 것이다. 디바이스, 즉 IC의 개별층을 패터닝하는 기초로서 이러한 일련의 과정이 이용된다. 이렇게 패터닝된 층은, 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같이 개별층을 마무리하도록 의도된 다양한 공정을 거칠 것이다. 여러 개의 층이 요구된다면, 전체 과정 또는 그 변형이 각 새로운 층에 대하여 반복되어져야 할 것이다. 최종적으로 일련의 디바이스가 상기 기판(웨이퍼)상에 존재하게 될 것이다. 이 디바이스들은 다이싱이나 소잉과 같은 기술에 의하여 서로에 대해 분리되고, 그리고 개별 디바이스가 캐리어상에 장착되고, 핀에 접속되는 등의 과정을 거칠 것이다. 이러한 공정들에 대한 추가적인 정보는, 예를 들면 본 명세서에서 참고자료로 인용하고 있는 "Microchip Fabrication: A Practical Guide to Semiconductor Processing"(제3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)로부터 얻을 수 있다.
일반적으로 메트롤로지(metrology)라 칭하는, 레지스트 현상 후의 검사단계는 2가지 목적에 도움이 된다. 첫째, 현상된 레지스트내의 패턴에 결합이 있는 모든 목표 영역을 검출하는 것이 바람직하다. 만약 충분한 수의 다이가 결함이 있다면, 결함이 있는 패턴을 가진 채로 에치와 같은 공정단계를 수행함으로써 영구적인 결함품을 만들기 보다는, 웨이퍼로부터 패터닝된 레지스트를 잘라내고(strip of), 바람직하게 정확히 재노광하게 될 수 있다. 둘째, 상기 측정에 의하여 리소그래피 장치내의, 조명 설정 또는 노광 시간 등에 있어서의 에러가 검출되고 다음의 노광을 위해 정정될 수 있다.
메트롤로지 측정(metrology measurement)은 웨이퍼의 2개의 층 사이의 오버레이 에러(overlay error)를 판정하는데 이용될 수 있고, 웨이퍼의 특정층(주로 최상층)의 피처의 선폭(CD)이나 초점에러(focus error)를 판정하는데 이용될 수 있다. 이러한 메트롤로지 측정값을 얻을 수 있는 방법은 다양하다. 통상적으로, 이러한 것들은 리소그래피 장치로부터 분리된 장치내에서 수행된다. 분리된 장치내에서 수행되는 측정은 일반적으로 오프라인(off-line)이라고 칭해진다. 여러개의 리소그래피 장치에 의해 생산된 웨이퍼들에 대한 메트롤로지 측정을 수행하는데 단일 오프라인 장치가 이용될 수 있다.
오버레이를 측정하는데 사용되는, 공지된 제 1 오프라인 메트롤로지 장치는 웨이퍼 상에 프린트된 박스들의 묘화(imaging of boxes)에 기초를 두고 있는데, 제 1 박스는 제 1 층에 프린트되고 제 2 박스는 제 2 층에 프린트되어 있다. 이 장치는 초점에러를 측정하는데 이용될 수 있다. 상기 장치는 일반적으로 박스-인-박스(box-in-box) (또는 프레임-인-프레임)라고 언급된다. 상기 박스-인-박스 장치의 불리한 점은, 상기 장치가 단일 라인 이미지 검출에 의존하기 때문에 전반적인 정확성이 제한된다는 점이다.
공지의 제 2 오프라인 메트롤로지 장치는 주사형 전자현미경(SEM)을 포함한다. 이 장치에 의하면 웨이퍼의 표면에 대하여 아주 높은 분해능 측정이 가능하고, CD측정에 사용된다. 이 장치의 불리한 점은 속도가 느리고 비싸다는 점이다.
공지의 제 3 오프라인 메트롤로지 장치는 스캐터로미터(scatterometer)로 알려져 있다. 이 장치에 의하면 CD 및/또는 오버레이 측정이 가능하다. 스캐터로미터에서는, 현상된 레지스트내의 주기적 구조체(periodic structure)에 의해 백색광(white light)이 반사되고, 소정의 각도에서의 결과적인 반사 스펙트럼이 검출된다. 예를 들면 엄밀 결합파 분석법(RCWA)(Rigorous Coupled-Wave Analysis)을 이용하거나 시뮬레이션에 의해 도출된 스펙트럼의 라이브러리와 비교함으로써, 반사스펙트럼을 생기게 하는 구조체가 재구성된다. 그러나, 상기 구조체의 재구성은 아주 연산 집중적(computationally intensive)이고 상기 기술은 감도(sensitivity)가 낮고 반복성이 부족하다.
본 발명의 목적은 상기한 바와 같은 문제점들 중 적어도 하나를 해결하는 검사장치를 제공하는 것이다.
본 발명에 의하면 디바이스 검사방법이 제공되는데, 상기 방법은, 마커의 비대칭의 형태가 검사될 파라미터에 종속하는 비대칭 마커(asymmetric marker)를 검사될 디바이스상에 제공하는 단계, 상기 마커에 광을 지향시키는 단계, 특정 파장이나 회절각도의 회절광의 검출을 통하여 상기 마커의 위치의 제 1 위치측정값을 얻는 단계, 상이한 파장이나 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 상기 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭의 정도를 나타내는 시프트를 판정하는 단계를 포함한다.
상기 제 1 및 제 2 위치측정은, 다른 회절각도를 가지나 동일한 파장을 가지는 회절광의 검출을 포함할 수 있다. 대안적으로, 상기 제 1 및 제 2 위치측정은, 동일한 회절각도를 가지나 상이한 파장을 가지는 회절광의 검출을 포함할 수 있다. 또다른 대안으로는, 상기 제 1 및 제 2 위치측정은, 상이한 회절각도와 상이한 파장을 가지는 회절광의 검출을 포함할 수 있다.
상기 마커는 하나 이상의 회절격자(diffracion grating)를 포함할 수 있고 회절각도는 회절차수(diffraction order)를 포함할 수 있다.
상기 마커는 상기 디바이스의 제 1 층에 제공되는 제 1 회절격자 및 상기 디바이스의 제 2 층에 제공되는 제 2 회절격자를 포함하고, 상기 제 1 회절격자 및 제 2 회절격자는 동일한 주기를 가지며 다른 하나의 위에 하나가 위치되어 상기 광이 상기 두 회절격자에 의해 조합하여(in combination) 회절된다.
상기 마커는 상기 디바이스의 제 1 층에 제공되는 제 1 회절격자 및 상기 디바이스의 제 2 층에 제공되는 제 2 회절격자를 포함하고, 상기 제 1 회절격자 및 제 2 회절격자는 상이한 회절차수에서 강한 회절을 발생시키도록 각각 선택된 상이한 주기를 가져서, 하나의 회절차수를 측정함으로써 상기 제 1 회절격자의 위치측정값이 제공되고 다른 하나의 회절차수를 측정함으로써 상기 제 2 회절격자의 위치측정값이 제공되고, 상기 시프트가 상기 제 1 및 제 2 층의 오버레이를 나타낸다.
"강한 회절"이란 용어는 회절이 측정되기에 충분히 강한 것을 의미하는 것으로 의도되고, 바람직하게는 상기 두 회절격자로부터의 조합된 회절보다 강하다.
상기 마커는 리소그래피 투영장치의 초점 정확성을 측정하기 위해 배치된 하나의 위상 회절격자를 포함할 수 있고, 상기 방법은, 실질적으로 λ/4의 광경로 길이 내에 단차(step)를 포함하는 서브구조체를 갖는 위상 회절격자를 상기 리소그래피 투영장치의 마스크 상에 제공하는 단계를 포함하며, 상기 단차는 상기 회절격자의 인접 라인들과는 반대 방향들을 가지며, 상기 서브구조체의 주기는, 초점에러가 상기 리소그래피 장치에 의해 상기 디바이스 상으로 투영되는 경우 초점에러에 의하여 상기 위상 회절격자가 시프트하도록 선택되며, 상기 위상 회절격자의 인접 라인들은 상기 시프트에 의하여 측정된 비대칭을 발생시키는 반대방향으로 시프트된다.
상기 마커는 상기 리소그래피 투영장치내의 웨이퍼 상에 노광된 패턴의 선폭을 측정하기 위하여 배치된 하나의 회절격자를 포함할 수 있고, 상기 방법은, 상기 리소그래피 투영장치에 의해 묘화될 수 있는 선폭에서 또는 그 정도의 주기를 갖는 서브구조체를 가지는 회절격자를 웨이퍼 상에 묘화하는 단계를 포함하며, 상기 서브구조체는 상기 회절격자가 비대칭이 되도록 하는 상기 회절격자의 부가 라인을 형성하도록 배치되고, 상기 선폭의 변화가 상기 서브구조체의 유효 반사율을 변경시키고 이에 의해 상기 회절격자의 비대칭성을 변경시키며, 상기 변경된 비대칭성이 상기 시프트로서 검출된다.
본 발명은 또한 디바이스 검사장치를 제공하는데, 상기 장치는, 디바이스상에 제공된 비대칭 마커에 광을 지향시키도록 배치된 광원, 상기 마커로부터 특정 파장 또는 회절각도를 갖는 회절광을 검출하도록 배치되고 이에 의해 상기 마커의 위치측정값을 제공하는 검출기, 상기 마커로부터 상이한 파장 또는 회절각도를 갖는 회절광을 검출하도록 배치되고 이에 의해 상기 마커의 제 2 위치측정값을 제공하는 제 2 검출기, 및 시프트를 판정하기 위해 상기 측정된 위치들을 비교하도록 배치된 비교수단을 포함한다.
본 발명은 또한 디바이스 검사장치를 제공하는데, 상기 장치는, 디바이스 상에 제공된 위상 회절격자에 광을 지향시키도록 배치된 광원, 상기 위상 회절격자로부터 회절된 광을 검출하도록 배치된 검출기, 및 상기 검출된 회절광을 이용하여 검사정보를 얻도록 배치된 프로세싱 수단을 포함한다.
본 명세서에서는 본 발명에 따른 장치의 이용에 대하여 IC의 제조에 대해서만 특별히 언급될 것이나, 이러한 장치가 다른 많은 가능한 응용례를 가지고 있음이 명백히 이해되어야 할 것이다. 예를 들면, 상기 장치는 집적광학 시스템, 자기도메인 메모리에 대한 안내(guidance) 및 검출 패턴, 액정 표시 패널, 박막 자기 헤드 등의 제조에 응용될 수 있다. 본 발명이 속하는 기술분야의 당업자라면, 전술한 대안적인 응용례에 있어서는, 본 명세서에서의 "레티클", "웨이퍼" 또는 "다이" 와 같은 용어의 사용은 각각 "마스크", "기판" 및 "목표부"라는 좀더 일반적인 용어로 대체될수 있음이 이해될 것이다.
본 명세서에서는 "광(light)", "방사선(radiation)" 및 "빔(beam)"이라는 용어는, (365, 248, 193, 157 또는 126nm의 파장을 갖는) 자외선 및 (예를 들면 5 - 20 nm범위의 파장을 갖는) 극자외선(EUV) 뿐만 아니라 이온빔이나 전자빔과 같은 입자빔을 포함하는, 모든 형태의 전자 방사선을 포함하는 것으로 사용된다.
도 1은 본 발명에 따른 방법에 사용될 수 있는 리소그래피 투영장치를 개략적으로 도시한다. 상기 장치는:
· (예를 들면 DUV 방사선과 같은) 방사선의 투영빔(PB)을 공급하기 위한 방사선 시스템으로서, 특정한 경우에는 방사선원(LA)도 포함하는 방사선 시스템(Ex);
· (예를 들면 레티클과 같은) 마스크(MA)를 잡아주는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확하게 위치설정하기 위한 제 1 위치설정수단에 연결된 제 1 대물 테이블(마스크 테이블)(MT);
· (예를 들면 레지스트-코팅된 실리콘 웨이퍼와 같은) 기판(W)를 잡아주는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확하게 위치설정하기 위한 제 2 위치설정수단에 연결된 제 2 대물 테이블(기판 테이블)(WT);
·기판(W)의 (예를 들면 하나 이상의 다이를 포함하는) 목표부(C)상에 마스크(MA)의 조사된 부분을 묘화하는 (예를 들면 굴절 렌즈 시스템과 같은) 투영시스템("렌즈")(PL).
도시되지는 않았으나, 상기 장치는 (예를 들면, 투과성 마스크를 갖는) 투과 형이다. 그러나, 일반적으로 상기 장치는, 예를 들면 (반사형 마스크를 갖는) 반사형일 수 있다. 대안적으로 상기 장치는, 상기에 언급된 형태의 프로그램가능한 미러 어레이와 같은 다른 종류의 패터닝수단을 채용할 수 있다.
방사원(LA)(예를 들어, 엑시머 레이저)은 방사선의 빔을 생성한다. 상기 빔은 곧바로 조명시스템(일루미네이터)(IL)에 들어 가거나, 예를 들어 빔 익스펜더(Ex)와 같은 컨디셔닝 수단을 거친 다음에 조명시스템으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외반경 및/또는 내반경 크기(통상 각각 외측-σ 및 내측-σ라고 함)를 설정하는 조정수단(AM)을 포함하여 이루어진다. 또한 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 그 밖의 다른 다양한 구성요소들을 포함한다. 이러한 방식으로, 마스크(MA)에 입사하는 빔(PB)은 그 단면에 소정의 균일성과 세기분포를 갖게 된다.
도 1과 관련하여, 상기 방사원(LA)은 리소그패피투영장치의 하우징내에 놓이지만(예를 들어, 방사원(LA)이 흔히 수은 램프인 경우에서처럼), 그것이 리소그래피 투영장치로부터 멀리 떨어져 있어서 그것이 만들어 낸 방사선빔이 (가령, 적절한 지향거울에 의해) 장치 내부로 들어오게 할 수도 있다: 후자의 시나리오는 방사원(LA)이 엑시머레이저인 때에 흔한 경우이다. 본 발명과 청구범위는 이들 시나리오를 모두 포괄하고 있다.
이후, 상기 빔(PB)은 마스크테이블(MT)상에 잡혀있는 마스크(MA)를 거친다. 마스크(MA)를 거친 빔(PB)은 렌즈(PL)를 통과하여 기판(W)의 타겟부(C)위에 빔(PB)의 초점을 맞춘다. 제2위치설정수단(및 간섭계측정수단(IF))에 의하여, 기판테이 블(WT)은, 예를 들어 빔(PB)의 경로내에 상이한 타겟부(C)를 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치설정수단은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후에, 또는 스캔하는 동안, 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키도록 사용될 수 있다. 일반적으로 대물테이블(MT, WT)의 이동은, 도 1에 명확히 도시되지는 않았지만, 긴 행정모듈(long stroke module)(개략 위치설정) 및 짧은 행정모듈(미세 위치설정)의 도움을 받아 실현될 것이다. 하지만, (스텝-앤드-스캔장치와는 대조적으로) 웨이퍼스테퍼의 경우에는 마스크테이블(MT)이 단지 짧은 행정액츄에어터에만 연결될 수 있거나 또는 고정될 수도 있다.
상술한 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
스텝모드에서는, 마스크테이블(MT)은 기본적으로 정지상태로 유지되며, 전체 마스크이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)에 투영된다. 이후 기판테이블(WT)이 x 및/또는 y 방향으로 시프트되어 다른 타겟부(C)가 빔(PB)에 의하여 조사될 수 있다.
스캔 모드에서는, 소정 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는 기본적으로 동일한 시나리오가 적용된다. 그 대신에, 마스크테이블(MT)이 v의 속도로 소정방향(소위 "스캔방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지의 모든 부분을 스캐닝하도록 되고, 이와 함께 기판테이블(WT)은 속도 V=Mv로, 동일방향 또는 그 반대방향으로 동시에 이동하는데, 이 때 M은 렌즈(PL)의 배율(통상 M=1/4 또는 1/5)이다. 이러한 방식으로, 분해능을 떨어뜨리지 않고 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 2는 본 발명이 그 일부분을 형성하는 리소그래피 작업공정도이다. 도 1과 관련하여 상술된 바와 같은 리소그래피 장치를 이용하여 수행될 노광단계(S4) 이전에, 기판, 예를 들면 실리콘 웨이퍼는 전처리단계(priming step)(S1), 레지스트 층으로 코팅하는 스핀코팅단계(spin coating step)(S2) 및 레지스트로부터 용매를 제거하는 소프트 베이크(S3)의 과정을 거친다. 노광후에, 기판은 노광후 베이크(S5), 노광된 또는 노광되지 않은 레지스트(이는 레지스트가 포지티브인지 네거티브인지에 달려있음)가 제거되는 현상단계(S6), 및 검사단계(S8) 이전의 하드베이크(S7)을 거친다. 검사단계(S8)는 다양한 상이한 측정 및 검사를 포함하고 본 발명에 따르면 아래에서 더욱 기재될 메트롤로지 단계를 포함한다. 만약 웨이퍼가 검사를 통과하면, 공정단계(S9)가 수행된다. 이는 레지스트에 의해 도포되지 않는 기판 영역의 에칭, 제품층(product layer)의 퇴적, 금속화, 이온 주입 등을 포함할 것이다. 공정단계(S9) 이후에, 잔존하는 레지스트가 벗겨지고(strip)(S10), 또다른 층에 대해 상기 공정들이 다시 시작되기 전에 최종적인 검사(S11)가 수행될 것이다. S8에서 검사에 실패한 경우 기판은 바로 스트립 단계(S10)으로 향해질 것이고 동일한 공정층을 프린트하는 또다른 시도가 행해질 것이다. 비록 검사단계가 하드베이크(S7) 이후에 수행되는 것이 바람직하나, 어떤 경우에는 노광후 베이크(S5) 이후에 또는 심지어는 노광(S4) 이후에 바로 행해지는 질 수 있다. 이것이 행해지는 방식은 아래에 더욱 기술된다.
검사단계에서는 도 3에 도시된 형태의 메트롤로지 유닛이 이용된다. 이 메트 롤로지 유닛은, 예를 들면 본 명세서에서도 참조 인용되는 국제공개 WO 98/39689에 기재된 것과 같은, 종래 기술의 정렬유닛과 일치한다. 도 3을 참조하면, 기판 마크는 P1으로 표시된 회절격자의 형태로 제공된다. 회절격자에 입사하는 파장이 λ인 조명빔(b)은, 상기 회절격자상의 법선에 대해 상이한 각도(αn)(도시되지 않음)로 연장하는 많은 서브빔(sub-beam)으로 쪼개지는데(splitted up), 이 각도는 다음의 공지된 회절격자 공식으로 정의된다:
Figure 112003034813477-pat00001
여기서, n은 회절차수이고 P는 회절격자 주기이다. 본 명세서에서의 추가 사용을 위해 회절격자는 일련의 라인 및 공간로 정의된다. 세기 회절격자(intensity diffraction grating)에서는 상기 라인 및 공간이 상이한 반사율을 가지되, 모든 라인은 실질적으로 동일한 반사율을 갖고 모든 공간은 실질적으로 동일한 반사율을 갖는다. 편평한 파면(flat wavefront)을 갖는 방사선빔이 세기 회절격자 상에 입사되는 경우 상기 방사선이 상기 회절격자로부터 떠나는 평면에서 라인 및 공간에서의 세기가 상이하다. 위상 회절격자(phase diffraction grating)에서는 상기 라인 및 공간이 실질적으로 동일한 반사율을 가지나, 상이한 굴절율(refractive indices) 및/또는 상이한 높이(heights)를 갖는다. 편평한 파면을 갖는 방사선 빔이 위상 회절격자 상에 입사되는 경우 상기 방사선이 상기 회절격자로부터 떠나는 평면에서 라인 및 공간에서의 위상이 상이하다.
회절격자에 의하여 반사된 서브빔들의 경로는 렌즈 시스템(L1)과 통합되고, 이 렌즈시스템은 서브빔들의 상이한 방향들을 평면(73)에서 이 서브빔들의 상이한 위치(un)로 변환시킨다:
Figure 112003034813477-pat00002
이 평면에는 상이한 서브빔들을 더욱 분리시키기 위한 수단이 제공된다. 이를 위하여, 이 평면에 플레이트가 배치될 수 있는데, 이 평면에는 예를 들면 웨지의 형태를 갖는 편향요소(deflection element)가 제공된다. 도 3에서 웨지 플레이트는 WEP로 표시된다. 예를 들면 플레이트의 배면상에 웨지들이 제공된다. 따라서 프리즘(72)은 상기 플레이트의 전면에 제공될 수 있고, 이에 의해 예를 들면 He-Ne 레이저와 같은 방사선원으로부터 오는 입사빔이 메트롤로지 센서내로 커플링될 수 있다. 이 프리즘은 또한 0차 서브빔(0차 서브빔은 검출기에서 원하지 않음)이 검출기에 도달하지 못하게 할 수 있다. 웨지의 수는 사용될 서브빔의 수에 상당한다. 도시된 실시예에서는 치수 더하기 차수마다(per dimensions plus order) 6개의 웨지가 존재하여 7차를 포함하는 서브빔들이 사용될 수 있다. 모든 웨지들은 상이한 웨지각(wedge angle)을 가져서 상이한 서브빔들의 최적의 분리가 얻어진다.
제 2 렌즈시스템이 웨지 플레이트 뒤에 배치된다. 이 렌즈시스템은 평면기준 플레이트(PGP)(plane reference plate)내에 마크 P1을 묘화시킨다. 웨지 플레이트가 없다면 모든 서브빔들이 기준평면에 포개질 것이다(superimposed). 웨지 플레이트를 통과한 상이한 서브빔들은 상이한 각도로 편향되기 때문에, 서브빔들에 의하여 형성된 이미지들은 기준평면 내의 상이한 위치에 도달한다. 이들 위치 Xn은 다음과 같이 주어진다:
Figure 112003034813477-pat00003
여기서 γ는 서브빔이 웨지 플레이트에 의해 현향된 각도이다.
이들 위치에, 기준 회절격자가 제공된다. 별개의 검출기가 각각의 기준 회절격자 뒤에 배치된다. 각 검출기의 출력신호는 기판 회절격자의 이미지가 관련 기준 회절격자와 일치하는 정도에 종속적이다. 각 회절격자의 주기는 그 회절격자에 입사하는 연관된 서브빔의 차수(order number)에 따라 적응된다. 차수가 증가하면 주기는 감소한다.
도 4는 2개의 파장을 이용하도록 배치된, 도 3에 도시된 형태의 메트롤로지 유닛을 도시한다. 도 4에서 참조부호 16은 편광 감응 빔스플리터(polarisation sensitive beam splitter)를 나타낸다. 이 빔스플리터는, He-Ne 레이저로부터 예를 들면 633nm의 제 1 파장(λ1)을 갖고 제 1 편광방향을 갖는 제 1 빔(b)를 받아들이고, 기판 정렬마크(P1)로 이 빔을 통과시킨다. 이 빔스플리터 상에는 예를 들면 532nm의 제 2 파장(λ2)를 갖고 YAG 레이저로부터 주파수 배가기(frequency doubler)를 거쳐서 나오는 제 2 정렬빔(b5)이 또한 입사된다. 이 빔(b5)은 빔(b)의 편광방향에 수직인 편광방향을 가져서 빔(b5)이 기판마크(P1)로 반사된다. 빔(b 및 b5)의 주요광선(chief rays)은 빔스플리터에 의해 일치하도록 만들어 이들 빔이 하 나의 빔으로서 마크(P1)로 통과될 것이라는 것이 보증된다. 마크에 의한 반사 후에, 빔(b 및 b5)은 빔스플리터에 의해 다시 쪼개딘다. 이들 빔 각각을 위한 개별적인 유닛(170 및 180)이 존재한다. 이들 유닛 각각은 입사빔을 방출하고, 빔스플리터를 통하여 기판마크로부터 나오는 상이한 회절차수의 서브빔들을 받아들인다. 이들 유닛 각각에서, 기판마크의 이미지는, 도 3과 관련하여 상술된 바와 같이 상이한 기준 회절격자상에 그리고 상이한 서브빔으로 형성된다. 이를 위하여, 각 유닛에는 렌즈시스템(L1 , L2)[L1', L2'], 웨지 플레이트(WEP)[WEP'], 기준 회절격자를 갖는 플레이트(RGP)[RGP'], 다수의 검출기(90 - 96)[90' - 96'] 및 커플링 프리즘(72)[72']를 경유하여 시스템내로 커플링되는 빔의 방사선원(72)[72']이 제공된다.
도 3 또는 도 4에 도시된 형태의 메트롤로지 유닛은 인라인 계측이 수행될 수 있도록 알맞게 위치된다. 본 발명의 일 실시예에서 메트롤로지 유닛은 트랙(이 트랙은 웨이퍼를 스토리지로부터 또는 스토리지로 수송하고, 또한 이에 부가하여 웨이퍼를 베이킹하거나 현상한다)내에 위치된다. 이 메트롤로지 유닛은 노광후 베이킹 및 현상단계의 하류에 위치하여, 레지스트층내에의 노광된 패턴이 상기 유닛에 분명하게 보일수 있게 한다. 대안적인 실시예엠서는 메트롤로지 유닛이 상기 트랙에 인접하게 위치되고 상기 트랙에 연결된다. 웨이퍼들은 트랙으로부터 메트롤로지 유닛으로 통과되고 트랙 이후의 측정으로 되돌려진다. 상기 트랙에의 연결은 종래의 출력포트를 경유하고, 노광후 베이킹 및 현상 단계의 하류에 위치된다. 또다 른 실시예에서는 상기 메트롤로지 유닛은, 트랙에 연결되지 않는 개별 장치내에, 즉 오프라인으로 제공된다. 메트롤로지 유닛은 대안적으로 리소그래피 투영장치 내에 제공될 수 있다(이 구현예는 아래에 기술된다).
생산(즉, 인라인) 중에, 회절격자를 포함하는 마커는 웨이퍼 상의 디바이스 피처의 투영시에 상기 웨이퍼상에 노광된다. 특별히 지정된 비제품 영역(non-product area)내에 상기 회절격자가 위치되거나, 제품구조체(product structure)를 분리하는 스크라이브 라인(scribe line)내에 위치될 수 있다. 상기 웨이퍼는 현상되고 베이킹되고 공정처리된다. 회절격자는 아래에 더욱 기술되는 바와 같이 초점 메트롤로지, 또는 선폭 메트롤로지를 위한 검사시에 사용될 수 있다. 검사는 도 2와 관련하여 상술된 바와 같이 편리한 시간에 수행될 수 있다.
오버레이 메트롤로지가 요구되는 경우, 회절격자 및 제품 피처의 프로세싱이 완료되어 그들이 웨이퍼 상에 영구히 유지된다. 레지스트 층이 웨이퍼 상에서 회전에 의하여 만들어지고, 제품 피처의 후속층이 제 2 회절격자와 함께 웨이퍼상에 노광된다. 상기 제 2 회절격자는 상기 제 1 회절격자의 위에 위치되고, 이에 의하여 비대칭 마커를 형성한다. (예를 들면, 개별적으로 또는 복합 회절격자로서 검출된)상기 마커를 포함하는 상기 제 1 및 제 2 회절격자의 위치의 측정이, 상기 메트롤로지 유닛의 상이한 회절차수 또는 파장을 이용하여 행해진다. 이들 측정값은 오버레이를 판정하는데 이용된다.
본 발명의 일실시예에서는 도 5에 도시된 바와 같이 상기 마커가 웨이퍼의 제품층내에 제공된 제 1 회절격자(10) 및 웨이퍼의 레지스트층내에 제공된 제 2 회 절격자(11)를 포함한다. 상기 회절격자들(10, 11)사이에는 예를 들면 제품층위에 위치된 산화물층(oxide layer)에 기인한 수직적 이격(vertical separation)이 존재한다. 제 2 회절격자(11)는 제 1 회절격자와 동일한 주기(P)를 가지나, 상기 제 2 회절격자의 각 라인은 좀더 좁다. 상기 제 2 회절격자는 의도적으로 상기 제 1 회절격자에 대하여 시프트(D)만큼 변위되어 있다. 상기 2개의 회절격자는, 확실한 전체적인 형상을 가진 복합 회절격자를 포함하는 하나의 마크로 간주될 수 있다. 상기 복합 회절격자는 상기 제 1 및 제 2 회절격자 사이의 의도적인 시프트(D)에 의해 야기되는 비대칭성을 갖는다. 도 5에 도시된 제 2 회절격자의 각 라인이 제 1 회절격자보다 좀더 좁으나, 이러한 것이 필수적인 것은 아니다. 요구되는 점은 오직 제 1 회절격자의 일부분과 함께 제 2 회절격자가 메트롤로지 유닛에 보일수 있다는 점이다(예를 들면 제 1 회절격자의 각 라인은 제 2 회절격자보다 더욱 넓어도, 의도적 시프트(D)에 기인하여 제 2 회절격자가 보일수 있다).
비대칭성의 결과로, 도 3 및 4의 메트롤로지 유닛에 의해 측정되는 경우 복합 회절격자의 겉보기 위치(apparent position)가 시프트된다. 이 시프트는 검출된 파장(λ) 및 회절차수(n)에 종속한다. 이 시프트는 파장 및 회절차수에 종속하므로, 상이한 파장 및 회절차수에 대해 측정된 위치를 비교하는 것에 의하여 시프트에 관한 정보가 얻어질 수 있다. 상기 시프트가 의도적 시프트(D) 및 리소그래피 투영장치의 부정확성(예를 들면 오버레이 에러)에 기인한 시프트를 포함하는 경우, 상기 부정확성에 의해 야기된 시프트의 크기 및 부호는 그 시프트와 상기 의도적 시프트를 비교함으로써 측정될 수 있다. 이는 웨이퍼의 인라인 메트롤로지 측정을 제공한다. 의도적 시프트(D)는, 인라인 메트롤로지 측정을 하기 위해 제 1 및 제 2 회절격자사이에 비대칭성이 제공될 수 있는 많은 방법 중의 하나라는 것이 이해될 것이다. 비대칭성을 도입하는 대안적인 방법은 아래에 더욱 기술된다.
메트롤로지 측정시에 기판을 메트롤로지 유닛에 대하여 스캔(scan)된다. 기판은 고정될 수도 있으며, 이 경우에는 예를 들면 메트롤로지 유닛의 기준 회절격자(도 3의 RPG)가 스캐닝된다: 요구되는 점은 기판과 기준 회절격자 사이의 상대적인 이동이 존재한다는 점이다. 스캔은 회절격자의 라인들의 방향을 가로지르는 방향이고, 회절격자(P1)의 이미지가 각 기준 회절격자(RGP)[RGP'] 위를 통과하고 이에의하여 검출기(90 - 96)[90' - 96']에서 사인 신호(sinusoidal)를 생성시키는 효과를 갖는다. 이 사인 신호는 기판의 위치의 함수로서 기록되는데, 회절격자(P1)의 중심은 검출기 각각으로부터의 신호가 피크를 관통하는 위치라고 판정된다.
기판의 스캐닝은 기판테이블(도 1의 WT)에 의하여 달성된다. 기판의 이동은 기판테이블의 작은 미지의 위치 에러[△xstage(t)]를 야기하게 될 것이다. 이 에러를 감안하여, 스캐닝시에 시간(t)의 함수로서 검출된 메트롤로지 신호는 다음과 같이 표현될 수 있다:
Figure 112003034813477-pat00004
여기서 n은 회절차수이고, λ는 파장이고, a 및 b는 상수이며, △xstage(t)는 기판테이블의 의도된 위치(vt)와 기판테이블의 실제 위치사이의 차이다. 저주파수 에러에 대하여 기판테이블 위치에러는 측정된 위치에서의 위치에러를 나타낸다. 예를 들어 최소 제곱 피트(least square fit)와 같은 곡선 피팅(curve fitting)에 의하면 다음과 같은 측정된 위치가 산출된다:
Figure 112003034813477-pat00005
측정된 위치에서 임의의 차수/색 사이의 차이를 측정함으로써 차수들간의 시프트(SbO)(Shift-between-Order)가 산출된다:
Figure 112003034813477-pat00006
여기서, m 및 n은 회절차수를 가리키며, λ1 및 λ2는 파장을 가리킨다. 상술된 수학식에 나타낸 바와 같이, 상기 항 '차수들간의 시프트(shift-between-orders)'(SbO)는, 상이한 회절차수들이 측정되거나 또는 상이한 파장들이 동일한 회절차수에 대해 측정되거나 또는 상이한 파장들이 상이한 회절차수에 대해 측정될 때에 발생하는 측정된 위치에서의 차이를 보여준다. 용어를 간단히 하기 위하여, 상기 항은 특별히 상이한 파장들을 나타내지 않는다. 이는 본 명세서의 어떤 곳에서 상이한 파장 측정값으로부터 나오는 측정된 위치에서의 차이들이 배제된다는 것을 내포하도록 의도하려는 것은 아니다.
위치 측정값 양자 모두는 동일한 시간(t)에서 행해질 수 있다. 여기서 스테이지 에러는 다음과 같이 감소하는 SbO에서 상쇄되어, SbO는 시간과 스테이지 에러 에 독립적이다.
Figure 112003034813477-pat00007
또 다른 실시예에서, 선택된 차수와 파장 및 측정시간(t)은 SbO가 오프셋(D)만의 함수가 되도록 고정되어 유지된다.
셋업의 대칭에 의하여,
SbO(D) = -SbO(-D)
오버레이를 측정하기 위하여, 2개의 복합회절격자는 반대 오프셋 D +δ 및 -D +δ 로 프린트되고, 여기서 D는 의도적인 시프트(deliberate shift)를 나타내고, δ는 리소그래피 투영장치의 부정확성으로 인한 시프트를 나타낸다. 완벽한 오버레이의 경우, δ = 0이고, SbO의 합은 제로이다. 이것은 간단한 오버레이 메트롤로지 측정값을 산출하는데, 이는 메트롤로지 유닛의 분해능(예를 들면, 1nm 미만)을 위하여, 오버레이가 완벽할 때를 나타낸다. 하지만, 거의 확실하게 오버레이가 완벽하지 않을 것이므로, 그 결과 오버레이 에러가 유용한 메트롤로지 측정값을 제공하도록 정량화된다.
오버레이 에러는 공지된 작은 오프셋과 상기 에러를 비교하여 정량화된다. 오버레이 에러 OV를 2OV = 2δ 로서 취하면, OV는 다음과 같이 표현될 수 있다.
Figure 112003034813477-pat00008
위치 측정값의 쌍들이 상술된 바와 같이 동일한 시간에 수행된다면, t1=t2 및 t3 =t4 이므로, 스테이지 에러 △xstage(t) 에러는 상쇄된다. 오버레이 에러를 정량화하기 위하여, 오버레이 에러의 함수로서, δ 1δ 2의 작은 변화에 대하여 SbO가 얼마나 급속하게 변화하는지를 판정하는 것이 필요하다. 이러한 감도는 시프트 D + d + δ(여기서, d는 공지된 작은 오프셋임)로 프린트되는 제3회절격자로 측정된다. 선형성을 가정하면, 이는 실행시 관찰되는 오버레이 에러에 대한 경우이며, 작은 변위에 대한 SbO의 감도는 다음 수학식에 의하여 주어진다.
Figure 112003034813477-pat00009
스테이지 에러들은 상술된 바와 같이 다시 상쇄될 수 있다. d의 값은 요건들을 대립시켜 판정된다: 한편으로는 근사값으로 d +δ = d를 증명하고 잡음을 최소화하는 것이 중요하지만, 다른 한편으로는 선형성을 보장하도록 충분히 작아야 한다. 통상적으로, d는 동일한 크기이거나 또는 측정될 수 있는 것이 바람직한 최대 오버레이 에러보다 약간 더 커야하는데, 예를 들어 d는 수백 나노미터 정도일 수 있다. 기타 적합한 값들이 사용될 수도 있다. 오버레이는 3개의 회절격자상의 다음의 측정에 따른다:
Figure 112003034813477-pat00010
이 측정은 여러 차수/파장 쌍들에 대하여 행해질 수 있지만, 실제로는 최고 감도 요구를 갖는 차수/파장 쌍만이 사용될 수 있다.
각각의 회절격자는 평방 수십 미크론의 크기를 가질 수 있다. 각각의 회절격자 둘레에는 수 미크론의 배타 구역(exclusion zone)이 있을 수 있다. 회절격자들은 다이의 코너에 인접한 스크라이브 레인(scribe lane)에 제공될 수 있다. 상기 다이의 한 코너보다 많은 곳에서 메트롤로지 측정들을 수행하는 것이 바람직할 수 있다. 이것이 행해질 수 있는 한 방식은, 측정이 요구되는 각 코너에 3개의 회절격자를 제공하는 것이다. 대안적으로, 회절격자의 수를 감소시킴으로써, 다른 요소에 대한 공간을 자유롭게 하며, 3개의 회절격자는 다이의 한 코너에 대해 제공될 수 있고, 이러한 측정들을 위해 다른 코너에 제공된 단지 하나의 회절격자가 요구된다(즉, 코너당 하나의 회절격자). 단일 회절격자를 이용하여 측정된 오버레이는 3개의 회절격자를 이용하여 얻어진 감도 측정을 이용하여 정량화된다. 이는 측정 감도가 다이의 코너들간에 현저하게 변화하지 않는다는 이점을 가진다.
모든 검출기가 검출기 평면(plane)을 형성하는 상황에서(예를 들면, 도 3에 도시된 바와 같은), 신호세기는 검출기 평면이 세기 함수 I (x,y) 의 푸리에 변환을 갖는 회절격자의 주기신호의 푸리에 변환
Figure 112003034813477-pat00011
간의 콘볼루션 C (i,x,y) 라는 것을 신호한다(표시 i는 상이한 차수를 나타냄). 세기 함수 I (x,y) 는 상기 위치에서의 회절격자의 존재함수(existence) E (x,y) 와 회절격자상에 입사하는 빔의 세기 프로파일 Ip (x,y) 의 곱이다(여기서, E (x,y) 는 회절격자의 공간적 크기, 즉 회절격자상의 E (x,y) = 1이고 다른 곳에서는 E (x,y) = 0을 나타냄).
Figure 112003034813477-pat00012
주어진 검출기는 (x j ,y j )에서 단지 하나의 특정 차수 j를 검출하도록 되어 있다. 하지만, 콘볼루션 C (i,x,y) 는 상기 검출기에 의해 검출되는 회절차수 i에 비례하여, 차수 j에 대해 검출된 신호로 에러를 도입시킨다. 이는
Figure 112003034813477-pat00013
으로서 수학적으로 표현된다. 이것은 회절격자의 유한길이로 인하여, 검출기 평면에서의 차수 i의 이미지는 그 에지들이 차수 j를 위해 의도된 검출기에 입사되기에 공간적으로 충분히 넓을 수 있다는 것을 고려함으로써 직관적으로 이해할 수 있다.
당업계의 당업자에게는 자명한 바와 같이, 이 문제에 대한 몇몇 공지된 솔루션들이 있다. 세기 프로파일 Ip (x,y) C (i,xj,yj) C (j,xj,yj) 에 비해 중요하지 않도록 선택될 수 있다는 것을 예로 들 수 있다. 다른 예로는, F (i,xj,yj) 가 매우 작아 C (i,xj,yj) C (j,xj,yj) 에 비해 중요하지 않도록 회절격자의 주기가 선택될 수 있는 것을 들 수 있다. F (i,xj,yj) 는 차수 ij로부터의 최대신호의 위치들간의 간격이 매우 떨어져 있거나 또는 F (i,xj,yj) 가 (x j , y j )에서 국부 최소값을 가지기 때문에 작게 유지될 수 있다.
본 발명의 상술된 실시예에서 언급하는 것에 덧붙여, 오버래핑 신호들의 문제 또한 후술되는 본 발명의 실시예에서 나타날 수 있다. 상술된 솔루션들은 이들 실시예들에 적용될 수도 있다.
본 발명의 실시예의 변형예에서, 회절격자들의 쌍은 3개의 회절격자 대신에, 오버레이 메트롤로지 측정값을 얻는데 사용될 수 있다. 이것은 스크라이브 레인 영역의 보다 적은 양을 차지하기 때문에 이점이 있다. 2개의 회절격자로 감소하면, 감도 정량화 오프셋 d가 의도된 오프셋 D, -D 중 어느 하나로 통합될 수 있다는 실현형태(realisation)로 인하여 가능하다.
일반적으로, 차수들간의 검출된 시프트는, 회절격자들간의 오프셋 △x 및 회절격자의 '심도' 및 그들의 간격(도 5의 'z')에 의존하는 스케일링 인자 k에 의해 발생되도록 고려될 수 있다. 이는 다음과 같이 표면될 수 있다.
SbO = k△x
여기서, 오프셋은 의도된 오프셋 D와 오버레이 에러 OV의 조합이다.
△x = D + OV
만일 2개의 회절격자가 사용되면, 이것은 차수 측정값들간의 2개의 시프트를 제공하며, 이는 2개의 미지의 값 k, OV가 결정되도록 하는 충분한 정보를 제공한다(의도된 오프셋 D는 마스크의 디자인으로부터 공지되며 이것으로부터 회절격자들이 투영됨):
SbO 1 = k(D + OV)
SbO 2 = k(D - OV)
이는 감도 정량화 오프셋 d가 (+D-2D)와 같도록 하는 것과 등가이다. 오버레 이는 2개의 회절격자상의 측정값에 따른다.
Figure 112003034813477-pat00014
상기 기술은 복합회절격자가 동일한 주기를 갖는 2개의 오버래핑 회절격자를 이용하여 형성되는 본 발명의 실시예에 관한 것이다. 하지만, 같은 주기의 레지스트 및 제품(product) 회절격자들은 회절격자들간에 강한 결합을 만들어낸다. 이러한 결합으로 인하여, 차수들간의 시프트는 오버레이 함수뿐만 아니라, 수직 회절격자 간격(도 5의 z), 파장 및 회절격자모양에 의해 영향을 받는다. 이러한 이유로, 2개 이상의 복합회절격자에 기초한 교정이 요구된다.
본 발명의 대안적인 실시예에서, 차수들간의 시프트는 결합되지 않는 회절격자들을 포함하는 마커에 대하여 측정된다(엄격히 말하면, 모든 회절격자들은 보다 크거나 보다 적은 정도로 결합되고; 용어 '결합되지 않는'이란 결합으로부터 발생하는 신호의 크기가 각각의 회절격자로부터 개별적으로 발생하는 것보다 훨씬 더 작다는 것을 의미함). 이러한 대안적 실시예는 공간주파수 멀티플렉싱을 기초로 하며, (P/N) 및 (P/M)의 상이한 주기를 갖는 회절격자들을 사용한다. P는 수십 미크론 정도일 수 있다. 이들 주기들은 P/(1,2...7)의 주기를 갖는 메트롤로지 유닛 기준 회절격자와 양립되도록 선택된다. 여타의 적합한 주기들이 사용될 수 있음을 이해할 수 있다. 차수들간의 측정된 시프트는 오버레이에 직접 비례하므로, 다수의 마커를 이용한 교정이 더 이상 필요하지 않다. 오버레이 에러들은 비대칭의 회절격자들을 포함하는 마커를 만드는데, 상기 비대칭은 차수들간의 시프트(즉, 회절격자 들의 위치의 차이)를 이용하여 측정되는 비대칭이다.
본 발명의 대안적인 실시예는 주어진 주기(예: P/6)의 회절격자로부터의 회절이 동일한 주기를 갖는 메트롤로지 유닛 기준 회절격자에서 강하게 검출된다는 사실을 기초로 한다. 상이한 주기(예: P/7)의 회절격자로부터의 회절은 상기 주기를 갖는 메트롤로지 유닛 기준 회절격자에서 강하게 검출된다. 이것은 상이한 회절차수들을 검사함으로써, 회절격자들이 서로 위에 놓여 있더라도, 제품층 및 레지스트층에서 회절격자들을 개별적으로 검출할 수 있다는 것을 의미한다. 측정된 위치들간의 차이, SbO는 회절격자들의 오버레이를 직접 나타낸다. 본 발명의 상기 실시예는 상이한 회절차수들 대신에 상이한 파장의 조명을 사용할 수 있음을 이해할 수 있다(상이한 회절격자들로부터의 회절된 광은 상이한 메트롤로지 유닛 기준 회절격자들에 의해 강하게 검출되는 것만이 요구됨).
x방향으로 연장되는 회절격자는 웨이퍼상에서 노광 및 처리된다. 여기서, 결과적인, 고정된 회절격자는 제품층내에 있는 것으로 간주된다. 상기 회절격자는 주기 P/N을 가지며, 여기서 N은 1,2,...,7 가운데 하나이다. 웨이퍼가 노광되기 전에, 상기 회절격자는 레지스트막으로 도포된다. 노광 전의 반사된 필드는 다음과 같이 표현될 수 있다.
Figure 112003034813477-pat00015
아래첨자 N은 P/N의 주기성을 나타내며,
Figure 112003034813477-pat00016
는 반사된 필드(소위 0-차수)의 평균 복소수값이다. 다른 차수들의 복소수 크기는 F N 의 푸리에 분해에 따른다. 그 후, 레지스트는 주기 P/M을 갖는 보다 높은 차수의 회절격자로 노광되는데, 여기서 M은 1,2,...,7(M≠N) 가운데 하나이다. 이것은, 현상 후에, 도 6에 도시된 바와 같이 제품 회절격자의 최상부상에 레지스트 회절격자를 형성시킨다. 도 6에서, 제품 회절격자는 P/6(즉, N=6)의 주기를 가지며, 레지스트 회절격자는 P/7(즉, M=7)의 주기를 가진다.
레지스트 회절격자는 제품 회절격자에 의해 반사된 필드를 교란(perturb)시키므로, 상술한 바와 같이 간단한 형태가 되지 않는다. 제품 회절격자와 레지스트 회절격자간의 x 0 의 오버레이 에러를 가정하면, 반사된 필드는 다음과 같은 형태로 표현될 수 있다.
Figure 112003034813477-pat00017
이들 항의 그래픽적인 설명을 제공하기 위하여, NM의 상이한 주기를 갖는 2개의 투과 회절격자 FG에 대하여 도 7에 각각 도시되어 있다(투과 회절격자들은 설명을 쉽게 하기 위하여 반사 회절격자들 대신에 사용됨).
Figure 112003034813477-pat00018
FG에 의하여 투과된 제로 차수이다.
오버레이 메트롤로지에 사용된 항들은
Figure 112003034813477-pat00019
Figure 112003034813477-pat00020
이다. 상기 항 F N (x,y)G M (x-x 0 ,y)(이는 회절격자 양자 모두에 의해 회절된 차수들을 포함함)은 본 실시예에서의 메트롤로지에 사용되지 않는다. 이들 항들은 단지 하나의 회절격자에 의해서만 회절되었다(각각 회절격자 F 및 회절격자 G). 제품 회절격자의 위 치는 항
Figure 112003034813477-pat00021
에 의해 측정되고, 레지스트 회절격자의 위치는 항
Figure 112003034813477-pat00022
에 의해 측정되며, 2개의 측정된 위치들간의 차이는 오버레이 에러를 나타낸다. 다시 말해,
Figure 112003034813477-pat00023
Figure 112003034813477-pat00024
의 SbO는 오버레이와 바로 같다. 메트롤로지 유닛은 P/6의 주기를 갖는 회절격자들만을 모니터링하여 제품 회절격자의 위치를 측정한 다음, P/7의 주기를 갖는 회절격자들만을 모니터링하여 레지스트 회절격자의 위치를 측정한다. 회절격자들의 위치들간의 차이는 레지스트층과 제품층간의 오버레이 에러를 나타낸다.
본 발명의 대안적인 실시예는 공간주파수 멀티플렉싱의 형태로서 고려될 수 있다: 레지스트 및 제품 회절격자들은 그들이 상이한 공간주파수를 가지므로 메트롤로지 유닛에 의해 별도로 측정될 수 있다. 메트롤로지 유닛은, 도 3과 관련하여 상술된 바와 같이, 상이한 검출기들에 대하여 상이한 차수들을 지시하도록 배치되기 때문에 이들을 별도로 측정할 수 있다.
P/(N 또는 M) 이외의 주기를 갖는 회절격자들이 사용될 수 있음을 이해할 수 있다. N 및 M이 같지 않다는 조건부로, 소정의 적합한 주기가 사용될 수 있으며, 상기 N 및 M은 회절차수들간의 혼합(mixing)이 메트롤로지 유닛에 의해 검출되는 신호와 같은 주파수를 갖는 조합된 신호(므와르 신호)를 유도하지 않도록 선택된다. 예를 들어, N=2 및 M=4는 추천되지 않는데, 그 이유는 혼합된 신호가 제품 회절격자로부터의 신호에 간섭하기 때문이다(이는 가능하지만 보다 낮은 정확도를 제공함).
문제가 있는 조합된 신호를 유도하지 않는 주기들이 선택될 수 있다: 결합된 항 F N (x,y)G M (x-x 0 ,y)은 회절격자 양자 모두에 의해 회절된 차수들을 포함한다(이는 도 7에서 최하의 빔으로 도시되어 있음). 상기 결합 항을 최소화하는 것이 바람직한데, 그 이유는 측정주파수 MN에서 공간주파수 성분들을 발생시킬 수 있기 때문이다. 예를 들어, 도 7에서 최하의 빔은 최상의 빔의 측정에 에러를 유발하는데, 그 이유는 두 빔 모두가 동일한 공간주파수를 가지기 때문이다.
NM이 공통 제수(divisor)를 갖지 않도록 주기들이 선택된다(예를 들어, N=6, M=7). 이것이 행해지면, 제1조합신호는 검출된 차수 M으로 포개지고(fold back), 제2조합신호솔루션은 검출된 차수 N으로 포개진다. 다시 N=6, M=7라 가정하면, n=7 및 m∈[-5, -7]은 검출된 차수 M으로 포개지고, m=6 및 n∈[-6, -8]은 검출된 차수 N으로 포개진다. 포개진 신호는 mn의 큰 값으로 인하여 매우 약하다.
결합된 항에 의해 발생된 포개진 신호는, 상기 규칙에 따른다면, 충분히 낮은 크기의 대부분의 경우에, 오버레이 메트롤로지 측정에 상당한 에러를 유발하지 않는다. 고주파 항이 크기에 있어 작은 이유 중의 하나는, 웨이퍼의 처리로 인하여, 회절격자들의 형태가 방형파보다 사인파에 더 가까워, 보다 높은 고조파를 억제하기 때문이다.
원한다면, 본 발명의 또 다른 대안적인 실시예에서, 제품 회절격자와 레지스트 회절격자들간의 공간적인 커플링이 없도록 함으로써, 회절격자들간의 결합이 최 소화될 수 있다. 이것은 도 8에 도시된 바와 같이, 레지스트 회절격자를 제품 회절격자에 인접하게 놓이도록 배치함으로써 달성될 수 있다. 레지스트 회절격자 및 제품 회절격자는 도시된 바와 같이 상이한 주기들을 가진다. 회절격자들은 커플링되지 않기 때문에, 제1근사값에는 회절격자들간의 결합이 없다. 각 회절격자의 x 방향의 위치는 메트롤로지 유닛을 이용하여 판정되며, 상기 위치들간의 차이가 오버레이 에러를 나타낸다.
도 8에 도시된 회절격자 배치와 관련된 단점은, 웨이퍼의 x축이 메트롤로지 유닛의 x축과 정확하게 평행하지 않으면, 메트롤로지 측정시에 회절격자들의 스캐닝이 에러를 유발할 것이다. 이는 메트롤로지 유닛이, 회전으로 인하여, 회절격자 중의 하나가 x방향으로 시프트되고, 나머지 회절 격자가 -x방향으로 시프트되는 것을 측정하기 때문이다. 이러한 에러는 회절격자들의 위치들이 교환된 회절격자들의 제2쌍을 제공하여 상쇄될 수 있다. 교환(swapping)은 메트롤로지 유닛에 의해 측정된 에러의 부호가 반대이고, 측정으로부터 상쇄될 수 있다는 것을 의미한다.
에러가 유발되는 회전문제를 해결하는 대안적인 방법은, 도 9에 도시된 바와 같이, 레지스트 회절격자 및 제품 회절격자를 비-오버래핑 부분들로 분할하는 것이다. 본 발명의 상기 실시예는 또한 도 9 및 도 10에 관련하여 후술된 바와 같이, 수직방향으로 큰 오버레이 에러의 검출을 허용한다.
도 9를 참조하면, 제품 회절격자는 3부분으로 분리되며, 레지스트 회절격자는 2부분으로 분할된다. 상기 부분들은 그들이 서로 겹치지 않도록 배치된다. 제품 회절격자 및 레지스트 회절격자는 모두 두 회절격자들을 양분하는 x방향의 축을 중 심으로 대칭이다. 이러한 구성은 회전 유발 에러를 제거한다.
메트롤로지 유닛의 측정방향, 즉 측정시에 웨이퍼가 스캐닝되는 방향은 도 9의 x를 가리킨다(이는 종래의 표시법임). 제품 회절격자는 P/7의 주기를 가지는 반면, 레지스트 회절격자는 P/6의 주기를 가진다(P는 10 미크론 정도임). 측정방향에 직각인 웨이퍼의 평면에서의 방향은 도 9의 y를 가리킨다. 각 회절격자를 3개의 별도 부분으로 분리함으로써, 제품 회절격자 및 레지스트 회절격자가 y방향으로 주기적이도록 한다. 그들은 동일한 주기 Q를 가지지만, 도 9에 도시된 바와 같이, 상호 180°위상-시프트되어 있다. 제품 회절격자 및 레지스트 회절격자가 공간적으로 분리되어 있기 때문에, 사실상 그들 사이의 커플링은 없다(잔류 결합의 작은 정도가 남아 있을 수 있음). 레지스트 회절격자의 위치는 메트롤로지 유닛을 이용하여 측정되고, 제품 회절격자의 위치는 메트롤로지 유닛을 이용하여 별도로 측정되며, 위치들의 차이는 오버레이 에러를 나타낸다(앞서 언급된 바와 같이, 측정은 x방향으로 수행됨).
도 9에 도시된 바와 같이 2차원 회절격자의 사용은, 회전 유발 에러들이 피해진다는 이점을 가진다. 또 다른 장점으로는, 흔히 캡처 에러로 불려지는 정렬 에러로 인하여 일어날 수 있는 y방향으로의 큰 오버레이 에러의 검출을 허용할 수 있다는 점을 들 수 있다. 위상회절격자 정렬마크가 사용되는 경우, 정렬을 제공하는데 사용되는 신호는 사인곡선형이다. 예비정렬작업에서, 정렬마크는 그 의도된 위치에 충분히 근접하여 위치된다고 가정하면, 정렬 유닛은 정확한 정렬을 허용하는 피크를 포함하는 사인곡선형 신호의 일부분을 볼 것이다. 하지만, 예비정렬작업이 정확하게 수행되지 않는다면, 정렬 유닛은 인접한 피크를 포함하는 사인곡선형 신호의 일부분을 볼 수 있다. 이러한 인접 피크로의 정렬이 일어나면, 에러가 유발될 것이다. 에러의 크기는 사인곡선형 신호의 인접 피크들의 간격에 의존하는데, 통상적으로 10 미크론 정도이다. 2차원 회절격자들은 캡처 에러에 의해 발생된 오버레이 에러(즉, 10 미크론 정도의 오버레이 에러)를 검출하는 수단을 제공한다.
도 10a를 참조하면, 캡처 에러가 없고, 제품 회절격자 및 레지스트 회절격자는 양호하게 분리되어 있다. 만일 y방향으로의 캡처 에러가 발생하면, 회절격자들이 도 10b에 도시된 바와 같이 겹쳐진다. 회절격자들의 간격은 회절격자들간의 커플링, 즉 (도 7과 관련하여 앞서 설명된) 회절신호의 커플링 항을 모니터링하여 검출된다. 상기 커플링은 메트롤로지 유닛의 검출기에 의해 검출될 수 있는 공간 비트(beat) 주파수로서 나타난다(주파수는 |N-M|). 무시해도 좋을 만큼의 낮은 레벨의 커플링이 도 10a에 도시된 회절격자들간에 보여지는데, 이는 캡처 에러가 발생하지 않았음을 나타낸다. 강하게 커플링된 신호는 캡처 에러가 발생하였음을 나타낸다. 캡처 에러의 존재시에 회절격자의 오버레이를 발생시키기 위하여, 주기 Q가 적절하게 선택되어야 한다. 예를 들어, 예상된 캡처 에러의 1/3과 같은 주기 Q는, 캡처 에러가 발생하면, 2개의 회절격자의 완전한 겹침을 제공할 것이다.
도 9에 도시된 회절격자들은 3개의 제품부 및 2개의 레지스트부를 포함한다. 상이한 개수의 부분들이 사용될 수 있음을 알 수 있지만, 단지 제약이라면, 회전 유발 에러들을 피하고자 한다면, x방향으로의 동일한 대칭축을 양 부분들이 가져야 한다는 점이다. 이는 최소 개수의 부분은 2개의 제품 부분 및 하나의 레지스트 부 분 또는 2개의 레지스트 부분 및 하나의 제품 부분이라는 것을 의미한다.
도 10에 도시된 2차원 회절격자들은 캡처 에러의 쉽고도 강력한 검출을 제공한다. y방향으로의 주기는 다른 크기의 에러의 검출을 제공하도록 선택될 수 있음을 알 수 있다.
상기 기술에서, 무시할만한 낮은 레벨의 커플링이 도 10a에 도시된 회절격자들 사이에서 보여짐을 유의한다. 커플링이 제로가 아닌 이유는 도 10a에 도시된 회절격자들의 측단면도인 도 11과 관련하여 설명된다. 도 11로부터, 제품 회절격자와 레지스트 회절격자 사이의 z방향으로의 상당한 간격이 있음을 볼 수 있다. 이는 제품 회절격자 위쪽에 산화물층이 있기 때문일 수 있으며, 또는 제품 회절격자 위쪽에 몇 개의 다른 제품층들이 위치하기 때문일 수 있다. 메트롤로지 측정들을 위하여 회절격자들을 조명하는데 사용된 광은, 도시된 바와 같이, 레지스트 및 제품층들 사이에서 적게 발산하여, 회절격자들간의 소정의 커플링을 도입시킨다.
도 10에 도시된 커플링을 피하는 것이 바람직하다면, 레지스트 회절격자의 간단한 수정이 이루어질 수 있다. 도 11에 도시된 수정예는, x방향으로의 회절격자의 교대 시프트(alternating shift) △x를 도입하는 것을 포함한다. 이러한 회절격자 구성이 사용되면, 시프트된 회절격자의 N th 차수가 다음과 같은 위상 시프트를 경험한다:
Figure 112003034813477-pat00025
Figure 112003034813477-pat00026
일 때, 시프트된 회절격자들의 N th 차수는 시프트되지 않은 회절격자들을 갖는 반대-위상에 있으므로, N th 차수는 소멸되어 커플링을 제거시킨다. 이는 높은 회절차수들을 제거하도록 하여, 그들이 메트롤로지 유닛의 검출기에서의 측정 에러들을 도입하지 않도록 한다. 상기 방법은 회절격자들의 조명이 대칭적인 것을 요구하며, 어떤 것은 실제적으로 성취될 수 있다.
상술된 바와 같이, 웨이퍼 스테이지의 위치의 에러들 △stage은 메트롤로지 유닛을 이용하여 수행된 측정에 의하여 상쇄된다. 하지만, 메트롤로지 측정의 정확성을 떨어뜨릴 수 있는 제2에러가 있다. 제2에러는 센서 에러 ε로 명명된다(이것은 종종 당업계에서 툴 유발 시프트로 명명되기도 함). 웨이퍼 스테이지 위치 에러 △stage는 웨이퍼 스테이지가 있어야 한다고 믿는 정확한 장소에 웨이퍼 스테이지가 위치하지 않아 발생되는 한편, 센서 에러 ε는 메트롤로지 유닛의 광학기기가 완벽하지 않다는 사실로 인하여 발생된다. 메트롤로지 유닛의 광학기기의 불완전성은, 메트롤로지 유닛의 제1검출기에 의해 측정된 회절차수의 위치가 상기 메트롤로지 유닛의 제2검출기에 의해 검출된 회절격자의 위치와 정확하게 동일하지 않고, 상기 광학기기는 회절격자에 의해 생성된 약간 변위된 회절패턴들을 가진다는 것을 의미한다.
메트롤로지가 (상술된) 상이한 주기들을 갖는 2개의 회절격자에 기초하여 수행되는 경우, 센서의 SbO 교정에서의 센서 에러 ε는 회절격자들의 2개의 쌍을 프린팅하여 제거될 수 있다. 제1쌍은 레지스트층의 M th 차수 및 제품층의 N th 차수를 가진다. 이 쌍으로 측정된 오버레이는:
OV 1 = SbO n,m + ε
제2쌍에서, 회절격자들은 상호교환된다: 상기 N th 차수는 레지스트에 있고, M th 차수 회절격자는 제품층에 있다. 상기 오버레이는:
OV 2 = -SbO n,m + ε
실수 SbO(즉, 오버레이)는 다음 수학식으로 결정된다:
Figure 112003034813477-pat00027
상기 방법은 메트롤로지 유닛의 교정(calibration)에서의 센서 에러 ε를 제거한다.
메트롤로지가 (추가 상술된) 동일한 주기를 갖는 2개의 회절격자에 기초하여 수행되는 경우, 센서의 SbO 교정에서의 센서 에러 ε는 단일 회절격자와 함께 회절격자들의 2개의 쌍을 프린팅하여 제거될 수 있다. 회절 격자들의 각 쌍은 제품층의 회절격자 및 레지스트층의 회절격자를 포함한다. 단일 회절격자는 레지스트층에 제공된다(제품층에 제공될 수 있음을 알 수 있음). 회절격자들의 제1쌍은 회절격자들간의 x방향으로의 의도적인 시프트 D를 포함하고, 회절격자들의 제2쌍은 x방향으로의 의도적인 시프트 -D를 포함한다. 차수 SbO 측정값들간의 3개의 시프트는 다음의 수식으로 산출된다:
Figure 112003034813477-pat00028
OV는 오버레이이고, k는 오버레이에 대한 차수들간의 시프트에 관계된 상수이다. 제3측정은 센서 에러 ε를 직접 산출하는데, 에러가 없었다면, 차수들간의 시프트가 제로이기 때문이다(단지 하나의 위치가 측정됨). 차수들간의 시프트와 측정된 값들의 범위에 걸친 오버레이간의 선형관계가 있다고 가정하면, 남아있는 2개의 미지의 kOV는 제1 및 제2측정값을 이용하여 결정될 수 있다.
본 발명의 또 다른 대안적인 실시예에서, 비대칭 마커는, 상기 추가로 기술된 바와 같이, 회절격자들의 라인들에는 서브구조체가 제공되는 추가 사항을 갖는 오버레이로 인하여 오프셋되는 레지스트층내의 회절격자 및 제품층내의 회절격자를 포함한다. 회절격자들 중 하나의 서브구조체는 위상 점프(phase jump)를 포함한다. 높은 공간주파수 및 위상 점프의 조합은 메트롤로지 측정의 감도를 현저하게 증가시키는 효과를 가진다. 이러한 방식에서 서브구조체를 이용하는 장점은, 서브구조체가 종래의 회절격자들보다 디바이스 피처들에 보다 유사한 치수 및 밀도들을 갖도록 배치될 수 있으므로, 측정된 오버레이가 디바이스 피처들의 오버레이를 보다 정확하게 반영하도록 하는 것을 들 수 있다.
도 13a를 참조하면, 이는 단면도인데, 제1회절격자(100)가 웨이퍼의 제품층에 제공되고, 제2회절격자(101)는 웨이퍼의 레지스트층에 제공된다. 각각의 회절격자의 3개의 주기가 도시되어 있다. 주기 P는 회절격자 양자 모두에 대해 동일하다.
파선으로 형성된 박스로 나타낸, 회절격자의 한 라인의 중앙부는 도 13b에 상세히 확대되어 도시되어 있다. 도 13b에서 알 수 있듯이, 각각의 회절격자에는 회절격자 서브구조체가 제공된다. 제품층 회절격자(100)의 서브구조체는 연속적인 반면, 레지스트층 회절격자(101)의 서브구조체는 180도 위상 점프를 포함한다(상기 위상 점프는 레지스트 회절격자 대신에 제품 회절격자에 제공될 수 있음을 알 수 있다). 180도 위상 점프는 서브구조체의 다른 라인(104)들의 길이의 두배인 서브구조체의 라인(103)을 포함한다. 180도 위상 점프는, 제품층 회절격자에 대하여, 레지스트층 회절격자의 서브구조체의 상승 에지(rising edge)가 레지스트층 회절격자의 서브구조체의 하강 에지(falling edge)가 되는 효과를 가진다. 오버레이 에러가 없으면, 제품 회절격자 및 레지스트 회절격자는 도 13b에 도시된 바와 같이 대칭선 s 를 중심으로 대칭이다.
도 13b에 도시된 회절격자들의 서브구조체의 주기 g는 리소그래피 투영장치의 분해능 한계에 가깝도록 선택된다(통상 수백 나노미터 정도). 이 숫자는 앞으로 리소그래피 투영장치의 분해능이 개선됨에 따라 보다 현저하게 작을 수 있음을 알 수 있다. 서브구조체는 회절이 제품층과 레지스트층 사이에서 발생 및 전파되는 조명의 파장에 비해 충분히 크다. 하지만, 서브구조체는 서브구조체로부터의 회절이 메트롤로지 유닛의 검출기에 의해 보이지 않을 정도로 충분히 작다(예를 들어, 회절이 법선에 대해 매우 큰 각도에 있으며, 렌즈(L1)에 의해 모여지지 않기 때문이다(도 3 및 도 4 참조)). 서브구조체로부터의 회절이 일어나지 않거나 또는 보여지지 않기 때문에, 메트롤로지 유닛은 복소반사계수(complex reflection coefficient) r을 갖는 거울로써 서브구조체를 효과적으로 본다.
복소반사계수 r은 레지스트 및 제품층 서브구조체의 상대위치들에 따라 좌우된다. 오버레이가 완벽하다면, 도 13b의 좌측 서브구조체의 복소반사계수는 도 13b의 우측 서브구조체의 복소반사계수와 동일할 것이다. 이는 도 14의 중앙에 개략적으로 표현되는데, 이는 서브구조체의 각 측으로부터 반사된 광의 서브구조체 바로 위쪽의 진폭을 보여준다(서브구조체 바로 위쪽의 영역은 여기서 가까운 필드(near field)라 명명됨). 네거티브 오버레이 에러가 발생한다면, 즉 레지스트층이 우측으로 시프트되면, 좌측 서브구조체의 복소반사계수는 우측 서브구조체의 것과 다르게 될 것이다. 이러한 비대칭은 도 14에 도시된 바와 같이 근처 필드에서의 진폭(및 위상)으로서 명백해질 것이다.
도 3 및 도 4에 도시된 바와 같이, 메트롤로지 유닛의 검출기들은 회절격자들로부터 떨어져 위치한다. 여기서는 먼 필드(far field)라 명명된 검출기들의 위치는, 그들이 가까운 필드의 푸리에 변환을 검출하도록 한다. 가까운 필드의 진폭(및 위상)이 대칭이라면, 푸리에 변환 또한 대칭이다. 즉, 검출된 신호는 시프트를 포함하지 않는다. 다른 한편으로, 진폭(및 위상)이 가까운 필드에서 대칭이 아니라면, 푸리에 변환이 대칭이 아니며, 회절차수(또는 파장)에 의존하는 비대칭을 가진다. 검출기에서 상이한 회절차수들(또는 파장들)에 대하여 측정된 위치들간의 차이를 판정함으로써, 레지스트층 및 제품층의 오버레이가 판정될 수 있다. 검출된 시프트는 오버레이 에러보다 훨씬 더 크고, 이는 매우 작은 오버레이 에러들이 메트롤로지 유닛을 이용하여 검출될 수 있다는 것을 의미한다.
먼 필드에서(즉, 검출기에서) 본 비대칭은 복소반사계수로부터 발생하기 때문에, 그 부호가 오버레이 에러의 부호와 모순없이 항상 일치하는 것은 아니다.
서브구조체의 효과가 다음과 같이 수학적으로 표현될 수 있다: 작은 오버레이 에러의 경우, 좌우 절반의 반사계수는 다음 수식에 따라 상이하게 변할 것이다:
Figure 112003034813477-pat00029
결과적인 좌우 비대칭은 차수들간의 측정가능한 시프트를 만들어낼 것이다. 복소반사변화는 미크론의 비율인 서브구조체의 주기로 주기적이다. 이는 서브구조체보다 큰 오버레이 에러가 메트롤로지 유닛에 의해 정확하지 않게 측정될 것이라는 것을 의미한다.
상기 구조는 이하의 값들:
Figure 112003034813477-pat00030
을 결정하기 위하여 상술된 것과 동일한 방식으로 2쌍의 회절격자 및 단일 회절격자를 이용하여 교정된다. 교정은 또한 오버레이에러의 부호를 제공한다.
어떤 경우에는, 서브구조체를 교정하기 위하여 보다 적은 회절격자를 사용하는 것이 바람직할 수 있다. 이것이 이루어질 수 있는 한 방법은, 제품층 회절격자(100) 및 레지스트층 회절격자(101)의 서브구조체의 형상을 재구성하여, 오버레이에 대한 차수들간의 시프트를 링크시키는 상수(k)를 측정하는 것이다(k는 서브구조체에 따라 달라진다). 재구성은 두부분으로 수행된다. 첫번째 부분에서, 레지스트층 회절격자가 레지스트로 묘화되기에 앞서, 제품층 회절격자가 측정된다. 도 3 및 도 4에 도시된 메트롤로지유닛을 이용하거나 또는 도 23과 관련하여 이하에 설명되는 메트롤로지유닛을 이용하여, 측정이 이루어진다. 측정은 복수의 파장에 대하여 수행된다(이러한 목적을 위하여, 도 3 및 도 4에 도시된 메트롤로지유닛에 부가적인 파장채널들이 추가될 수 있다). 측정 결과값은 선폭 스캐터로메트리(scatterometry)에 현재 사용되는 공지된 '역스캐터링(inverse scattering)'기술을 이용하여 제품층 회절격자의 서브구조체의 형상을 재구성하는데 사용된다. 적절한 역스캐터링기술은 US 6,563,594호 및 US 6,559,924호에 기술되어 있다.
재구성의 두번째 부분은 레지스트층 회절격자의 서브구조체의 형상을 재구성하는 것이다. 레지스트층 회절격자는 복수의 파장에 대하여 상술된 메트롤로지 중의 하나를 이용하여 측정되고, 그 결과값은 역스캐터링기술을 이용하여 레지스트층 회절격자의 서브구조체의 형상을 재구성하는데 사용된다. 레지스트층 회절격자와 제품층 회절격자 사이의 오버레이는 재구성에 관한 무시할만한 효과를 가지고, 재구성을 강하게 만든다. 오버레이가 받아들여질 수 없는 에러를 유발하는 것으로 확인되면, (동일한 서브구조체를 구비하고 있는)제2레지스트층 회절격자가 제1레지스트층 회절격자에 인접하여 묘화될 수 있다. 회절격자의 서브구조체는 오버레이에러를 유발하지 않으면서, 재구성될 수 있다. 서브구조체를 결정하는 대안적인 방법은 예를 들어, 원자력현미경(atomic force microscope)을 이용하여 수행되는 별도의 측정을 이용하는 것이다.
일단 제품층 회절격자 및 레지스트층 회절격자의 서브구조체가 재구성되면, 이들은 상수(k)를 산출하기 위하여 층분리의 정보와 함계 사용된다. 산출은 예를 들어, G-Solver 또는 Tempest와 같이 공지된 전자기 솔버(solver)를 이용하여 수행된다. 일단 k가 정해지면, 차수들간의 시프트를 이용하여 오버레이가 산출될 수 있다. 재구성계 교정방법의 이점은 상술된 교정방법보다 보다 적은 회절격자를 필요로 하므로, 여타의 구조체들을 위하여 더 많은 공간을 남겨둘 수 있다는 것이다. 상기 교정방법의 또 다른 이점은, 이것이 회절격자들의 서브구조체를 재구성하기 때문에, 선폭의 측정을 직접 산출한다는 것이다.
본 발명의 또 다른 대안적인 실시예에서는, 예를 들어, 도 15에 도시된 바와 같이, λ/4의 광학경로길이에 단차(step)가 각각 제공되는 베어링마스크(도 1의 MA)서브구조체를 회절격자상에 포함시켜, 단일 회절격자를 포함하는 마커에 비대칭성이 제공된다. 도 15를 참조하면, 마스크(111)상에 3개의 라인의 회절격자(110)가 예시된다. 회절격자의 제1라인(110a)에는 불투과부(non-transmissive portion; 112), 제1 및 제2투과부(113, 114)를 각각 포함하는 서브구조체가 제공된다. 단차(115)는 제1투과부(113) 및 제2투과부(114) 사이에 놓여진다. 단차는, 제1투과부(113)를 통과하는 광의 광학경로길이가 제2투과부(114)를 통과하는 광의 광학경로길이보다 λ/4만큼 크도록 되어 있다.
회절격자의 제2라인(110b)에는, 비투과부(116) 및 단차(119)에 의하여 분리되는 제1 및 제2투과부(117, 118)를 각각 포함하는 서브구조체가 제공된다. 단차는 회절격자의 제1라인(110a)의 단차(115)와 비교하여 반대 위상을 가진다. 이것 은 제1투과부(117)를 통과하는 광의 광학경로길이가 제2투과부(118)를 통과하는 광의 광학경로길이보다 λ/4만큼 작다는 것을 의미한다.
회절격자의 그 다음 라인들에는 교번패턴으로 동일한 서브구조체가 제공된다.
λ/4 단차들의 효과는, 웨이퍼표면에서, 회절격자가 정확하게 포커싱되지 않는 경우에 회절격자의 각각의 라인이 변위되는 것이다(이 효과는 본 명세서에서도 참고자료로 채택되고 있는 US2002/0021434호에 개시된다). 상기 변위는 단차의 방향에 따라 달라진다. 이것은 디포커스의 결과로 인해 회절격자의 인접한 라인들이 반대방향으로 변위된다는 것을 의미한다.
도 16에는 웨이퍼상의 서브구조체의 영향이 개략적으로 도시된다. 편의상, 도 16의 회절격자의 치수가 도 15의 회절격자의 치수와 동일하게 예시되지만, 실제로는 도 16의 회절격자의 치수는 도 15의 회절격자의 치수의 1/4이다. 회절격자의 3개의 라인이 도 16에 단면으로 도시된다. 회절격자는 10미크론 정도의 주기(p)를 가진다. 회절격자는, λ/4의 네거티브 위상시프트를 하는 마스크를 통해 묘화된 라인(120)과 교번하는, λ/4의 포지티브 위상시프트를 하는 마스크를 통해 묘화된 라인(121)을 포함한다. 도 16에 도시된 예시에서, 디포커싱은 한 라인(120)를 우측으로 이동시키는 반면, 다른쪽의 라인(121)은 좌측으로 이동시킨다.
마스크(111)상의 서브구조체는 회절격자의 라인(120, 121)상에 서브구조체로서 묘화된다. 그러나, 서브구조체는 0 회절차수만을 생성하므로, 마치 그들이 복 잡한 반사계수를 갖는 평면의 표면처럼 거동하는 것처럼, 제1차수에 대하여 근사화될 수 있다.
상이한 차수의 회절 및/또는 상이한 파장에 대하여 디포커싱하여 발생되는 변위와 비교하여 메트롤로지유닛에 의하여 비대칭성이 측정된다(상술된 바와 같이, 편의상, 상기 시프트는 차수들 또는 SbO 간의 시프트를 일컫는다). 디포커싱하지 않으면, 서브구조체에 의하여 발생된 변위는 0이고, 회절격자는 차수들(SbO) 간의 시프트를 제공하지 않는 완전한 대칭이다. 그러나, 디포커스가 비대칭성을 유발하고, 라인(120, 121)이 거리(Δx)에 걸쳐 반대방향으로 변위된다. 이러한 비대칭성은 후술되는 바와 같은 메트롤로지유닛으로 측정될 수 있는 차수들간의 시프트로서 나타난다.
각각 W 1 , W 2 로 표시되는, 회절차수의 라인(120, 121)의 폭을 이용하면, 포커스 메트롤로지의 감도가 바뀔 수 있다. 이것은, 신호세기를 이용하여, 감도가 커질 수 있음을 나타낸다. 폭(W 1 , W 2 )은 평균부분과 상이한 부분의 조합으로 표현될 수 있다.
Figure 112003034813477-pat00031
도 17은 1차 및 3차에 대한 ΔW의 함수로서 산출된 감도(즉, 측정된 시프트와 실제 시프트간의 비율)를 나타낸다.
ΔW의 작은 값들에 대하여, 차수들간의 측정된 시프트가 매우 크다. 또한, 1차 및 3차수가 반대방향으로 이동하고, 차수들간의 시프트가 더욱 더 증가한다. ΔW=4(임의의 유닛)에 대하여, 2개의 차수에 대하여 감도가 1이되므로, 차수들간의 시프트가 0이된다. 이 경우는 라인(121)이 효과적으로 소멸되는 상황에 해당하여, 디포커스가 존재하더라도 전체 회절격자가 대칭성을 유지하기 때문에, 이것이 그리 놀랍지 않다.
첫눈에, ΔW의 작은 값들을 선택하는 것을 생각될 수 있다. 그러나, ΔW가 감소됨에 따라, 검출된 신호의 세기가 감소된다는 단점이 있다. 도 18은 1차 및 3차 회절차수에 대한 ΔW의 함수로서 정규화된 검출 신호세기를 나타낸다. 여기서는, 신호세기가 정규화되어 있다. 동시에, 신호세기가 매우 작아지면, 레티클이 에러를 기록하고 표면거칠기가 정확성을 제한할 수 있다. ΔW가 작은 경우에는, 회절격자가 P 대신에 P/2를 갖는 회절격자로 거동하기 시작하기 때문에(상기 메트롤로지유닛은 P의 주기를 갖는 격자로부터 회절을 검출하도록 구성됨), ΔW의 작은 값들에 대하여 신호세기가 급속하게 감소하는 사실을 직관적으로 이해할 수 있다. 그러나, 예를 들어, 10의 신호손실인자가 수용가능한 것으로 가정하면(정규화된 신호세기 = 0.1), 메트롤로지유닛에 사용되는 위상 회절격자검출에 매우 동적인범위를 사용하여 이것이 어느 정도는 보상될 수 있다.
회절격자의 모든 주기에 상술된 서브구조체가 제공될 필요는 없음을 이해할 것이다. 필요한 것은 차수들간의 시프트를 소정의 정확성으로 측정하기에 충분한 양의 서브구조체이다.
1차수에 대하여, 차수들간의 시프트는 스테이지 드리프트 및 스테이지 진동에는 둔감하므로, 이 방법은 저품질의 스캐닝스테이지에 특히 유용하다.
본 발명의 또 다른 실시예에는, 웨이퍼상에 노광된 패턴의 선폭(CD)(선폭은 웨이퍼상에 노광된 피처의 분해능을 나타냄)에 민감한 비대칭성이 마커에 제공된다. 비대칭성은 도 19에 도시된, 3개의 상이한 영역을 포함하는 메트롤로지마커를 토대로 하는 방법을 이용하여 측정된다. 상기 영역들은 노광되지 않은(즉, 상승된, 라인)영역(150), 서브구조체(151)를 갖는 영역 및 노광된(즉, 후퇴된, 공간)영역(152)이다. 3개의 영역들은 4P의 주기를 갖는 비대칭위상 회절격자(154)의 한 주기를 함께 형성한다(여기서, P는 수미크론 정도이다). 서브구조체(151)는 리소그래피 투영장치의 제한 분해능에 필적하는 주기를 가진다; 이 경우에는, 편의상, P/5로 설정된다. 노광되지 않은 영역(150) 및 노광된 영역(152)이 모두 P의 폭을 갖는 반면, 서브구조체 영역(151)은 2P의 폭을 가진다.
다시, 도 19를 참조하면, 제공된 회절격자가 비대칭임을 알 수 있다. 또한, 회절격자의 비대칭성이 CD의 함수로서 변화한다. 비대칭성은 도 3 및 도 4의 메트롤로지유닛에 의하여 차수들간의 시프트로 정확하게 검출되어(상술된 바와 같이, 이것은 회절차수들간의 시프트 및/또는 파장들간의 시프트일 수 있음), CD의 측정을 제공한다.
CD의 변화의 영향은 도 19를 참조하여 직관적으로 이해할 수 있다. CD가 예를 들어, P/12.5(ΔCD=-P/50)로 개선되는 경우에는, 서브구조체(151)의 각각의 선폭이 좁아질 것이다. 이것은 회절격자의 '무게중(centre of gravity)'(즉, 메트롤로지유닛에 의하여 측정된 회절격자의 중심)이 좌측으로 이동되게 한다. 달리 말하면, 서브구조체가 검출기로 광을 덜 회절시키는 반면, 라인(150)에 의하여 회절 된 광의 양은 변하지 않으므로, 회절된 광의 세기중심이 라인(150)쪽으로 이동된다(즉, 좌측으로 이동된다). 회절격자(154)의 무게중의 이동량은 회절격자(154)로부터 회절된 광의 파장 및 회절차수에 따라 달라진다. 이것은, 상이한 차수들(또는 파장들)에 대한 상대이동의 교정이 수행된다면, 차수들(또는 파장들) 간의 시프트를 관찰하여, CD의 측정이 얻어질 수 있다는 것을 의미한다.
직관적인 예시의 극단적인 경우가 도 20에 예시된다. 도 20a를 참조하면, CD가 0이면(ΔCD=-P/10), 서브구조체가 존재하지 않게 되므로, 회절격자(154)의 무게중이 회절격자의 라인(150)의 중심으로 측정된다. 도 20b는 반대의 극단적인 경우를 나타낸다. P/5의 CD(ΔCD=+P/10)는 서브구조체(151)를 합병하게 하므로, 회절격자(154)의 무게중은, 라인(150)의 시작부와 합병된 서브구조체영역(151)의 끝단부 사이의 중점으로 측정된다. 따라서, 메트롤로지유닛에 의한 P의 시프트로 P/5의 CD변화를 알 수 있을 것이다.
도 19 및 도 20에 도시된 회절격자(154)의 구성은 단지 예시로서 제공된 것임을 유의하여야 한다. 당업자들이 명확히 이해하는 바와 같이, 실제로 매우 다양한 구성이 가능하다. 서브구조체에 의하여 유발되는 비대칭성의 부호는 포지티브 레지스트가 사용되는지 네거티브 레지스트가 사용되는지에 따라 달라진다. 도 20에 도시된 예시는 포지티브 레지스트에 관한 것이다.
본 발명의 또 다른 실시예에서는, 차수들간의 시프트가 웨이퍼상에 묘화된 패턴에 대한 처리효과에 민감한 비대칭성을 측정하는데 사용된다. 마커의 일부에서 공정효과가 제거되는 것에 의존하는 상기 방법은 도 21에 개략적으로 도시된다. 2개의 회절격자(180, 181)를 포함하는 마커가 웨이퍼의 레지스트층에 노광된다(마크들은 도 21의 위에서 바라본 것이다). 제1회절격자는 제1주기(P/N)를 갖고, 제2회절격자는 제2주기(P/M)을 가진다. 웨이퍼는 종래의 방법으로 처리된다. 그 다음, 상기 프로세싱에서 제2회절격자가 제고된다. 이것은, 웨이퍼에 레지스트층을 도포하는 단계, 제2회절격자의 영역을 노광하는 단계, 상기 영역을 현상 및 에칭하는 단계 및 레지스트의 영역을 벗겨내는 단계에 의하여 수행된다. 그런 다음, 마커는 처리된 제1회절격자(180a) 및 프로세싱효과들이 제거된 제2회절격자(181a)를 포함한다. 제1 및 제2회절격자(180a, 181a)의 상대위치는 도 7 및 도 8에 관하여 상술된 바와 같이, 차수들간의 시프트를 이용하는 방법에 의하여 측정된다. 이것은 프로세싱이 처리된 회절격자상에서 이루어지는 측정효과를 제공하고, 여타의 처리된 회절격자를 토대로 정렬을 보정하는데 사용될 수 있다.
본 발명의 상기 실시예에는 이미징에러 또는 상이한 위치측정값을 제공하는 상이한 검출차수들(또는 색상)로부터 발생하는 에러가 발생할 수 있다. 이를 보정하기 위하여, 도 22에 도시된 바와 같이 추가적인 마커가 노광될 수 있다. 좌측 마커는 도 21과 동일한 회절격자(180a, 181a)를 포함한다. 우측 마커는 좌측마커를 노광하는데 사용된 것과 동일한 마스크(도 1참조)를 이용하여 노광된다(이는 마스크에서 발생하는 에러를 피하기 위한 것이다). 그러나, 우측 마커에서는, 2개의 회절격자(180b, 181b)에서 프로세싱효과가 제거되었다. 우측 마커는 좌측 마커로 수행되는 측정에서의 에러를 보정하는데 사용되는 차수측정값 간의 시프트를 제공하는데 사용된다.
대안적인 메트롤로지유닛이 비대칭성을 측정하는데 사용될 수 있으며, 이에 따른 선폭 또는 여타의 특성들은 도 23에 도시된다. 광대역 가간섭성 광원(200)(예를 들어, 광대역 레이저)이 45°거울(201)내의 개구부를 통과하는 광의 시준된 빔을 생성하고, 이는 렌즈(202)에 의하여 회절격자(203)으로 포커싱된다(회절격자는 도 19 및 도 20에 도시된 종류이다). 회절격자(203)에 의하여 회절된 광은 렌즈(202)에 의하여 시준되고, 45°거울에 의하여 반사되며, 제2렌즈(204)에 의하여 한 세트의 투과기준회절격자(205)상으로 포커싱된다. 투과기준회절격자(205)를 통과하는 광은 제3렌즈(206)에 의하여 분광계회절격자(207)상으로 시준된다. 분광계회절격자(207)는 광의 파장에 의하여 정해지는 각도로 광을 회절시킨다. 회절된 광은 제4렌즈(208)에 의하여 검출기어레이(209)상으로 포커싱된다. 도시된 바와 같이 바람직한 실시예에는, 메트롤로지유닛이, 회절격자(203)를 통과하는 광의 선형 편광(linear polarisation)을 제어하는데 사용되는 편광기(211) 및 종래의 반사계(reflectometer)하드웨어(210)를 더 포함한다. 종래의 반사계 하드웨어(210)은 당업자들에게는 잘 알려져 있으므로, 여기서는 설명하지 않는다. 회절격자(203)에 의하여 산란된 0차의 광은 역반사되고, 표준 반사계 하드웨어를 통과한다. 이러한 광은, 정규의 반사계와 유사한 방식으로 검출되고 처리된다.
도 23에서, 3개의 스캐닝투과기준 회절격자(205)가 서로 인접하여 제공되는 것을 알 수 있다. 이것은 검출기어레이(209)에서 상이한 회절차수의 측정을 하여 수행된다.
본 발명의 살술된 실시예들은 개별적으로 또는 조합하여 사용될 수 있다. 또한, 주어진 마커가 정렬용으로 사용된 후, 연이어 메트롤로지용으로 사용될 수 있다. 도 24 내지 도 26과 관련된 이하의 설명은 이러한 방법의 예를 포함한다:
도 24를 참조하면, 웨이퍼상의 공정층내의 (위에서 본)마커는 도 3 및 도 4에 도시된 메트롤로지유닛의 특정 검출기(혹은 1이상의 파장이 사용되는 경우에는 특정 검출기들)에 의하여 우선적으로 검출되도록 배치된 제1주기(P/N)의 회절격자(250)를 포함한다. 정렬유닛이 회절격자의 위치를 측정하고, 이는 그 다음 층의 웨이퍼상으로의 묘화를 위하여 정렬된 위치를 정하는데 사용된다. 정렬유닛은 예를 들어, 도 3 및 도 4에 도시된 바와 같이, 검출된 신호가 상이하게 사용될 수 있는 방식으로, 메트롤로지유닛과 동일한 장치를 포함한다(여기서는, 정렬유닛 및 메트롤로지유닛이라는 용어가 서로 교체될 수 있다).
연이어 묘화되는 층은 제1회절격자의 다른쪽에 위치된 제2주기(P/M)의 2개의 회절격자(251)를 포함한다. 이들 제2회절격자들은 제1회절격자를 검출하는데 사용되는 것보다 메트롤로지유닛의 상이한 특정 검출기(또는 검출기들)에 의하여 우선적으로 검출되도록 배치된다. 3개의 회절격자(250, 251)가 조합하여 비대칭마커(오버레이가 완전하지 않다고 가정)를 형성하며, 이는 도 7 내지 도 12에 대하여 상술된 바와 같이 오버레이를 측정하는데 사용될 수 있다.
제2회절격자를 갖는 층의 프로세싱을 따르면, 웨이퍼상의 또 다른 층의 묘화를 위하여 정렬위치를 정하도록 제2회절격자들이 사용될 수 있다.
제2회절격자(251)는 제1회절격자에 인접하여 위치된 추가 회절격자(252)를 선택적으로 수반할 수 있다. 추가 회절격자는 주기(P/N)를 갖고, 웨이퍼상의 또 다른 층의 묘화를 위한 정렬위치를 정하는데 사용될 수 있다. 추가 회절격자는 또한, 또 다른 층이, 적절한 주기를 갖는 적절하게 위치된 회절격자(253)를 포함하는 경우에는, 상술된 바와 유사한 방식으로 오버레이를 측정하는데 사용될 수도 있다.
본 발명은 도 25에 도시된 종류의 마커를 이용하여 처리함으로써 발생된 비대칭을 측정하는데 사용될 수 있다. 도 25를 참조하면, 마커는 보다 긴 제2주기(P/M)를 갖는 회절격자(256)를 포함하는 제2부분들 사이에 끼워지는 제1주기(P/N)를 갖는 회절격자(255)를 포함하는 중앙부를 포함한다. 마커는 상이한 회절주기에 대하여 알려져 있는 차수들간의 시프트의 측정을 하며, 그 다음에는 처리에 의하여 발생된 비대칭성의 측정을 한다. 전체 타겟부가 묘화되고 한 층에 대하여 처리된다(즉, 회절격자가 상이한 층들에 놓여지지 않는다). 보다 긴 주기(P/M)가 보다 짧은 주기(P/N)보다 비대칭성처리에 의하여 더 영향을 받는다. 차수들간의 시프트와 처리의 비대칭성 사이의 관계는 상이한 비대칭성을 갖는 프로세싱이 진행되는 웨이퍼를 이용하여 미리 교정될 수 있고, 차수들간의 특정 시프트를 상승시키는 비대칭성을 정량화하도록 저장될 수 있다. 타겟부는 예를 들어, 저장된 교정데이터와 비교할 수 있는 차수측정값들 사이에 몇몇 시프트를 발생시켜, 비대칭성이 정량화될 수 있도록, 정확성을 향상시키는데 사용될 수 있는 추가 회절격자들을 포함할 수 있다.
도 26에 도시된 바와 같이, 도 25와 관련하여 기술된 실시예가 도 24와 관련하여 기술된 실시예와 조합될 수 있다. 본 실시예에서는, 제1타겟부가, 보다 긴 제2주기(P/M)를 갖는 회절격자(261)를 포함하는 제2부분들 사이에 끼워진, 제1주기(P/N)를 갖는 회절격자(260)을 포함하는 중앙부를 포함한다. 회절격자(260, 261)는 공정층내에 놓여지고, 상술된 바와 같이 공정비대칭성을 측정하는데 사용될 수 있다. 또한 공정층에는, 제1주기(P/N)를 갖는 추가 회절격자(262)가 제1타겟부에 인접하여 놓여진다. 그 다음, 회절격자가 레지스트층으로 묘화된다. 제2주기(P/M)의 회절격자(263)는 추가 공정층 회절격자(262)의 한쪽에 놓여진다. 상기 형태와 함께, 상술된 바와 같이 차수들간의 시프트를 이용하여 오버레이를 측정하는데 타겟부가 사용될 수 있다(상기 타겟부는 오버레이 타겟부라 불림). 보다 긴 제2주기(P/M)를 갖는 회절격자(265)를 포함하는 제2부분들 사이에 끼워진, 제1주기(P/N)를 갖는 회절격자(264)을 포함하는 중앙부를 포함하는 제3타겟부도 또한 레지스트층으로 묘화된다. 이러한 제3타겟부는 센서에러(상기 참조)를 보정하는데 사용될 수 있다.
메트롤로지와 정렬측정값 및/또는 메트롤로지측정값의 소정의 조합을 얻기위하여 상술된 본 발명의 실시예들의 조합이 사용될 수 있음을 이해할 것이다.
상술된 본 발명의 오버레이 메트롤로지 실시예들은, 메트롤로지유닛을 이용하여 측정되는 비대칭마커에 관하여 설명된다. 일반적으로, 메트롤로지유닛은 웨이퍼상의 레지스트의 현상 및 베이킹 후에 사용되며(도 2의 S8), 리소그래피 투영장치로부터 소정의 거리만큼 떨어져서 놓여진다(웨이퍼는 트랙으로 알려져 있는 컨베이어를 통하여 리소그래피 투영장치로부터 메트롤로지유닛으로 운반될 수 있다). 그러나, 본 발명이 리소그래피 공정사이클의 다른 스테이지에서 오버레이 메트롤로지측정값을 얻는데 사용될 수도 있으며, 상기 메트롤로지유닛이 대안적인 위치에 놓여질 수도 있음을 이해할 것이다. 예를 들어, 메트롤로지유닛은 리소그래피 투영장치내에 놓여질 수도 있고, 예를 들어, 2개의 이미 처리된 제품층 또는 잠재적인 이미지를 만드는 제품층 및 레지스트층에 대한 오버레이 측정값 등의 메트롤로지측정값을 얻는데 사용될 수도 있다(메트롤로지유닛은 정렬을 측정하는데 사용되는 것과 동일한 유닛일 수 있다).
2개의 이미 처리된 제품층에 대한 즉, 에칭 및/또는 처리 후의 메트롤로지측정값을 얻기 위하여, 2개의 층들에는 예를 들어, 약간의 비대칭형태로 제공되는 회절격자들이 제공된다(비대칭은 상술된 형태 중의 하나일 수 있다). 새로운 층을 노광할 수 있도록 레지스트층이 종래의 방법으로 웨이퍼에 인가되고, 웨이퍼가 리소그래피 투영장치를 통과한다. 새로운 층의 노광에 앞서, 상술된 1이상의 방법을 이용하여, 회절격자들에 존재하는 비대칭성에 의하여 메트롤로지측정값을 얻는데 메트롤로지유닛이 사용된다. 메트롤로지 측정은 노광후에 얻어질 수도 있다는 것을 이해해야 한다. 종래에는, 메트롤로지 유닛이 후속의 노광을 위한 정렬정보를 얻는데도 사용되는 유닛을 포함할 수 있다(즉, 별개의 메트롤로지 및 정렬유닛을 필요로 하지 않는다). 적절한 비대칭을 갖는 회절 격자들의 비교를 통해 몇몇 선행 제품 층에 대한 오버레이 메트롤로지 측정값을 얻을 수 있다는 것을 이해해야 한다. 일반적으로 말해, 층 n+1의 노광 이전에, 이전 층 n, n-1(또는 n-2,...,n-m)에서 노광된 마크가 측정되어 층 n과 n+1(또는 n-2,...,n-m 및 그들의 조합)간의 오버레이 메트롤로지를 알 수 있다.
통상적으로, 오버레이 메틀롤로지 측정값들은 노광을 위한 웨이퍼의 정렬이 진행되는 동안 얻어질 수 있다. 즉, 정렬유닛이 정렬목적으로 소정의 정렬 회절 격자에 걸쳐 배치되는 경우, 상기 회절 격자만을 토대로 하여 정렬을 제공하는 제1측정값 및 정렬 회절 격자의 위 또는 아래 층에 배치되는 회절 격자를 토대로 하여(또는 양 회절 격자의 조합을 토대로 하여) 제2측정값을 얻을 수 있으며, 상기 제2측정값은 오버레이 메틀롤로지 측정값을 제공하는데 사용된다. 듀얼 스테이지 리소그래피 장치가 사용되는 경우에(즉, 웨이퍼가 예를 들어 EP 1037117에 설명된 바와 같이, 노광 이전의 개별 스테이지에서 맵핑되는 경우에), 오버레이 메트롤로지 측정값은 어떠한 생산성의 감소 없이 수행될 수 있다.
이러한 방식의 방법을 사용하는 것은 오버레이 메틀롤로지 측정값이 매 웨이퍼에 대해 수행될 수 있도록 함으로써 생산불능의 웨이퍼 또는 다이가 검출되지 않을 가능성을 최소화시키기 때문에 유리하다. 이는, 오버레이 메틀롤로지 측정값이 웨이퍼들 중 대표적 샘플에 대해서만 수행되는 종래의 형태와 비교하여 바람직하다. 제공되는 오버레이 메트롤로지 데이터는 소정의 레지스트 층 n에 대한 일단의 후속 웨이퍼들에 적용될 교정 추정치를 제공하는데 사용될 수 있다(피드백). 도한, 예를 들어, 프로세싱 단계들을 비교할 수 있는 경우, 메트롤로지 데이터는 후속 층에 적용될 교정 추정치를 제공하는데 사용될 수 있다(피드포워드).
본 발명을 구현한 오버레이 메트롤로지는 잠상(latent image)에 대하여 수행될 수도 있다. 상기 잠상은 레지스트에서 노광된, 즉 노광 후 베이크를 하지 않는 상일 수 있다. 하지만, 몇몇 예에 있어 상기 상들을 분해할 수 없고, 그런 경우 노광 후 베이크가 사용될 수 있다.
본 발명은 오버레이 메틀롤로지 이외에도 상술된 바와 같이 포커스 메틀롤로지, 임계 치수 메트롤로지 및 여타 메트롤로지를 제공하는데 사용될 수도 있다. 이렇게 수행될 경우, 프로세스 층 또는 레지스트 층의 마커에 대한 측정이 수행될 수 있다. 상기 메트롤로지 측정값들은 노광 후 베이크 전 또는 후의 잠상에 대하여 수행될 수도 있다. 메트롤로지 유닛은 상술된 위치 중 어딘가에 있을 수 있다.
당업계에서는 회절을 얻기 위하여 반드시 회절 격자를 사용할 필요는 없다고 알려져 있다. 회절은 적절한 치수의 단일 피처(통상적으로 상기 피처는 조명의 파장 정도로 되어 있음) 또는 여타 적절한 치수의 마커상으로 조명을 부여하여 얻을 수 있다. 회절 격자들의 사용은 그들이 강한 회절신호를 제공하기 때문에 본 발명의 상술된 실시예들을 위해 바람직하다. 하지만, 본 발명은 회절 격자이 아닌 마커를 사용하여 실행될 수도 있다는 점을 이해해야 한다. 예를 들어, 도 5와 관련하여 설명된 본 발명의 실시예를 고려해 보기로 하자. 도시된 마커는 4 라인의 제품 회절 격자 및 4 라인의 레지스트 회절 격자를 포함한다. 각 회절 격자의 3 라인이 마커로부터 제거될 경우, 마커는 제품 층의 단일 라인 위에 배치되는 레지스트 층의 단일 라인을 포함한다. 마커에서 지향된 광은 마커에 의하여 회절된다. 상이한 회절 차수 및/또는 파장은 메트롤로지 유닛에 의하여 검출된다. 상이한 회절 차수 및/또는 파장에 대하여 측정된 마커의 위치 차는 상술된 형태로 오버레이를 측정하는데 사용될 수 있다.
회절격자에 대하여 상술된 본 발명의 기타 실시예들은 단일 피처 또는 여타 적절한 치수의 마커를 사용하여 수행될 수도 있다는 점을 이해해야 한다.
본 발명이 정확하게 기능하도록 하기 위하여 필요한 것은 어느 정도의 비대칭을 포함하는 마커이다. 마커가 완전 대칭이라면, 본 발명에 따른 메트롤로지를 제고할 수 없게 된다. 다음은 왜 비대칭이 요구되는지에 대한 수학적인 설명이다.
위치(x0) 주위에서 대칭이고 또한 x0 주위에서 대칭인 광 빔으로 조사되는 고립된 피처 마커를 고려해 보기로 하자. 대칭을 고려하면, 이러한 구조에 의하여 생성되는 가까운 필드는 x0 주위에서 동일한 형태의 대칭을 나타내어야 한다.
Figure 112003034813477-pat00032
여기서, 파장(λ)에서의 가까운 필드의 종속성이 명백히 나타난다.
간략히 하여, 1차원(x)만을 고려하나, 2차원으로의 확장은 쉽게 이루어질 수 있다. 상술된 필드의 전파는 동차(homogeneous) 미디어의 파장 방정식을 따른다. 스캐터링 마커로부터 매우 멀리 떨어진 결과적 필드 분포를 소위 먼 필드라 칭한다. 상기 먼 필드가 가까운 필드의 푸리에 변환인 상기 주제에 대해서는 다양한 참고서적[예를 들어, J.W.Goodman의 Introduction to fourier Optics, McGraw-Hill출판사]에 제시되어 있다. 즉, 대칭에 의하여, 상기 먼 필드 또한 대칭을 갖추어야 한다.
Figure 112003034813477-pat00033
여기서, 첨자 'e'는 먼 필드 각(θ)의 우함수를 나타내고, k = 2πsin(θ)/ λ는 각도를 가진 공간 주파수(spatial angular frequency)라 칭한다. 상기 방정식에서 2nd란 표현은 단지 푸리에 시프트 이론을 사용한다. 공간 도메인의 시프트는 주파수 도메인의 선형 상 시프트를 가져온다. 즉, 대칭의 마커는 항시 먼 필드의 대칭의 진폭을 가진다. 또한, 먼 필드의 상(
Figure 112003034813477-pat00034
) 역시 대칭이고 존재할 수 있는 유일한 비대칭의 요소는 마커의 변위에 의하여 유발되는 선형 상 시프트이다.
이러한 처리는 회절격자 및 고립된 물체에 대하여 타당하다는 것에 유의해야 한다. 이는, 기본적으로 광학정렬센서 개념을 수학적으로 공식화한 것이다. 기본적으로, 존재하는 광학정렬센서는 네거티브 공간 주파수들
Figure 112003034813477-pat00035
의 선택된 영역과 포지티브 공간 주파수
Figure 112003034813477-pat00036
의 해당 영역 사이의 상 차를 비교한다. 상기 상 차는 x0만의 함수이고, 짝수 상 차(
Figure 112003034813477-pat00037
)와는 독립적이다. 이러한 측정은 정렬을 위해서는 적합하나 메트롤로지 측정을 제공하지는 않는다.
본 발명의 실시예들은 메트롤로지 유닛이 상이한 차수/칼라에 대한 비대칭(복합(composite)) 회절 격자의 명백한 위치를 측정한다는 사실에 의존하고 있다. 이들 실시예들의 공통적 인자는 회절격자의 비대칭은 측정될 필요가 있는 메트롤로지 파라미터의 (비-선형) 함수라는 사실이다(Overlay, CD, 렌즈 수차,...).
위치 x0에서 비대칭 마커에 의하여 생성된 가까운 필드 역시 일반적으로 비대칭이다. 수학적으로는, 항상 상기 가까운 필드를 대칭(=짝수) 및 비대칭 요소(= 홀수)로 분해할 수 있다.
Figure 112003034813477-pat00038
여기서, 첨자 'e' 및 'o'는 각각 다음의 특성을 갖는 우 복소함수 및 기 복소함수를 나타낸다.
Figure 112003034813477-pat00039
상기 가까운 필드를 푸리에 변환하고 푸리에 변환의 선형성을 이용하면 또한 대칭(=짝수) 및 비대칭(=홀수)로 이루어지는 먼 필드가 생긴다.
Figure 112003034813477-pat00040
상술된 기 복소함수의 특성에 따르면, 상기 상 및 진폭이란 용어는 다음을 따른다.
Figure 112003034813477-pat00041
상기의 다소 추상적인 분석을 실제의 해석으로 진행시키기 전에, 상기 분석이 어떠한 마커에 대해 타당할지를 강조하는 것은 가치가 있다. 또한, 짝수 및 홀수 상의 항
Figure 112003034813477-pat00042
Figure 112003034813477-pat00043
는 공간 주파수(=먼 필드 각(θ)) 및 파장(λ)의 함수이다(즉, 항들간의 차는 차수들간의 시프트를 측정함으로써 알 수 있다).
도 27은 x0 = 0일 경우(즉, 물체가 정의된 제 위치에 있는 경우)의 상황에 대한 도식적 해석을 나타내고 있다. 이 경우에, 2 먼 필드 각 θ와 -θ에서 회절된 필드의 대칭부의 복소 진폭은 동일하나 선택된 각과 파장에 따른다. 도 27에는 비대칭의 복수 필드가 도시되어 있고, 짝수 부에 대한 상(α) 역시 먼 필드 각 및 파장에 따른다.
메트롤로지 유닛은 먼 필드의 대칭 및 비대칭부를 구분하지 않는다. 그것은 단지 도 27에 나타낸 짝수 및 홀수 필드의 벡터합인 전체 필드를 측정한다. 일반적으로, 메트롤로지 유닛은 미러링된(mirrored) 공간 주파수간의 상 차(ψ)(또는 등가적으로: 먼 필드 각)을 측정한다. 벡터구조는 상기한 바가 스펙트럼의 짝수 및 홀수부의 크기 및 상대적인 상에 따른다는 것을 명백히 보여준다. 일반적으로, 마커의 비대칭의 변화는 먼 필드의 짝수 및 홀수부를 변화시킨다. 이러한 변화는 파장/먼 필드 각에 의존적이고 여기서 측정가능한 위치를 얻게된다.
Figure 112003034813477-pat00044
여기서, 첨자 'm'은 그것이 2 항, 즉 '참' 위치 x0 및 비대칭 오프셋으로 이루어진 '측정된' 위치와 관련된다는 것을 나타낸다. 상기 참 위치는 파장 및 공간주파수에 독립적이기 때문에 2 상이한 칼라 및/또는 공간주파수(회절격자의 경우에는 "회절차수")에 대한 위치를 측정함으로써 알려지지 않은 항을 제거할 수 있다.
Figure 112003034813477-pat00045
벡터구조는 콘트라스트(즉, 진폭 차) 또한 사용될 수 있다는 것을 보여줌에 주목하라. 하지만, 이는, 비대칭효과는 일반적으로 매우 작아서 균일성으로부터 약간만 벗어난 콘트라스트를 가져오기 때문에 바람직하지 않다.
본 발명에 따르면 상기한 바와 같은 종래기술의 문제점들을 해결하는 검사장치를 제공할 수 있다.

Claims (68)

  1. 마커의 비대칭 형태가 검사될 파라미터에 종속하는 비대칭 마커를 검사될 디바이스상에 제공하는 단계, 상기 마커에 광을 지향시키는 단계, 특정한 파장이나 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치측정값을 얻는 단계, 상이한 파장이나 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭의 정도를 나타내는 시프트를 판정하는 단계를 포함하는 것을 특징으로 하는 디바이스 검사방법.
  2. 제 1항에 있어서,
    상기 제 1 및 제 2 위치측정은 상이한 회절각도를 가지나 동일한 파장을 가지는 회절광의 검출을 포함하는 것을 특징으로 하는 디바이스 검사방법.
  3. 제 1항에 있어서,
    상기 제 1 및 제 2 위치측정은 동일한 회절각도를 가지나 상이한 파장을 가지는 회절광의 검출을 포함하는 것을 특징으로 하는 디바이스 검사방법.
  4. 제 1항에 있어서,
    상기 제 1 및 제 2 위치측정은 상이한 회절각도 및 상이한 파장을 가지는 회 절광의 검출을 포함하는 것을 특징으로 하는 디바이스 검사방법.
  5. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 제 1 및 제 2 위치측정은 동시에 수행되는 것을 특징으로 하는 디바이스 검사방법.
  6. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 마커는 하나 이상의 회절격자를 포함하는 것을 특징으로 하는 디바이스 검사방법.
  7. 제 6항에 있어서,
    상기 하나 이상의 회절격자는 위상 회절격자를 포함하는 것을 특징으로 하는 디바이스 검사방법.
  8. 제 6항에 있어서,
    상기 마커는 상기 디바이스의 제 1 층내에 제공된 제 1 회절격자 및 상기 디바이스의 제 2 층내에 제공된 제 2 회절격자를 포함하고, 상기 제 1 회절격자 및 제 2 회절격자는 동일한 주기를 가지며 다른 하나의 위에 하나가 위치되어 상기 광이 상기 두 회절격자에 의해 조합하여 회절되고, 상기 회절격자 사이의 측정된 비대칭성은 상기 제 1 및 제 2 층의 오버레이를 나타내는 것을 특징으로 하는 디바이스 검사방법.
  9. 제 8항에 있어서,
    상기 제 1 회절격자의 라인들은 상기 제 2 회절격자의 라인보다 좁은 것을 특징으로 하는 디바이스 검사방법.
  10. 제 8항에 있어서,
    상기 시프트는 상기 제 1 및 제 2 층의 상기 오버레이를 판정하는데 이용되는 것을 특징으로 하는 디바이스 검사방법.
  11. 제 10항에 있어서,
    상기 오버레이는 상기 제 1 및 제 2 층내에 각각 제공된 제 3 및 제 4 회절격자에 의하여 교정되고, 상기 제 3 및 제 4 회절격자는 상기 제 1 및 제 2 회절격자에 인접하여 배치되는 것을 특징으로 하는 디바이스 검사방법.
  12. 제 11항에 있어서,
    제 1 부호의 오버레이 오프셋이 상기 제 1 및 제 2 회절격자 사이에 제공되고, 반대 부호의 오버레이 오프셋이 상기 제 3 및 제 4 회절격자 사이에 제공되는 것을 특징으로 하는 디바이스 검사방법.
  13. 제 12항에 있어서,
    상기 오프셋들의 크기는 가장 큰 소정 오버레이 측정값 정도인 것을 특징으로 하는 디바이스 검사방법.
  14. 제 13항에 있어서,
    상기 오프셋들은 100nm 정도인 것을 특징으로 하는 디바이스 검사방법.
  15. 제 11항 내지 제 14항 중 어느 한 항에 있어서,
    상기 오버레이 교정은, 상기 디바이스 상의 다른 위치들에서의 또다른 회절격자들을 이용하여 얻어진 오버레이 측정값들을 교정하는 것을 특징으로 하는 디바이스 검사방법.
  16. 제 11항 내지 제 14항 중 어느 한 항에 있어서,
    상기 제 1 , 제 2, 제 3 및 제 4 회절격자에 부가하여, 제 5 및 제 6 회절격자가 상기 제 1 및 제 2 층에 각각 제공되고, 상기 제 5 및 제 6 회절격자는 상기 오버레이 측정값의 교정 정확성을 증가시키는데 사용되는 상이한 오프셋을 가지는 것을 특징으로 하는 디바이스 검사방법.
  17. 제 11항 내지 제 14항 중 어느 한 항에 있어서,
    다른 회절격자들에 인접하여 추가의 회절격자가 상기 제 1 층 또는 제 2 층 내에 제공되고, 상기 방법은 상기 측정된 시프트의 센서 에러를 판정하기 위하여 상기 추가의 회절격자에 대한 시프트를 측정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  18. 제 8항에 있어서,
    상기 제 1 및 제 2 회절격자에 서브구조체가 제공되고, 상기 회절격자들 중 하나의 상기 서브구조체는 위상점프를 포함하여 상기 서브구조체의 상대적 위치들의 함수로서 상기 회절광 내에 비대칭이 발생하는 것을 특징으로 하는 디바이스 검사방법.
  19. 제 18항에 있어서,
    상기 서브구조체의 피처 크기(feature size)는 상기 디바이스상에 상기 회절격자를 투영시키는데 사용되는 상기 리소그래피 투영장치의 분해능 한계 정도인 것을 특징으로 하는 디바이스 검사방법.
  20. 제 18항에 있어서,
    상기 서브구조체의 상기 피처 크기는 상기 서브구조체로부터의 회절이 발생하고 상기 제 1 층 및 상기 제 2 층 사이에 전파될 정도로 상기 회절격자에 지향된 상기 광의 파장에 비하여 충분히 크나, 상기 서브구조체의 상기 피처 크기는 상기 서브구조체로부터의 회절이 측정시 검출되지 않도록 충분히 작은 것을 특징으로 하는 디바이스 검사방법.
  21. 제 18항에 있어서,
    상기 제 1 및 제 2 회절격자에는 제 1 부호의 오버레이 오프셋이 제공되고, 반대의 부호의 오프셋을 가지며 동일한 서브구조체를 갖는 제 3 및 제 4 회절격자가 제공되어, 상기 오프셋들이 오버레이 측정값을 교정하는데 이용되는 것을 특징으로 하는 디바이스 검사방법.
  22. 제 21항에 있어서,
    다른 회절격자들에 인접하여 상기 제 1 층 또는 상기 제 2 층에 부가의 추가 회절격자가 제공되고, 상기 방법은 상기 측정된 시프트의 센서 에러를 판정하기 위하여 상기 부가의 회절격자에 대한 시프트를 측정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  23. 제 18항에 있어서,
    상기 서브구조체의 측정값들은 서브구조체 형상을 재구성하는데 이용되고 이에 의하여 상기 측정된 시프트와 오버레이를 관련시키는 것을 특징으로 하는 디바이스 검사방법.
  24. 제 6항에 있어서,
    상기 마커는 상기 디바이스의 제 1 층내에 제공되는 제 1 회절격자 및 상기 디바이스의 제 2 층내에 제공되는 제 2 회절격자를 포함하고, 상기 제 1 회절격자 및 상기 제 2 회절격자는 상이한 회절차수 또는 파장에서 강한 회절을 발생시키도록 각각 선택된 상이한 주기를 가지고, 상기 마커의 비대칭성은 상기 제 1 및 제 2층의 오버레이에 종속하고, 상기 측정은, 하나의 회절차수 또는 파장을 측정함으로써 상기 제 1 회절격자의 위치를 측정하는 단계와 다른 하나의 회절차수 또는 파장을 측정함으로써 상기 제 2 회절격자의 위치를 측정하는 단계를 포함하여, 상기 측정된 위치들 사이의 상기 시프트는 상기 마커의 비대칭성 및 상기 제 1 및 제 2 층의 오버레이를 나타내는 것을 특징으로 하는 디바이스 검사방법.
  25. 제 24항에 있어서,
    두 회절격자에 의하여 회절된 광이, 측정된 회절차수로서 동일한 주파수를 가지는 강하게 결합된 신호를 산출하지 않도록 상기 제 1 및 제 2 회절격자의 주기가 선택되는 것을 특징으로 하는 디바이스 검사방법.
  26. 제 24항 또는 제 25항에 있어서,
    상기 제 1 및 제 2 회절격자는 다른 하나 위에 하나가 제공되는 것을 특징으로 하는 디바이스 검사방법.
  27. 제 24항 또는 제 25항에 있어서,
    상기 제 1 및 제 2 회절격자는 공간적으로 분리되어 있는 것을 특징으로 하는 디바이스 검사방법.
  28. 제 27항에 있어서,
    상기 제 1 회절격자는 회절격자 쌍으로서 상기 제 2 회절격자에 인접하여 위치되는 것을 특징으로 하는 디바이스 검사방법.
  29. 제 28항에 있어서,
    상기 제 2 회절격자와 동일한 주기를 갖는 제 3 회절격자 및 상기 제 1 회절격자와 동일한 주기를 갖는 제 4 회절격자를 포함하는 제 2 회절격자 쌍을 제공함으로써 회전 에러가 회피되고, 상기 제 2 쌍은, 상기 회절격자의 라인들에 대해 가로지르는 방향으로, 상기 제 1 회절격자 쌍에 관하여 측면으로 변위되는 것을 특징으로 하는 디바이스 검사방법.
  30. 제 29항에 있어서,
    하나의 회절격자가 다른 회절격자의 일측에 놓인 2줄로 분할되고, 상기 분할은 상기 회절격자들의 라인들의 방향을 가로지르는 축을 따르는 것을 특징으로 하는 디바이스 검사방법.
  31. 제 30항에 있어서,
    상기 제 1 회절격자 및 제 2 회절격자 모두가 2개 이상의 교번하는 줄로 분할되는 것을 특징으로 하는 검사방법.
  32. 제 31항에 있어서,
    상기 제 1 회절격자 및 상기 제 2 회절격자는 상기 회절격자들의 라인들의 방향을 가로지르는 방향으로 놓인 대칭의 공통 축을 갖는 것을 특징으로 하는 디바이스 검사방법.
  33. 제 31항 또는 제 32항에 있어서,
    상기 줄들은 상기 줄들의 간격에 의하여 정의되는 주기를 갖는 회절격자를 형성하도록 배치되는 것을 특징으로 하는 디바이스 검사방법.
  34. 제 33항에 있어서,
    상기 제 1 회절격자에 의하여 회절된 광과 상기 제 2 회절격자에 의하여 회절된 광 사이의 커플링에 의하여 야기된 비트 주파수의 강도를 모니터하는 단계를 더욱 포함하여, 상기 줄들의 간격에 의해 정의된 상기 주기에 평행한 방향내의 오버레이의 표시를 제공하는 것을 특징으로 하는 디바이스 검사방법.
  35. 제 34항에 있어서,
    상기 줄들의 간격은, 캡처 에러에 기인하여 발생하는 오버레이 에러가 강한 커플링을 발생시키도록 선택되는 것을 특징으로 하는 디바이스 검사방법.
  36. 제 30항 내지 제 32항 중 어느 한 항에 있어서,
    다른 회절격자에 대하여 상기 회절격자들 중 하나에 오프셋이 도입되고, 상기 제 1 회절격자에 의하여 회절된 광과 상기 제 2 회절격자에 의하여 회절된 광 사이의 커플링을 최소화하도록 상기 오프셋의 크기가 선택되는 것을 특징으로 하는 디바이스 검사방법.
  37. 제 24항 또는 제 25항에 있어서,
    상기 방법은, 상기 디바이스의 상기 제 1 층내에 상기 제 2 회절격자와 동일한 주기를 갖는 제 3 회절격자를 제공하고, 상기 디바이스의 상기 제 2 층내에 상기 제 1 회절격자와 동일한 주기를 갖는 제 4 회절격자를 제공함으로써 센서에러를 판정하는 단계를 더욱 포함하고, 상기 센서 에러는 상기 제 1 및 제 2 회절격자 및 상기 제 3 및 제 4 회절격자에 대하여 측정된 시프트를 비교함으로써 제거되는 것을 특징으로 하는 디바이스 검사방법.
  38. 제 6항에 있어서,
    상기 마커는 리소그래피 투영장치의 초점 정확성을 측정하도록 배치된 회절격자를 포함하고,
    상기 방법은, 광학 경로 길이내에 단차를 포함하는 서브구조체를 갖는 회절 격자를 상기 리소그래피 투영장치의 마스크 상에 제공하는 단계를 포함하고, 상기 단차는 상기 회절격자의 인접 라인들에 대해 반대 부호이고, 상기 단차는, 상기 리소그래피 투영장치에 의한 상기 디바이스 상으로의 상기 회절격자의 투영시, 초점 에러로 인해 투영된 회절격자가 변위되어 상기 투영된 회절격자의 인접라인들이 반대방향으로 변위되고 상기 시프트에 의해 측정되는 비대칭성을 발생시키도록 선택되는 것을 특징으로 하는 디바이스 검사방법.
  39. 제 38항에 있어서,
    상기 광학 경로 길이 내의 상기 단차는, 상기 디바이스 상으로 상기 회절격자를 투영시키는데 사용되는 광의 파장의 실질적으로 1/4의 위상차를 도입시키도록 하는 것을 특징으로 하는 디바이스 검사방법.
  40. 제 39항에 있어서,
    상기 회절격자의 인접 라인들의 상대적인 폭이 상이하도록 선택되어 상기 투영된 회절격자의 비대칭성이 상기 시프트에 의해 측정되기에 충분히 큰 것을 특징으로 하는 디바이스 검사방법.
  41. 제 6항에 있어서,
    상기 마커는 리소그래피 투영장치의 선폭을 측정하도록 배치된 회절격자를 포함하고,
    상기 방법은, 상기 리소그래피 투영장치의 분해능 한계 또는 분해능 한계 정도의 주기를 갖는 서브구조체를 갖는 회절격자를 상기 디바이스 상에 제공하는 단계를 포함하고, 상기 서브구조체는 상기 회절격자의 라인들을 연장시키도록 배치되고 이에 의해 상기 회절격자가 비대칭이 되도록 하고, 상기 선폭의 변화가 상기 서브구조체의 유효 반사율을 변경하도록 하고 이에 의해 상기 회절격자의 비대칭성을 변경하고, 변경된 비대칭성이 상기 시프트에 의하여 측정되는 것을 특징으로 하는 디바이스 검사방법.
  42. 제 6항에 있어서,
    상기 마커는 제 1 회절격자 및 인접하는 제 2 회절격자를 포함하고, 상기 제 1 회절격자 및 상기 제 2 회절격자는 상이한 회절차수에서 강한 회절을 발생시키도록 각각 선택된 상이한 주기들을 가져서, 상기 제 1 회절격자의 위치의 측정값은 하나의 회절차수를 측정함으로써 제공되고 상기 제 2 회절격자의 위치의 측정값은 다른 회절차수를 측정함으로써 제공되며,
    상기 방법은, 상기 마커가 프로세싱 효과로부터 발생하는 비대칭성을 포함하도록 상기 제 1 및 제 2 회절격자를 프로세싱하는 단계, 및 상기 마커 상에의 상기 프로세싱의 효과를 판정하기 위하여 상기 제 1 및 제 2 위치 사이의 상기 시프트를 측정하는 단계를 포함하는 것을 특징으로 하는 디바이스 검사방법.
  43. 제 42항에 있어서,
    상기 프로세싱 효과는 공지의 프로세싱 비대칭성에 대해 판정된 이전의 측정된 시프트와 상기 시프트를 비교함으로써 정량화되는 것을 특징으로 하는 디바이스 검사방법.
  44. 제 42항 또는 제 43항에 있어서,
    상기 제 1 및 제 2 회절격자의 위치들의 측정에 앞서서 상기 제 2 회절격자로부터 상기 프로세싱이 제거되는 것을 특징으로 하는 디바이스 검사방법.
  45. 제 44항에 있어서,
    상기 마커는 상기 제 1 및 제 2 회절격자와 각각 일치하는 주기들을 갖는 제 3 및 제 4 회절격자를 더욱 포함하고,
    상기 방법은, 상기 제 3 및 제 4 회절격자를 프로세싱하고 그들로부터 상기 프로세싱을 제거하는 단계, 상기 제 3 및 제 4 회절격자의 위치를 측정하여 측정된 위치 사이의 시프트를 판정하는 단계, 및 판정된 상기 시프트를 사용하여 상기 제 1 및 제 2 회절격자에 대해 측정된 시프트에서의 에러를 정정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  46. 제 24항 또는 제 25항에 있어서,
    상기 제 1 및 제 2 회절격자는 제 42항 내지 제 45항 중 어느 한 항에 따른 방법을 사용하는 프로세싱 비대칭성을 측정하는데 이용되는 것을 특징으로 하는 디바이스 검사방법.
  47. 제 24항 또는 제 25항에 있어서,
    상기 오버레이 측정에 앞서서 상기 제 1 회절격자는, 상기 제 2 회절격자를 포함하는 이미지의 상기 디바이스 상으로의 투영을 위한 정렬된 위치를 판정하는데 이용되는 것을 특징으로 하는 디바이스 검사방법.
  48. 제 47항에 있어서,
    상기 오버레이 측정 후에 상기 제 2 회절격자는, 후속하는 이미지의 상기 디바이스 상으로의 투영을 위한 정렬된 위치를 판정하는데 이용되는 것을 특징으로 하는 디바이스 검사방법.
  49. 제 47항에 있어서,
    상기 투영된 이미지는 상기 제 2 회절격자와는 다른 주기를 갖는 부가의 회절격자를 포함하고,
    상기 방법은 상기 부가의 회절격자를 이용하여 후속하는 이미지의 상기 디바이스 상으로의 투영을 위한 정렬된 위치를 판정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  50. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 검사방법은 상기 디바이스 상의 상기 마커의 노광 후에 바로 수행되는 것을 특징으로 하는 디바이스 검사방법.
  51. 제 1 항 내지 제 4항 중 어느 한 항에 있어서,
    상기 검사방법은 상기 디바이스 상의 상기 마커의 노광 및 노광후 베이크 후에 수행되는 것을 특징으로 하는 디바이스 검사방법.
  52. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 검사방법은 상기 디바이스 상의 상기 마커의 노광 및 하드 베이크 후에 수행되는 것을 특징으로 하는 디바이스 검사방법.
  53. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 검사방법은 상기 디바이스 상의 상기 마커의 노광 및 프로세싱 후에 수행되는 것을 특징으로 하는 디바이스 검사방법.
  54. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 검사방법은 상기 디바이스상에 레지스트 층을 바른 후에 그리고 그 레지스트의 노광 전에 수행되고, 상기 마커는 하나 이상의 프로세싱된 층내에 제공되는 것을 특징으로 하는 디바이스 검사방법.
  55. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 방법은 리소그래피 투영장치 내에 위치된 디바이스에 대해 수행되고, 상기 마커의 위치는 상기 디바이스의 검사를 제공하는데 부가하여 리소그래피 투영을 위한 정렬정보를 제공하는데 이용되는 것을 특징으로 하는 디바이스 검사방법.
  56. 디바이스상에 제공된 비대칭 마커에 광을 지향시키도록 배치된 광원, 상기 마커로부터 특정 파장 또는 회절각도를 갖는 회절광을 검출하도록 배치되고 이에 의해 상기 마커의 위치측정값을 제공하는 검출기, 상기 마커로부터 상이한 파장 또는 회절각도를 갖는 회절광을 검출하도록 배치되고 이에 의해 상기 마커의 제 2 위치측정값을 제공하는 제 2 검출기, 및 상기 마커의 비대칭성의 정도를 나타내는 시프트를 판정하기 위해 상기 측정된 위치들을 비교하도록 배치된 비교수단을 포함하는 것을 특징으로 하는 디바이스 검사장치.
  57. 제 56항에 있어서,
    상기 장치는 리소그래피 투영장치내에 위치되는 것을 특징으로 하는 디바이스 검사장치.
  58. 제 56항에 있어서,
    상기 장치는 투영장치에 연결된 트랙내에 위치되는 것을 특징으로 하는 디바이스 검사장치.
  59. 제 56항에 있어서,
    상기 장치는 상기 리소그래피 투영장치로부터 분리된 하우징내에 제공되는 것을 특징으로 하는 디바이스 검사장치.
  60. 제 56항 내지 제 59항 중 어느 한 항에 있어서,
    상기 장치는 제 1항에 따른 방법을 수행하도록 구성된 것을 특징으로 하는 디바이스 검사장치.
  61. 디바이스상에 제공된 위상 회절격자에 광을 지향시키도록 배치된 광원, 상기 위상 회절격자로부터의 회절광을 검출하도록 배치된 검출기, 및 상기 검출된 회절광을 이용하여 검사정보를 얻도록 배치된 프로세싱 수단을 포함하는 것을 특징으로 하는 디바이스 검사장치.
  62. 검사될 디바이스 상의 마커에 광을 지향시키도록 구성된 광대역 광원, 하나 이상의 기준격자를 지니는 병진가능한(translatable) 캐리어, 상이한 파장으로 상기 광을 분리시키도록 구성된 분광계 회절격자, 및 상이한 주파수에서 상기 광을 검출하도록 배치된 검출기 어레이를 포함하는 메트롤로지 유닛에 있어서,
    상기 메트롤로지 유닛은, 사용시 상기 디바이스상의 상기 마커에 의해 회절된 광이 상기 하나 이상의 기준격자를 통과하고 상기 분광계 회절격자를 경유하여 상기 검출기 어레이로 통과하도록 구성되고, 상기 기준격자가 병진되어 주기적 신호가 상기 검출기 어레이에서 검출되도록 하는 것을 특징으로 하는 메트롤로지 유닛.
  63. 제 62항에 있어서,
    상기 메트롤로지 유닛은 상기 마커로부터 역반사된 광을 검출하도록 배치된 반사계를 더욱 포함하는 것을 특징으로 하는 메트롤로지 유닛.
  64. 디바이스의 제 1 층내의 제 1 회절격자 및 상기 디바이스의 제 2 층내의 제 2 회절격자를 포함하되, 상기 제 1 회절격자 및 상기 제 2 회절격자는 동일한 주기를 가지고 광이 상기 회절격자 모두에 의해 회절되도록 다른 하나 위에 하나가 제공되며, 그 비대칭성은 상기 제 1 및 제 2 층의 오버레이에 종속하는 비대칭 마크를 검사될 상기 디바이스 상에 제공하는 단계, 광을 상기 마커에 지향시키는 단계, 특정 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치측정값을 얻는 단계, 상이한 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 상기 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭성의 정도를 나타내는 시프트를 판정하는 단계를 포함하는 것을 특징으로 하는 디바이스 검사방법.
  65. 디바이스의 제 1 층내의 제 1 회절격자 및 상기 디바이스의 제 2 층내의 제 2 회절격자를 포함하되, 상기 제 1 회절격자 및 상기 제 2 회절격자는 상이한 회절차수 또는 상이한 파장에서 강한 회절을 발생시키도록 각각 선택된 상이한 주기를 가지며, 그 비대칭성은 상기 제 1 및 제 2 층의 오버레이에 종속하는 비대칭 마크를 검사될 상기 디바이스 상에 제공하는 단계를 포함하는 디바이스 검사방법에 있어서,
    상기 방법은, 광을 상기 마커에 지향시키는 단계, 특정 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치측정값을 얻는 단계, 상이한 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 상기 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭성의 정도와 상기 제 1 및 제 2 층의 오버레이를 나타내는 시프트를 판정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  66. 리소그래피 투영장치의 초점 정확성을 측정하기 위한 디바이스 검사방법에 있어서,
    광학경로 길이내에 단차를 포함하는 서브구조체를 가지되, 상기 단차는 회절격자의 인접 라인들에 대한 반대 부호를 가지는 상기 회절격자를 상기 리소그래피 투영장치의 마스크상으로 투영하는 단계, 상기 리소그래피 투영장치를 사용하여 상기 디바이스 상에 상기 회절격자를 투영하는 단계로서, 상기 투영된 회절격자의 인접라인들은 상기 단차에 기인한 비대칭 마커를 형성하도록 반대방향으로 변위되는 단계, 특정 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치 측정값을 얻는 단계, 상이한 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 상기 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭성의 정도와 상기 포커스 에러를 나타내는 시프트를 판정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  67. 리소그래피 투영장치의 선폭을 측정하기 위한 디바이스 검사방법에 있어서,
    상기 리소그래피 투영장치의 분해능 한계 또는 분해능 한계 정도의 주기를 갖는 서브구조체를 갖는 회절격자를 포함하되, 상기 서브구조체는 상기 회절격자의 라인들을 연장시키도록 배치되고 이에 의해 상기 회절격자가 비대칭이 되도록 하고, 상기 선폭의 변화가 상기 서브구조체의 유효 반사율을 변경하도록 하고 이에 의해 상기 회절격자의 비대칭성을 변경하도록 하는 비대칭 마커를 검사될 디바이스 상에 제공하는 단계를 포함하고,
    상기 방법은, 상기 마커에 광을 지향시키는 단계, 특정 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치측정값을 얻는 단계, 상이한 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 상기 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭성의 정도와 상기 리소그래피 투영장치의 선폭을 나타내는 시프트를 판정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
  68. 디바이스의 제 1 층내의 제 1 회절격자 및 상기 디바이스의 제 2 층내의 제 2 회절격자를 포함하되, 상기 제 1 회절격자 및 상기 제 2 회절격자는 상이한 회절차수 또는 상이한 파장에서 강한 회절을 발생시키도록 각각 선택된 상이한 주기를 가지며, 그 비대칭성은 상기 제 1 및 제 2 층의 오버레이에 종속하는 비대칭 마크를 검사될 상기 디바이스 상에 제공하는 단계를 포함하는 디바이스 검사장치에 있어서,
    상기 방법은, 상기 마커가 프로세싱 효과로부터 발생하는 비대칭성을 포함하도록 상기 제 1 및 제 2 회절격자를 프로세싱하는 단계, 광을 상기 마커에 지향시키는 단계, 특정 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 1 위치측정값을 얻는 단계, 상이한 파장 또는 회절각도의 회절광의 검출을 통하여 상기 마커의 제 2 위치측정값을 얻는 단계, 및 상기 제 1 및 제 2 측정된 위치를 비교하여 상기 마커의 비대칭성의 정도와 상기 마커에 대한 상기 프로세싱 효과를 나타내는 시프트를 판정하는 단계를 더욱 포함하는 것을 특징으로 하는 디바이스 검사방법.
KR1020030065314A 2002-09-20 2003-09-19 디바이스 검사방법 및 장치 KR100597041B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US41186102P 2002-09-20 2002-09-20
US60/411,861 2002-09-20
US41360102P 2002-09-26 2002-09-26
US60/413,601 2002-09-26
EP03075954.2 2003-04-01
EP03075954 2003-04-01
EP03076422.9 2003-05-12
EP03076422A EP1477860A1 (en) 2003-05-12 2003-05-12 Lithographic marker structure compliant with microelectronic device processing

Publications (2)

Publication Number Publication Date
KR20040025868A KR20040025868A (ko) 2004-03-26
KR100597041B1 true KR100597041B1 (ko) 2006-07-04

Family

ID=33303964

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020030065314A KR100597041B1 (ko) 2002-09-20 2003-09-19 디바이스 검사방법 및 장치
KR1020030065268A KR100552455B1 (ko) 2002-09-20 2003-09-19 리소그래피시스템용 정렬시스템 및 정렬방법
KR1020030065281A KR100543536B1 (ko) 2002-09-20 2003-09-19 리소그래피 마커구조체, 상기 리소그래피 마커구조체를포함하는 리소그래피 투영장치 및 상기 리소그래피마커구조체를 사용하여 기판을 정렬하는 방법
KR1020030065299A KR100632889B1 (ko) 2002-09-20 2003-09-19 2개이상의 파장을 사용하는 리소그래피시스템용정렬시스템 및 정렬방법
KR10-2005-0090920A KR100536632B1 (ko) 2002-09-20 2005-09-29 리소그래피 장치용 메트롤로지 시스템

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020030065268A KR100552455B1 (ko) 2002-09-20 2003-09-19 리소그래피시스템용 정렬시스템 및 정렬방법
KR1020030065281A KR100543536B1 (ko) 2002-09-20 2003-09-19 리소그래피 마커구조체, 상기 리소그래피 마커구조체를포함하는 리소그래피 투영장치 및 상기 리소그래피마커구조체를 사용하여 기판을 정렬하는 방법
KR1020030065299A KR100632889B1 (ko) 2002-09-20 2003-09-19 2개이상의 파장을 사용하는 리소그래피시스템용정렬시스템 및 정렬방법
KR10-2005-0090920A KR100536632B1 (ko) 2002-09-20 2005-09-29 리소그래피 장치용 메트롤로지 시스템

Country Status (6)

Country Link
US (12) US7332732B2 (ko)
JP (10) JP4222927B2 (ko)
KR (5) KR100597041B1 (ko)
CN (4) CN100337089C (ko)
SG (4) SG152898A1 (ko)
TW (4) TWI229243B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200010508A (ko) * 2017-06-26 2020-01-30 에이에스엠엘 네델란즈 비.브이. 프로세스의 성능 파라미터를 결정하는 방법

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
SG152898A1 (en) * 2002-09-20 2009-06-29 Asml Netherlands Bv Alignment systems and methods for lithographic systems
JP4095391B2 (ja) 2002-09-24 2008-06-04 キヤノン株式会社 位置検出方法
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
SG124270A1 (en) * 2002-12-16 2006-08-30 Asml Netherlands Bv Lithographic apparatus with alignment subsystem, device manufacturing method using alignment, and alignment structure
CN100470375C (zh) * 2002-12-16 2009-03-18 Asml荷兰有限公司 光刻装置和器件制造方法
JP4101076B2 (ja) * 2003-02-06 2008-06-11 キヤノン株式会社 位置検出方法及び装置
US7025498B2 (en) * 2003-05-30 2006-04-11 Asml Holding N.V. System and method of measuring thermal expansion
US7565219B2 (en) * 2003-12-09 2009-07-21 Asml Netherlands B.V. Lithographic apparatus, method of determining a model parameter, device manufacturing method, and device manufactured thereby
KR101026935B1 (ko) * 2003-12-10 2011-04-04 엘지디스플레이 주식회사 디스펜서 정렬장치 및 그 방법
JP2005233828A (ja) * 2004-02-20 2005-09-02 Canon Inc Euv光スペクトル測定装置およびeuv光のパワー算出方法
US7265366B2 (en) * 2004-03-31 2007-09-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7259828B2 (en) * 2004-05-14 2007-08-21 Asml Netherlands B.V. Alignment system and method and device manufactured thereby
US7271073B2 (en) * 2004-06-30 2007-09-18 Asml Nertherlands B.V. Marker for alignment of non-transparent gate layer, method for manufacturing such a marker, and use of such a marker in a lithographic apparatus
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
EP1645893A1 (de) * 2004-10-08 2006-04-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beugungsgitter für elektromagnetische Strahlung sowie Verfahren zur Herstellung
US7629697B2 (en) * 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
US7848832B2 (en) * 2004-11-30 2010-12-07 Kabushiki Kaisha Yaskawa Denki Alignment apparatus
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
US7626701B2 (en) * 2004-12-27 2009-12-01 Asml Netherlands B.V. Lithographic apparatus with multiple alignment arrangements and alignment measuring method
US20060138681A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Substrate and lithography process using the same
KR100636492B1 (ko) 2005-01-05 2006-10-18 삼성에스디아이 주식회사 기판과 마스크의 정렬장치 및 정렬방법
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
JP4509974B2 (ja) * 2005-06-30 2010-07-21 エーエスエムエル ネザーランズ ビー.ブイ. レチクル予備位置合わせセンサ用一体照明システムがあるエンドエフェクタ
US7408624B2 (en) * 2005-06-30 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7414722B2 (en) * 2005-08-16 2008-08-19 Asml Netherlands B.V. Alignment measurement arrangement and alignment measurement method
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
TW200715075A (en) * 2005-09-16 2007-04-16 Mapper Lithography Ip Bv Lithography system and projection method
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US20070146708A1 (en) * 2005-11-24 2007-06-28 Nikon Corporation Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method
US7557903B2 (en) * 2005-12-08 2009-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007184342A (ja) * 2006-01-05 2007-07-19 Nikon Corp 露光システム、露光方法、及びデバイス製造方法
US7897058B2 (en) * 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
CN101385122B (zh) * 2006-02-21 2010-12-08 株式会社尼康 图案形成装置、标记检测装置、曝光装置、图案形成方法、曝光方法及组件制造方法
TWI297920B (en) * 2006-02-22 2008-06-11 Advanced Semiconductor Eng Compact camera module and its substrate
US20080013062A1 (en) * 2006-03-23 2008-01-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
JPWO2007116711A1 (ja) * 2006-03-29 2009-08-20 株式会社ニコン 計測方法、計測装置及び処理装置、並びにパターン形成方法及びデバイス製造方法
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP4839127B2 (ja) * 2006-05-10 2011-12-21 株式会社日立ハイテクノロジーズ 校正用標準部材及びこれを用いた校正方法および電子ビーム装置
US7898662B2 (en) 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20090134496A1 (en) * 2006-07-06 2009-05-28 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20080094592A1 (en) * 2006-08-31 2008-04-24 Nikon Corporation Movable body drive method and movable body drive system, pattern formation method and apparatus, exposure method and apparatus, and device manufacturing method
CN100456142C (zh) * 2006-10-18 2009-01-28 上海微电子装备有限公司 一种对准标记及其制造方法
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
JP5425363B2 (ja) * 2006-11-28 2014-02-26 ルネサスエレクトロニクス株式会社 半導体装置、及び表示装置
US7923265B2 (en) * 2006-11-28 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improving critical dimension proximity control of patterns on a mask or wafer
US8010307B2 (en) * 2006-12-07 2011-08-30 Hermes-Microvision, Inc. In-line overlay measurement using charged particle beam system
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
US8722179B2 (en) * 2006-12-12 2014-05-13 Asml Netherlands B.V. Substrate comprising a mark
US8609441B2 (en) * 2006-12-12 2013-12-17 Asml Netherlands B.V. Substrate comprising a mark
JP4858146B2 (ja) * 2006-12-14 2012-01-18 大日本印刷株式会社 フォトマスクおよび転写方法
KR100795665B1 (ko) 2006-12-28 2008-01-21 동부일렉트로닉스 주식회사 반도체 장치 검사 방법
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080171422A1 (en) * 2007-01-11 2008-07-17 Tokie Jeffrey H Apparatus and methods for fabrication of thin film electronic devices and circuits
US20080175468A1 (en) * 2007-01-24 2008-07-24 Hermes Microvision, Inc. Method and system for creating knowledge and selecting features in a semiconductor device
US7684011B2 (en) * 2007-03-02 2010-03-23 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US7599064B2 (en) * 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7999912B2 (en) * 2007-05-08 2011-08-16 Asml Netherlands B.V. Lithographic apparatus and sensor calibration method
US20090246896A1 (en) * 2007-07-19 2009-10-01 Melissa Kreger Method and apparatus for improved printed cathodes for organic electronic devices
EP2078221A1 (en) * 2007-08-06 2009-07-15 Stephen Hastings Method for reactive optical correction of galvano motor scanning heads
US8482732B2 (en) * 2007-10-01 2013-07-09 Maskless Lithography, Inc. Alignment system for various materials and material flows
US7847938B2 (en) * 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
JP2009097871A (ja) * 2007-10-12 2009-05-07 Sony Corp 部材所定位置の検出装置
JP2009099873A (ja) * 2007-10-18 2009-05-07 Canon Inc 露光装置およびデバイス製造方法
NL1036179A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
NL1036191A1 (nl) * 2007-12-05 2009-06-08 Asml Netherlands Bv Marker structure and method of forming the same.
SG153747A1 (en) * 2007-12-13 2009-07-29 Asml Netherlands Bv Alignment method, alignment system and product with alignment mark
NL1036336A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Method of creating an alignment mark on a substrate and substrate.
JP5006889B2 (ja) 2008-02-21 2012-08-22 エーエスエムエル ネザーランズ ビー.ブイ. 粗ウェーハ位置合わせ用マーク構造及びこのようなマーク構造の製造方法
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
JP5089765B2 (ja) * 2008-03-17 2012-12-05 東京エレクトロン株式会社 制御装置及び制御方法
NL1036702A1 (nl) * 2008-04-15 2009-10-19 Asml Holding Nv Diffraction elements for alignment targets.
WO2009134708A1 (en) * 2008-04-28 2009-11-05 University Of North Carolina At Charlotte Dynamic metrology methods and systems
KR100975832B1 (ko) * 2008-05-21 2010-08-13 윈텍 주식회사 압흔 검사장치 및 방법
TWI436313B (zh) * 2008-05-22 2014-05-01 Creator Technology Bv 具有彎曲基板的堆疊顯示器,電子設備及其製造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2131245A3 (en) * 2008-06-02 2012-08-01 ASML Netherlands BV Lithographic apparatus and its focus determination method
NL2002932A1 (nl) * 2008-06-02 2009-12-03 Asml Netherlands Bv Sub-wavelength segmentation in measurement targets on substrates.
NL2002962A1 (nl) * 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2003292A (en) 2008-09-08 2010-03-15 Asml Netherlands Bv A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
TW201015230A (en) 2008-10-03 2010-04-16 Univ Nat Chiao Tung Immersion inclined lithography apparatus and tank thereof
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
WO2010073226A2 (de) * 2008-12-24 2010-07-01 X-Fab Semiconductor Foundries Ag Herstellung von hohen justiermarken und solche justiermarken auf einem halbleiterwafer
CN101526750B (zh) * 2009-01-13 2011-06-29 上海微电子装备有限公司 用于光刻设备的对准系统及应用其的光刻设备
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US8039366B2 (en) * 2009-02-19 2011-10-18 International Business Machines Corporation Method for providing rotationally symmetric alignment marks for an alignment system that requires asymmetric geometric layout
CN101487992B (zh) * 2009-03-04 2010-10-20 上海微电子装备有限公司 一种硅片标记捕获系统与方法
NL2004216A (en) * 2009-03-26 2010-09-28 Asml Netherlands Bv Alignment measurement arrangement, alignment measurement method, device manufacturing method and lithographic apparatus.
KR101654599B1 (ko) * 2009-05-11 2016-09-06 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 방법 및 디바이스 제조 방법
JP5326811B2 (ja) * 2009-05-22 2013-10-30 住友電気工業株式会社 半導体光素子を作製する方法
US8164753B2 (en) 2009-06-05 2012-04-24 Nanya Technology Corp. Alignment mark arrangement and alignment mark structure
US8313877B2 (en) * 2009-06-12 2012-11-20 Micron Technology, Inc. Photolithography monitoring mark, photolithography mask comprising an exposure monitoring mark, and phase shift mask comprising an exposure monitoring mark
KR101395733B1 (ko) * 2009-06-17 2014-05-15 에이에스엠엘 네델란즈 비.브이. 오버레이 측정 방법, 리소그래피 장치, 검사 장치, 처리 장치, 및 리소그래피 처리 셀
CN101943865B (zh) * 2009-07-09 2012-10-03 上海微电子装备有限公司 一种用于光刻设备的对准标记和对准方法
KR101116321B1 (ko) * 2009-08-21 2012-03-09 에이피시스템 주식회사 기판 정렬 방법
CN101634815B (zh) * 2009-08-31 2011-06-29 上海微电子装备有限公司 一种基于多个不同波长的对准方法
US8804137B2 (en) * 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
CN102024689B (zh) * 2009-09-11 2012-09-19 中芯国际集成电路制造(上海)有限公司 提高多晶硅栅制作工艺中对准性能的方法
KR101452852B1 (ko) * 2009-10-13 2014-10-22 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치
US8502324B2 (en) * 2009-10-19 2013-08-06 Freescale Semiconductor, Inc. Semiconductor wafer having scribe lane alignment marks for reducing crack propagation
EP2494579B1 (en) * 2009-10-26 2017-08-02 Mapper Lithography IP B.V. Charged particle multi-beamlet lithography system, modulation device, and method of manufacturing thereof
JP5527074B2 (ja) * 2009-11-16 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5800456B2 (ja) * 2009-12-16 2015-10-28 キヤノン株式会社 検出器、インプリント装置及び物品の製造方法
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
NL2006002A (en) * 2010-02-19 2011-08-22 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
JP5526851B2 (ja) * 2010-02-19 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5463947B2 (ja) * 2010-02-19 2014-04-09 セイコーエプソン株式会社 偏光素子及びプロジェクター
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
CN102253602A (zh) * 2010-05-18 2011-11-23 上海微电子装备有限公司 一种光刻系统中实时控制照明剂量的装置
CN102253603B (zh) * 2010-05-21 2013-05-22 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
KR20120000846A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 웨이퍼의 정렬 방법 및 공정 모니터링 방법
CN102314091B (zh) * 2010-07-01 2013-07-17 上海微电子装备有限公司 一种可调节对准系统照明光斑尺寸的光刻机
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007177A (en) 2010-09-13 2012-03-14 Asml Netherlands Bv Alignment measurement system, lithographic apparatus, and a method to determine alignment of in a lithographic apparatus.
CN102402140B (zh) * 2010-09-17 2014-02-19 上海微电子装备有限公司 一种对准系统
US8669507B2 (en) 2010-10-22 2014-03-11 Industrial Technology Research Institute Laser scanning device
NL2007425A (en) * 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
JP5830853B2 (ja) 2010-12-14 2015-12-09 ソニー株式会社 撮像レンズ及び撮像装置
JP5589815B2 (ja) 2010-12-14 2014-09-17 ソニー株式会社 撮像レンズ及び撮像装置
CN102540743B (zh) * 2010-12-22 2015-03-25 上海微电子装备有限公司 用于光刻设备的参考光栅装调装置及方法
CN102566337B (zh) * 2010-12-28 2014-05-21 上海微电子装备有限公司 一种标记期望位置确定方法
CN102566338B (zh) * 2010-12-28 2013-11-13 上海微电子装备有限公司 光刻对准系统中对对准位置进行修正的方法
KR20120086073A (ko) 2011-01-25 2012-08-02 삼성전자주식회사 오버레이 계측 방법 및 그 장치
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2008110A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Measuring method, measuring apparatus, lithographic apparatus and device manufacturing method.
CN102692827B (zh) * 2011-03-21 2015-07-22 上海微电子装备有限公司 一种用于光刻设备的对准装置
NL2008317A (en) * 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
JP2014513869A (ja) 2011-04-22 2014-06-05 マッパー・リソグラフィー・アイピー・ビー.ブイ. ウェーハのようなターゲットを処理するためのリソグラフィシステム、及びウェーハのようなターゲットを処理するためのリソグラフィシステムを動作させる方法
CN103582848B (zh) * 2011-04-22 2018-05-08 迈普尔平版印刷Ip有限公司 在使用有部分反射位置标记的基底的光刻系统中的位置确定
US9383662B2 (en) 2011-05-13 2016-07-05 Mapper Lithography Ip B.V. Lithography system for processing at least a part of a target
CN102890433B (zh) * 2011-07-20 2015-03-25 上海微电子装备有限公司 一种用于光刻设备的对准装置和对准方法
US8582114B2 (en) 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
CN103019052B (zh) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
CN103092011B (zh) * 2011-11-01 2015-08-26 上海微电子装备有限公司 用于光刻系统的对准装置
NL2009719A (en) * 2011-12-02 2013-06-05 Asml Netherlands Bv Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus.
CN103135371B (zh) * 2011-12-02 2015-02-11 上海微电子装备有限公司 基于分束偏折结构的小光斑离轴对准系统
KR20130064486A (ko) * 2011-12-08 2013-06-18 삼성디스플레이 주식회사 광투과율 제어가 가능한 표시장치
CN103197418B (zh) * 2012-01-10 2015-06-17 上海微电子装备有限公司 一种对准4f光学系统
US9360778B2 (en) 2012-03-02 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography patterning
JP5665784B2 (ja) 2012-03-16 2015-02-04 株式会社東芝 フォトマスクおよびパターン形成方法
DE102012204674B4 (de) * 2012-03-23 2014-11-27 Carl Zeiss Smt Gmbh Strahlregelungsvorrichtung für einen EUV-Beleuchtungsstrahl
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
DE102012211549B3 (de) * 2012-07-03 2013-07-04 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
US9714827B2 (en) * 2012-07-05 2017-07-25 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, device manufacturing method and substrate
JP5936478B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
JP5936479B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
US9243896B2 (en) * 2012-08-15 2016-01-26 Nikon Corporation Two axis encoder head assembly
US9778025B2 (en) 2012-08-16 2017-10-03 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
US9690026B2 (en) 2012-10-15 2017-06-27 North Carolina State University Direct write lithography for the fabrication of geometric phase holograms
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
KR102215545B1 (ko) * 2012-10-26 2021-02-16 에이에스엠엘 네델란즈 비.브이. 리소그래피에서 기판의 위치 결정
NL2011726A (en) 2012-11-05 2014-05-08 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
KR20150087397A (ko) * 2012-11-21 2015-07-29 케이엘에이-텐코 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
CN103972119B (zh) * 2013-01-25 2016-08-03 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
JP6185724B2 (ja) * 2013-02-20 2017-08-23 キヤノン株式会社 露光装置および物品の製造方法
US9030661B1 (en) 2013-03-15 2015-05-12 Kla-Tencor Corporation Alignment measurement system
JP2014225428A (ja) * 2013-04-24 2014-12-04 キヤノン株式会社 荷電粒子線照射装置、荷電粒子線の照射方法及び物品の製造方法
JP6193611B2 (ja) * 2013-04-30 2017-09-06 キヤノン株式会社 描画装置、及び物品の製造方法
JP6465540B2 (ja) * 2013-07-09 2019-02-06 キヤノン株式会社 形成方法及び製造方法
CN103411538B (zh) * 2013-07-20 2016-03-02 中国科学技术大学 一种数字式波长编码光学绝对位移传感器
CN104345571B (zh) * 2013-07-24 2016-08-10 中芯国际集成电路制造(上海)有限公司 对准标记的成像和测量装置、光刻装置
US9257351B2 (en) * 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
TW201520702A (zh) * 2013-11-19 2015-06-01 Huang Tian Xing 對準誤差補償方法、系統,及圖案化方法
US10082417B2 (en) * 2013-12-30 2018-09-25 Nordson Corporation Calibration methods for a viscous fluid dispensing system
CN104898376B (zh) * 2014-03-03 2017-12-29 上海微电子装备(集团)股份有限公司 投影光刻机的离轴对准装置用于离轴对准的方法
DK3120107T3 (en) 2014-03-21 2019-03-18 Carpe Diem Tech Inc System and method for producing miniature structures on a flexible substrate
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
JP6341883B2 (ja) * 2014-06-27 2018-06-13 キヤノン株式会社 位置検出装置、位置検出方法、インプリント装置及び物品の製造方法
EP3221897A1 (en) 2014-09-08 2017-09-27 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
CN105511238B (zh) 2014-09-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 光刻对准标记结构及形成方法、半导体结构的形成方法
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
CN105807573B (zh) * 2014-12-31 2017-12-29 上海微电子装备(集团)股份有限公司 用于套刻误差检测的装置和方法
WO2016121685A1 (ja) 2015-01-28 2016-08-04 株式会社東京精密 レーザーダイシング装置
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
CN107850861B (zh) * 2015-07-16 2020-08-07 Asml荷兰有限公司 光刻设备和器件制造方法
CN106569386B (zh) * 2015-10-08 2019-12-10 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
WO2017089105A1 (en) * 2015-11-27 2017-06-01 Asml Netherlands B.V. Metrology target, method and apparatus, computer program and lithographic system
JP2017167310A (ja) * 2016-03-16 2017-09-21 株式会社ニコン 評価装置及び評価方法、表示装置及び表示方法、露光装置及び露光方法、露光システム、デバイス製造装置、並びに、コンピュータプログラム
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10115621B2 (en) 2016-05-13 2018-10-30 Globalfoundries Inc. Method for in-die overlay control using FEOL dummy fill layer
WO2018038071A1 (ja) * 2016-08-24 2018-03-01 株式会社ニコン 計測システム及び基板処理システム、並びにデバイス製造方法
CN107976869B (zh) * 2016-10-24 2023-06-30 上海微电子装备(集团)股份有限公司 一种工件台非正交校正方法及校正装置
US10352967B2 (en) * 2016-11-11 2019-07-16 Fluke Corporation Non-contact electrical parameter measurement systems
US10712667B2 (en) * 2016-12-14 2020-07-14 Asml Netherlands B.V. Optical device and associated system
JP6971567B2 (ja) * 2016-12-16 2021-11-24 キヤノン株式会社 位置合わせ装置、位置合わせ方法、リソグラフィ装置、および物品製造方法
WO2018121987A1 (en) 2016-12-28 2018-07-05 Asml Holding N.V. Metrology tool and method of using the same
US10692227B2 (en) 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
JP2020505638A (ja) * 2017-01-25 2020-02-20 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の構造を測定するための方法及び装置
US11982521B2 (en) * 2017-02-23 2024-05-14 Nikon Corporation Measurement of a change in a geometrical characteristic and/or position of a workpiece
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
NL2018856B1 (en) * 2017-05-05 2018-11-14 Suss Microtec Lithography Gmbh Method and device for aligning a first substrate with a second substrate
JP2020519928A (ja) * 2017-05-08 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
CN110603492B (zh) * 2017-05-08 2022-07-08 Asml荷兰有限公司 量测传感器、光刻装置以及用于制造器件的方法
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
CN110637258B (zh) 2017-05-15 2024-04-30 Asml荷兰有限公司 用于制造器件的量测传感器、光刻设备和方法
JP7152877B2 (ja) * 2017-06-15 2022-10-13 キヤノン株式会社 検出装置、リソグラフィー装置および物品製造方法
DE102017113419A1 (de) 2017-06-19 2018-12-20 Keba Ag Vorrichtung und Verfahren zum Bestimmen eines Winkels zwischen zwei Werkstückflächen
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
US10508971B2 (en) * 2017-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Optical test system and method for determining size of gap between two substrates of optical element
EP3467588A1 (en) * 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
JP7324751B2 (ja) * 2017-11-29 2023-08-10 エーエスエムエル ネザーランズ ビー.ブイ. レーザビームモニタリングシステム
US10650111B2 (en) 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10429743B2 (en) * 2017-11-30 2019-10-01 International Business Machines Corporation Optical mask validation
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
JP7002383B2 (ja) * 2018-03-22 2022-02-04 キオクシア株式会社 位置計測用光源の品質管理方法および半導体製造装置
US11422292B1 (en) * 2018-06-10 2022-08-23 Apple Inc. Super-blazed diffractive optical elements with sub-wavelength structures
US11199719B2 (en) * 2018-06-13 2021-12-14 Magic Leap, Inc. System and method for qualifying a multi-layered optical stack for an optical projection system
US11226191B2 (en) * 2018-06-27 2022-01-18 Tokyo Electron Limited Film thickness measurement device and correction method
US10772141B2 (en) 2018-06-28 2020-09-08 The Chinese University Of Hong Kong System and method for peer-to-peer wireless communication
CN110657743B (zh) * 2018-06-28 2021-08-31 上海微电子装备(集团)股份有限公司 一种栅格误差的测量方法、测量装置以及光学设备
CN112368647B (zh) * 2018-07-06 2024-03-26 Asml荷兰有限公司 位置传感器
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10481379B1 (en) * 2018-10-19 2019-11-19 Nanotronics Imaging, Inc. Method and system for automatically mapping fluid objects on a substrate
KR20200072311A (ko) 2018-12-12 2020-06-22 삼성전자주식회사 반도체 소자 제조 방법
CN109786228B (zh) * 2019-01-22 2021-04-16 上海华虹宏力半导体制造有限公司 形成对准标记的方法
WO2020159560A1 (en) * 2019-01-28 2020-08-06 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
WO2020169357A1 (en) * 2019-02-21 2020-08-27 Asml Holding N.V. Wafer alignment using form birefringence of targets or product
TWI716821B (zh) * 2019-02-22 2021-01-21 世界先進積體電路股份有限公司 晶圓結構
US10818606B1 (en) 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
CN110001221B (zh) * 2019-04-11 2021-04-02 淮安信息职业技术学院 喷码位置偏移的检测方法及装置
JP6842680B2 (ja) 2019-07-19 2021-03-17 株式会社安川電機 エンコーダ、サーボモータ、サーボシステム
KR20210012772A (ko) * 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 수직형 반도체 장치 및 그 제조 방법
CN110379941A (zh) * 2019-07-31 2019-10-25 昆山梦显电子科技有限公司 高分辨率Micro-OLED的制备方法以及显示模组
KR102273278B1 (ko) * 2019-09-10 2021-07-07 (주)오로스 테크놀로지 오버레이 측정장치
CN110568701A (zh) * 2019-09-25 2019-12-13 上海创波光电科技有限公司 一种组合式二次成像视觉光源
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
US11270950B2 (en) * 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
KR20220079936A (ko) * 2019-10-11 2022-06-14 어플라이드 머티어리얼스, 인코포레이티드 정렬 벡터들을 비교하는 방법 및 다이 시스템
US11899380B2 (en) 2019-10-21 2024-02-13 Asml Holding N.V. Apparatus for and method of sensing alignment marks
KR20220065875A (ko) * 2019-10-29 2022-05-20 에이에스엠엘 홀딩 엔.브이. 가변 회절 격자
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN113093475A (zh) * 2020-01-08 2021-07-09 中芯国际集成电路制造(上海)有限公司 套刻精度检测方法及套刻偏差补偿方法
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11754767B1 (en) 2020-03-05 2023-09-12 Apple Inc. Display with overlaid waveguide
CN113448192B (zh) * 2020-03-26 2022-08-30 上海微电子装备(集团)股份有限公司 一种对准系统及光刻机
TWI730798B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 對準標記結構及影像感測器的製造方法
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
KR20230104889A (ko) * 2020-11-17 2023-07-11 에이에스엠엘 네델란즈 비.브이. 계측 시스템 및 리소그래피 시스템
CN113065373B (zh) * 2021-03-16 2024-02-09 环鸿电子(昆山)有限公司 光学瞄准设备的自动化瞄准校正系统及方法
CN113725196A (zh) * 2021-08-31 2021-11-30 长江存储科技有限责任公司 半导体结构及其形成方法
WO2023064065A1 (en) * 2021-10-15 2023-04-20 Applied Materials, Inc. Alignment mark for front to back side alignment and lithography for optical device fabrication
CN114061452A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号解算结果有效性评价方法及系统
EP4202550A1 (en) * 2021-12-22 2023-06-28 ASML Netherlands B.V. Substrate comprising a target arrangement, associated patterning device, lithographic method and metrology method
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
CN116819917B (zh) * 2023-08-31 2023-11-17 光科芯图(北京)科技有限公司 一种掩模板、曝光设备及掩模板对准方法

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE262510C (ko)
US4037969A (en) * 1976-04-02 1977-07-26 Bell Telephone Laboratories, Incorporated Zone plate alignment marks
NL7606548A (nl) * 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
DE2820133A1 (de) * 1978-05-09 1979-11-15 Walter Ing Grad Fries Vorrichtung fuer die trockenentwicklung von lichtpausmaterial
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
NL186353C (nl) 1979-06-12 1990-11-01 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat voorzien van een opto-elektronisch detektiestelsel voor het bepalen van een afwijking tussen het beeldvlak van een projektielenzenstelsel en het substraatvlak.
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4355892A (en) * 1980-12-18 1982-10-26 Censor Patent- Und Versuchs-Anstalt Method for the projection printing
US4398824A (en) * 1981-04-15 1983-08-16 Bell Telephone Laboratories, Incorporated Wafer tilt compensation in zone plate alignment system
US4408884A (en) 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
DE3318980C2 (de) * 1982-07-09 1986-09-18 Perkin-Elmer Censor Anstalt, Vaduz Vorrichtung zum Justieren beim Projektionskopieren von Masken
JPS5979527A (ja) * 1982-10-29 1984-05-08 Hitachi Ltd パタ−ン検出装置
US4514858A (en) * 1983-03-15 1985-04-30 Micronix Partners Lithography system
JPH0732109B2 (ja) * 1983-10-07 1995-04-10 株式会社日立製作所 光露光方法
US4596467A (en) 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
NL8401710A (nl) 1984-05-29 1985-12-16 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat.
DD262510A1 (de) 1984-10-01 1988-11-30 Zeiss Jena Veb Carl Anordnung zur ausrichtung flaechenhafter gegenstaende
JPS61208220A (ja) 1985-03-13 1986-09-16 Matsushita Electric Ind Co Ltd 露光装置及び位置合わせ方法
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710026A (en) * 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US4861162A (en) * 1985-05-16 1989-08-29 Canon Kabushiki Kaisha Alignment of an object
CH667373A5 (de) 1985-05-22 1988-10-14 Bucher Guyer Ag Masch Verfahren zur klaerung von fluessigkeiten und anlage zur durchfuehrung desselben.
US4704033A (en) * 1986-03-06 1987-11-03 Micronix Corporation Multiple wavelength linear zone plate alignment apparatus and method
NL8600639A (nl) * 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
US4748333A (en) * 1986-03-31 1988-05-31 Nippon Kogaku K. K. Surface displacement sensor with opening angle control
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
JPS6340316A (ja) * 1986-08-05 1988-02-20 Mitsubishi Electric Corp 半導体製造装置
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPS63220521A (ja) 1987-03-10 1988-09-13 Canon Inc 焦点合せ装置
DE3707711A1 (de) * 1987-03-11 1988-09-22 Hoechst Ag Oel-in-wasser-emulsionen, verfahren zu deren herstellung und deren verwendung
US4890529A (en) * 1987-06-15 1990-01-02 Grant Bruce M Luminescently outlined string instrument
JPS6414918A (en) * 1987-07-08 1989-01-19 Nikon Corp Stepper
JPS6414918U (ko) 1987-07-18 1989-01-25
US4857744A (en) * 1987-07-29 1989-08-15 Hitachi, Ltd. Optical projection printing apparatus wherein wafer mark has a grating pitch in the sagittal plane of the first optical system
JPH0642448B2 (ja) 1987-09-30 1994-06-01 株式会社東芝 位置合わせ方法
US5523193A (en) 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02170005A (ja) * 1988-12-23 1990-06-29 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5489986A (en) * 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
EP0392085B1 (de) 1989-04-12 1992-04-15 Landis & Gyr Betriebs AG Anordnung zur Messung einer Spurabweichung einer bewegbaren Folienbahn
NL8900991A (nl) * 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5543921A (en) 1989-05-08 1996-08-06 Canon Kabushiki Kaisha Aligning method utilizing reliability weighting coefficients
JPH032504A (ja) * 1989-05-30 1991-01-08 Nikon Corp 位置合わせ装置
JPH0335107A (ja) * 1989-06-30 1991-02-15 Toshiba Corp 相対位置検出用回折格子
JP2539047B2 (ja) 1989-08-02 1996-10-02 株式会社東芝 位置合せ方法
DE69013790T2 (de) * 1989-08-04 1995-05-04 Canon K.K., Tokio/Tokyo Verfahren und Vorrichtung zur Positionsbestimmung.
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
JP2885439B2 (ja) 1989-10-06 1999-04-26 株式会社東芝 第1及び第2の物体の位置合せ方法及び装置
JP3077149B2 (ja) 1990-01-22 2000-08-14 株式会社ニコン 測定装置、測定方法、及び露光装置、露光方法、及び回路パターンチップ
NL9000503A (nl) * 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JPH03257303A (ja) 1990-03-08 1991-11-15 Nec Corp 重ね合せ精度測定方法
JPH03262901A (ja) 1990-03-13 1991-11-22 Toshiba Corp 位置合わせ方法
JP2893823B2 (ja) 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
EP0527166B1 (de) 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5418613A (en) * 1990-11-20 1995-05-23 Canon Kabushiki Kaisha Method and apparatus for detecting the position of a substrate having first and second patterns of different sizes
US5243195A (en) * 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5229872A (en) 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3275273B2 (ja) 1993-01-28 2002-04-15 株式会社ニコン アライメント装置及び露光装置
BE1006067A3 (nl) * 1992-07-01 1994-05-03 Imec Inter Uni Micro Electr Optisch systeem voor het afbeelden van een maskerpatroon in een fotogevoelige laag.
US5488230A (en) * 1992-07-15 1996-01-30 Nikon Corporation Double-beam light source apparatus, position detecting apparatus and aligning apparatus
JPH06267824A (ja) * 1993-03-15 1994-09-22 Nikon Corp 露光方法
US5446587A (en) 1992-09-03 1995-08-29 Samsung Electronics Co., Ltd. Projection method and projection system and mask therefor
JPH06177012A (ja) 1992-12-03 1994-06-24 Nikon Corp アライメント装置
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
US5596204A (en) 1993-04-06 1997-01-21 Nikon Corporation Method for aligning processing areas on a substrate with a predetermined position in a static coordinate system
US5424548A (en) 1993-09-21 1995-06-13 International Business Machines Corp. Pattern specific calibration for E-beam lithography
WO1995020139A1 (en) * 1994-01-24 1995-07-27 Svg Lithography Systems, Inc. Grating-grating interferometric alignment system
US5614432A (en) * 1994-04-23 1997-03-25 Nec Corporation Method for manufacturing LDD type MIS device
JP3451603B2 (ja) * 1994-06-16 2003-09-29 株式会社ニコン 露光方法及び該露光方法に使用されるマスク
US5601957A (en) 1994-06-16 1997-02-11 Nikon Corporation Micro devices manufacturing method comprising the use of a second pattern overlying an alignment mark to reduce flattening
EP0721608B1 (en) * 1994-08-02 2003-10-01 Koninklijke Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JP3257303B2 (ja) 1994-11-24 2002-02-18 株式会社デンソー アンチスキッド制御装置
JPH08167559A (ja) 1994-12-15 1996-06-25 Nikon Corp アライメント方法及び装置
JP3622249B2 (ja) 1995-02-01 2005-02-23 株式会社ニコン 位置検出方法及び装置
US6034378A (en) * 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5920378A (en) 1995-03-14 1999-07-06 Nikon Corporation Projection exposure apparatus
JP3590940B2 (ja) * 1995-04-27 2004-11-17 株式会社ニコン アライメント装置及び露光装置
US5995198A (en) * 1995-06-01 1999-11-30 Nikon Corporation Exposure apparatus
US5910647A (en) * 1995-06-12 1999-06-08 Circuits And Systems, Inc. Electronic weighing apparatus utilizing surface acoustic waves
KR0186068B1 (ko) * 1995-12-27 1999-04-01 문정환 리소그라피 장치의 위치 정렬 시스템
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
DE69701934T2 (de) * 1996-02-15 2000-11-30 Koninkl Philips Electronics Nv Methode zur bestimmung der strahlungsmenge in einem lithographischen gerät; test-maske und gerät ihrer durchführung
EP0824722B1 (en) 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
JP4023695B2 (ja) * 1996-03-15 2007-12-19 エーエスエムエル ネザーランズ ビー. ブイ. アラインメント装置及びこの装置が設けられているリソグラフィ装置
JPH09320933A (ja) * 1996-05-28 1997-12-12 Nikon Corp 走査型露光装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH1055946A (ja) * 1996-08-08 1998-02-24 Nikon Corp 露光条件測定方法
JP2821441B2 (ja) * 1996-08-23 1998-11-05 山形日本電気株式会社 重ね合せずれ量の計測方法
US5920376A (en) * 1996-08-30 1999-07-06 Lucent Technologies, Inc. Method and system for panoramic viewing with curved surface mirrors
JP2787303B2 (ja) * 1996-11-05 1998-08-13 株式会社ニコン 位置合わせ装置、露光装置及び露光方法
WO1998028665A1 (en) 1996-12-24 1998-07-02 Koninklijke Philips Electronics N.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JP2947196B2 (ja) * 1997-01-23 1999-09-13 日本電気株式会社 半導体基板および半導体装置の製造方法
JP4126096B2 (ja) 1997-01-29 2008-07-30 マイクロニック レーザー システムズ アクチボラゲット 感光性被覆を有する基板上に集束レーザ放射により構造物を製作する方法と装置
US5969600A (en) * 1997-02-19 1999-10-19 Ranco Inc. Of Delware Dangerous condition warning device incorporating a time-limited hush mode of operation to defeat an audible low battery warning signal
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
JP3570728B2 (ja) 1997-03-07 2004-09-29 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 離軸整列ユニットを持つリトグラフ投射装置
JP3626504B2 (ja) 1997-03-10 2005-03-09 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 2個の物品ホルダを有する位置決め装置
JPH10270346A (ja) 1997-03-24 1998-10-09 Nikon Corp 位置検出方法及びその装置、並びに露光装置
JP3466893B2 (ja) * 1997-11-10 2003-11-17 キヤノン株式会社 位置合わせ装置及びそれを用いた投影露光装置
US5952135A (en) * 1997-11-19 1999-09-14 Vlsi Technology Method for alignment using multiple wavelengths of light
WO1999027567A1 (fr) * 1997-11-20 1999-06-03 Nikon Corporation Procede de detection de marque et capteur de position de marque
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
JP3067732B2 (ja) * 1998-04-02 2000-07-24 日本電気株式会社 半導体装置のアライメント装置及びアライメント方法
US5933744A (en) * 1998-04-02 1999-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment method for used in chemical mechanical polishing process
JP3159168B2 (ja) 1998-05-15 2001-04-23 日本電気株式会社 半導体装置とその製造方法
US6087733A (en) * 1998-06-12 2000-07-11 Intel Corporation Sacrificial erosion control features for chemical-mechanical polishing process
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
TW569083B (en) 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
IL130874A (en) 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP3615430B2 (ja) 1999-08-20 2005-02-02 松下電器産業株式会社 認識マーク
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001185474A (ja) 1999-12-27 2001-07-06 Nikon Corp アライメント方法、アライメント装置、基板、マスク、及び露光装置
US6542243B2 (en) 2000-01-27 2003-04-01 Lambda Physik Ag Resonator optics monitoring method
JP2001267211A (ja) * 2000-03-16 2001-09-28 Nikon Corp 位置検出方法及び装置、並びに前記位置検出方法を用いた露光方法及び装置
JP3844940B2 (ja) 2000-03-27 2006-11-15 株式会社東芝 マーク位置検出装置およびマーク位置検出方法
JP2002198303A (ja) * 2000-12-27 2002-07-12 Nikon Corp 露光装置、光学特性計測方法、及びデバイス製造方法
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
JP3297423B2 (ja) * 2000-08-09 2002-07-02 株式会社東芝 フォーカステストマスク、並びにそれを用いたフォーカス及び収差の測定方法
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
EP1314198B1 (en) 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
IL139368A (en) * 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
TW556296B (en) * 2000-12-27 2003-10-01 Koninkl Philips Electronics Nv Method of measuring alignment of a substrate with respect to a reference alignment mark
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
KR100500469B1 (ko) 2001-01-12 2005-07-12 삼성전자주식회사 정렬마크와 이를 이용하는 노광정렬시스템 및 그 정렬방법
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6732004B2 (en) * 2001-02-26 2004-05-04 Asml Netherlands B.V. Computer program for determining a corrected position of a measured alignment mark, device manufacturing method, and device manufactured thereby
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
EP1256849A1 (en) 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
EP1256843A1 (en) * 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
KR100583693B1 (ko) * 2001-05-23 2006-05-25 에이에스엠엘 네델란즈 비.브이. 실질적으로 투과성인 공정층내에 정렬마크가 제공된 기판,상기 마크를 노광하는 마스크, 디바이스 제조방법 및 그디바이스
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2003224057A (ja) 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
JP2004079585A (ja) * 2002-08-09 2004-03-11 Nikon Corp 結像特性計測方法及び露光方法
SG152898A1 (en) 2002-09-20 2009-06-29 Asml Netherlands Bv Alignment systems and methods for lithographic systems
US6864956B1 (en) 2003-03-19 2005-03-08 Silterra Malaysia Sdn. Bhd. Dual phase grating alignment marks
US7494635B2 (en) * 2003-08-21 2009-02-24 Saint-Gobain Ceramics & Plastics, Inc. Boron nitride agglomerated powder
US20050204144A1 (en) 2004-03-10 2005-09-15 Kabushiki Kaisha Toshiba Image processing apparatus and personal information management program

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200010508A (ko) * 2017-06-26 2020-01-30 에이에스엠엘 네델란즈 비.브이. 프로세스의 성능 파라미터를 결정하는 방법
KR102374948B1 (ko) 2017-06-26 2022-03-15 에이에스엠엘 네델란즈 비.브이. 프로세스의 성능 파라미터를 결정하는 방법

Also Published As

Publication number Publication date
US7330261B2 (en) 2008-02-12
KR20040025866A (ko) 2004-03-26
US7329888B2 (en) 2008-02-12
JP4422774B2 (ja) 2010-02-24
TW200416500A (en) 2004-09-01
US7619738B2 (en) 2009-11-17
US7297971B2 (en) 2007-11-20
CN100337089C (zh) 2007-09-12
US20040130690A1 (en) 2004-07-08
JP2004282018A (ja) 2004-10-07
KR100632889B1 (ko) 2006-10-13
SG125923A1 (en) 2006-10-30
TWI251722B (en) 2006-03-21
US20070176128A1 (en) 2007-08-02
US7112813B2 (en) 2006-09-26
TW200411337A (en) 2004-07-01
CN1506768B (zh) 2011-01-26
TW200421039A (en) 2004-10-16
CN1534387A (zh) 2004-10-06
KR20040025867A (ko) 2004-03-26
TWI277842B (en) 2007-04-01
KR20040025865A (ko) 2004-03-26
JP2007214560A (ja) 2007-08-23
JP4362347B2 (ja) 2009-11-11
CN1495540A (zh) 2004-05-12
TW200415449A (en) 2004-08-16
JP2004282019A (ja) 2004-10-07
TWI229243B (en) 2005-03-11
US20060086910A1 (en) 2006-04-27
JP4222927B2 (ja) 2009-02-12
US8139217B2 (en) 2012-03-20
US20060091330A1 (en) 2006-05-04
US20060081790A1 (en) 2006-04-20
US20060081792A1 (en) 2006-04-20
JP2008211247A (ja) 2008-09-11
US20110128520A1 (en) 2011-06-02
JP2007335906A (ja) 2007-12-27
SG125922A1 (en) 2006-10-30
JP4222926B2 (ja) 2009-02-12
US20040114143A1 (en) 2004-06-17
JP2009069163A (ja) 2009-04-02
JP2008034878A (ja) 2008-02-14
SG152898A1 (en) 2009-06-29
JP4972628B2 (ja) 2012-07-11
US7439531B2 (en) 2008-10-21
US6844918B2 (en) 2005-01-18
TWI227814B (en) 2005-02-11
US20060081791A1 (en) 2006-04-20
US7332732B2 (en) 2008-02-19
JP2004282017A (ja) 2004-10-07
US20040129900A1 (en) 2004-07-08
SG120949A1 (en) 2006-04-26
US20050189502A1 (en) 2005-09-01
CN1506768A (zh) 2004-06-23
CN1495540B (zh) 2010-08-11
KR100552455B1 (ko) 2006-02-20
US20080180668A1 (en) 2008-07-31
KR100536632B1 (ko) 2005-12-14
JP2004279405A (ja) 2004-10-07
KR100543536B1 (ko) 2006-01-20
US7880880B2 (en) 2011-02-01
KR20040025868A (ko) 2004-03-26
JP5508448B2 (ja) 2014-05-28
JP2012094915A (ja) 2012-05-17
CN1534271A (zh) 2004-10-06
CN100476599C (zh) 2009-04-08
JP4166810B2 (ja) 2008-10-15
KR20050102057A (ko) 2005-10-25

Similar Documents

Publication Publication Date Title
KR100597041B1 (ko) 디바이스 검사방법 및 장치
US20210208083A1 (en) Method and Apparatus for Angular-Resolved Spectroscopic Lithography Characterization
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
KR100930654B1 (ko) 측정 방법, 검사 장치 및 리소그래피 장치
KR100923543B1 (ko) 각도-분해 분광 리소그래피 특성화를 위한 방법 및 장치
JP5277348B2 (ja) オーバーレイエラーを決定する方法
JP4672704B2 (ja) 基板のオーバーレイ誤差を測定する方法、基板製造方法、および検査装置
US20080144036A1 (en) Method of measurement, an inspection apparatus and a lithographic apparatus
US20110073775A1 (en) Method and Apparatus for Angular-Resolved Spectroscopic Lithography Characterization
US7630087B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US10691030B2 (en) Measurement method, inspection apparatus, patterning device, lithographic system and device manufacturing method
US9909983B2 (en) Method and apparatus for improving measurement accuracy
JP2009200466A (ja) 検査方法及び装置、リソグラフィ装置、リソグラフィ処理セル、並びに、デバイス製造方法
JP2013522610A (ja) リソグラフィ用の検査
CN101819384A (zh) 检验设备、光刻设备、光刻处理单元以及检验方法
JP6975324B2 (ja) 構造を測定するメトロロジ装置、リソグラフィシステム、及び方法
US20080036984A1 (en) Method and apparatus for angular-resolved spectroscopic lithography characterization
US8363218B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
EP1400855A2 (en) Device inspection
NL2024679A (en) Metrology device and phase modulator apparatus therefor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130621

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140623

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150619

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160621

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170616

Year of fee payment: 12

LAPS Lapse due to unpaid annual fee