JP2007214560A - リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法 - Google Patents

リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法 Download PDF

Info

Publication number
JP2007214560A
JP2007214560A JP2007009156A JP2007009156A JP2007214560A JP 2007214560 A JP2007214560 A JP 2007214560A JP 2007009156 A JP2007009156 A JP 2007009156A JP 2007009156 A JP2007009156 A JP 2007009156A JP 2007214560 A JP2007214560 A JP 2007214560A
Authority
JP
Japan
Prior art keywords
marker
marker structure
substrate
structural elements
sensor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007009156A
Other languages
English (en)
Other versions
JP4166810B2 (ja
Inventor
Haren Richard Johannes Franciscus Van
ヨハンネス フランシスカス ファン ハレン リカルド
Paul Christiaan Hinnen
クリスティアーン ヒネン パウル
Sanjay Lalbahadoersing
ラルバハドールシング サンヤイ
Henry Megens
メゲンス ヘンリー
Der Schaar Maurits Van
ファン デル シャール マウリトス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from EP03076422A external-priority patent/EP1477860A1/en
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2007214560A publication Critical patent/JP2007214560A/ja
Application granted granted Critical
Publication of JP4166810B2 publication Critical patent/JP4166810B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G03F9/7046Strategy, e.g. mark, sensor or wavelength selection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7065Production of alignment light, e.g. light source, control of coherence, polarization, pulse length, wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7076Mark details, e.g. phase grating mark, temporary mark
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7092Signal processing

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Multimedia (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Length Measuring Devices With Unspecified Measuring Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

【課題】複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造を提供すること。
【解決手段】使用に際して、前記マーカ構造は、前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、前記マーカ構造から受け取った光をセンサで検出すること、および前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めることに基づいて前記光学的位置合わせを行うことができる。
【選択図】なし

Description

本発明は、請求項1のプリアンブルに定義するマーカ構造、前記マーカ構造を使用するウエハ位置合わせ用装置を備えるリソグラフィ投影機器および前記マーカ構造を使用してウエハを位置合わせする方法に関する。
本発明は、放射投影ビームを供給する放射システムと、所望のパターンに従って投影ビームをパターン化するように働くパターン化手段を支持する支持構造と、基板を保持する基板テーブルと、基板の目標部分上にパターン化したビームを投影する投影システムとを含むリソグラフィ投影機器分野に適用される。
ここで使用する「パターン化手段」という用語は、基板のターゲット部分に形成すべきパターンに相当するパターン化した横断面を、入射する放射ビームに付与するのに使用することができる複数の手段を指すものと広く解釈すべきである。ここでは、「光バルブ」という用語を使用することもある。一般に、前記パターンは、集積回路その他のデバイス(下記参照)など、ターゲット部分に形成されるデバイス中の特定の機能層に相当する。こうしたパターン化手段には、以下の例が含まれる。
−マスク:マスクの概念はリソグラフィにおいては周知であり、2値型、交互配置型位相シフト、ハーフトーン位相シフト、ならびに様々なハイブリッド・マスク型などのマスク・タイプの例が含まれる。こうしたマスクを放射ビーム中に配置することにより、マスク上のパターンに従って、マスク上に入射する放射を、選択的に透過(透過型マスクの場合)または反射(反射型マスクの場合)させる。マスクの場合、一般に、支持構造はマスク・テーブルであり、それによって入射する放射ビーム中で所望の位置にマスクを保持し、望まれる場合には、マスクがビームに対して相対的に移動できるようにする。
−プログラム可能なミラー・アレイ:このようなデバイスの一例は、粘弾性制御層および反射面を有するマトリックス・アドレス可能型表面である。こうした機器の基礎となる基本原理は、(たとえば)反射面のアドレスされた区域は入射光を回折光として反射し、アドレスされない区域は入射光を非回折光として反射するというものである。適当なフィルタを使用して、前記非回折光をフィルタリングして反射光から除去し、回折光のみを後に残すことができる。このようにして、マトリックス・アドレス可能型表面のアドレス・パターンに従ってビームがパターン化される。また、プログラム可能なミラー・アレイの代替実施形態では、適切な局所電界を印加し、あるいは圧電作動手段を使用することによってそれぞれ独立にある軸の周りで傾けることができる小ミラーのマトリックス構成を使用する。この場合も、ミラーはマトリックス・アドレス可能型であり、そのためアドレスされたミラーは、アドレスされないミラーとは異なる方向に、入射する放射ビームを反射する。このようにして、反射ビームは、マトリックス・アドレス可能型ミラーのアドレス・パターンに従ってパターン化される。必要とされるマトリックス・アドレス指定は、適当な電子的手段を使用して行うことができる。上記のいずれの状況でも、パターン化手段は、1つまたは複数のプログラム可能なミラー・アレイを備えることができる。ここで言及したミラー・アレイに関するより多くの情報は、たとえば、米国特許第5,296,891号および第5,523,193号、ならびにPCT特許出願WO98/38597号およびWO98/33096号から得ることができる。参照によりこれらを本明細書に合体する。プログラム可能なミラー・アレイの場合、前記支持構造は、たとえばフレームまたはテーブルとして実施することができ、必要に応じて、固定あるいは移動可能とすることができる。
−プログラム可能なLCDアレイ:このような構造の一例が、米国特許第5,229,872号に示されている。参照によりこれを本明細書に合体する。上記の場合と同様に、この場合の支持構造も、たとえばフレームまたはテーブルとして実施することができ、必要に応じて、固定あるいは移動可能とすることができる。
簡単にするために、本明細書の残りの部分は、ある個所では、具体的にはマスクおよびマスク・テーブルを含む例を取り上げる。しかし、こうした例で論じる一般原理は、上記のパターン化手段のより広い状況の中で理解すべきである。
リソグラフィ投影機器は、たとえばIC(集積回路)の製造に使用することができる。この場合、パターン化手段は、ICの個々の層に対応する回路パターンを生成することができ、これらのパターンを、放射感受性材料(レジスト)の層を塗布した基板(シリコン・ウエハ)上の(たとえば1つまたは複数のダイを含む)ターゲット部分上に結像させることができる。一般に、1枚のウエハは、投影システムにより1度に1つずつ次々に照射される網目状に並んだ隣接するターゲット部分全体を含んでいる。マスク・テーブル上のマスクによってパターン形成を行う現在の機器では、2つの異なるタイプの機械を区別することができる。1つのタイプのリソグラフィ投影機器では、1回でマスク・パターン全体をターゲット部分上に露光することによって、各ターゲット部分を照射する。通常、このような機器をウエハ・ステッパまたはステップ・アンド・リピート機器と称する。通常ステップ・アンド・スキャン機器と称する代替機器では、投影ビーム下でマスク・パターンを所与の基準方向(「走査」方向)に順次走査することによって各ターゲット部分を照射し、基板テーブルをこの基準方向と平行または逆平行に同期走査する。一般に、投影システムは倍率M(一般に1未満)を有するので、基板テーブルを走査する速度Vは、マスク・テーブルを走査する速度のM倍となる。ここで述べたリソグラフィ装置に関するより多くの情報は、たとえば、米国特許第6,046,792号から得ることができる。参照によりこれを本明細書に合体する。
リソグラフィ投影機器を使用する製造プロセスでは、放射感受性材料(レジスト)の層で少なくとも部分的に覆われた基板上に、(たとえばマスク内の)パターンを結像させる。この結像ステップの前に、基板に様々な処理、たとえば、プライミング、レジスト塗布、およびソフト・ベークを施すことがある。露光後、基板を、その他の処理、たとえば、PEB(露光後ベーク)、現像、ハード・ベーク、および画像形成したフィーチャーの測定/検査にかけることがある。この一連の処理をベースとして使用して、デバイスたとえばICの個々の層のパターン形成を行う。次いで、このようなパターン形成された層を、様々なプロセス、たとえば、エッチング、イオン注入(ドーピング)、メタライゼーション、酸化、化学機械研磨などにかけることができる。これらの処理はどれも、個々の層を完成させるためのものである。複数の層が必要とされる場合には、それぞれ新しい層ごとにこの処理全体またはその変形を繰り返さなければならない。最終的に、デバイス・アレイが基板(ウエハ)上に得られる。次いで、これらのデバイスを、ダイシングまたはソーイングなどの技術によって互いに分離し、その後、個々のデバイスをキャリア上に実装し、ピンに接続する、などを行うことができる。こうしたプロセスに関するさらなる情報は、たとえば、Peter van Zant著「Microchip Fabrication:A Practical Guide to Semiconductor Processing」、Third Edition、McGraw Hill Publishing Co.、ISBN 0−07−067250−4、1997年から得ることができる。参照によりこれを本明細書に合体する。
簡単にするため、以下では、投影システムを「レンズ」と称する。しかし、この用語は、たとえば、屈折光学系、反射光学系、および反射屈折型システムを含む様々なタイプの投影システムを包含すると広く解釈すべきである。また、放射システムは、これらの設計タイプのいずれかに従って動作する構成要素を含み、放射投影ビームを方向づけ、整形し、または制御することができる。下記では、このような構成要素も総称してあるいは単独で「レンズ」と称する。
さらに、リソグラフィ機器は、2つ以上の基板テーブル(および/または2つ以上のマスク・テーブル)を有するタイプのものとすることもできる。このような「マルチ・ステージ」型の装置では、追加のテーブルを並列で使用し、あるいは準備ステップを1つまたは複数のテーブル上で実施しながら、1つまたは複数の他のテーブルを使用して露光を行うことができる。複式ステージ・リソグラフィ機器が、たとえば、米国特許第5,969,441号および国際公開WO98/40791号に記載されている。参照によりこれらを本明細書に合体する。
リソグラフィ・プロセスでは、マスク上のマスク・パターンで加工されるウエハの位置合わせは、基板上にフィーチャーを正確に画定するためにできるだけ精確に行われるべきであり、これらすべてのフィーチャーは指定の公差内のサイズを有するべきである。そのために、リソグラフィ投影機器は、所与の(指定の)公差内でマスクおよびマスク・パターンに基板を位置合わせするウエハ位置合わせモジュールを備える。一般に、ウエハ位置合わせシステムは、光学的手段に基づいてこの位置合わせを行う。ウエハあるいはウエハの一部の位置は、光源で照明される光学マーカからの光学的な応答を測定することによって求める。たとえば、レーザ・ビームでグレーティングを照明し、そのレーザ・ビームをグレーティングで回折させ、1つまたは複数の回折次数を、一般に基準面上に配置されたそれぞれのセンサで測定する。センサの出力を用いて、(基準面に対する相対的な)ウエハの位置を導き出すことができる。
従来技術では、光学マーカは、完全に可視スペクトル範囲内の波長を有する入射光を回折させるのに適した周期のグレーティングを備える。典型的な周期は16μmである。一般に、グレーティングはラインおよびトレンチで構築する。一般に、ライン幅およびトレンチ幅は、それぞれ8μmである。グレーティングから十分な回折光を得、明確な回折の極大および極小を得るために、グレーティングは最低限の数のラインおよび中間トレンチを含んでいなければならない。周期構造方向のサイズは約750μmである。
グレーティングは、グレーティングの上面で散乱する光線の位相と、グレーティングの下面で散乱する光線の位相の位相差を考慮する位相型グレーティングまたは位相マーカでよい。
また、グレーティングは、グレーティングの周期構造しか考慮せず、グレーティング中の上下の高さに関係する別の位相差をもたない振幅型グレーティングでもよい。一般に、振幅型グレーティングまたは振幅マーカは、表面の高さは同様であるが、反射率がそれぞれ異なる第1および第2要素の周期構造で構築される。
光学マーカは、完全な製造ラインの途中で、超小型電子デバイスの加工(またはICの加工)中に使用する。FEOL(フロント・エンド・ライン)では、トランジスタ構造の製造中の位置合わせにマーカを使用する。BEOL(バック・エンド・ライン)の後半段階では、マーカは、メタライゼーション構造、たとえば接続線およびバイアの位置合わせに必要である。いずれの場合でも、マーカの完全性が位置合わせの要求精度を満たすのに十分でなければならないことに留意されたい。
半導体製造プロセス中、ウエハは、アニール、エッチング、研磨など複数の処理にかけられ、そのため、マーカの粗さ(マーカ内の陥凹領域および/またはマーカの反り)が生じることがある。このようなマーカの粗さにより、像の位置合わせ誤差が生じ、それが半導体デバイスを構築する際の重ね合わせ誤差に寄与する。また、後続の加工段階で、マーカの質が劣化する傾向があることも考えられる。
従来技術の光学マーカの欠点は、ICの加工中に、光学マーカの位相深さを制御するのが難しいことである。その結果、所与の回折角の回折光強度が弱く、ゼロに近くなることさえあり、回折ビームの正確な測定が難しく、または不可能なことさえあり得る。位相深さは、所与の回折角において、グレーティングのライン上面とトレンチ上面の間の分離した高さの差と定義することができる。(最適条件のもとで)回折強度が極大値になることが期待されるある回折角において、位相深さが照射される放射の半波長に等しい場合、回折波間の干渉の結果、低いあるいはゼロの強度が得られることになる。
IC加工中の位相深さの制御は、ウエハ間のプロセス変動のため難しく、また単一ウエハ全体でも難しいことがある。
従来技術のマーカの別の欠点は、マーカ下の1つ(または複数)の層の作用としてマーカ特性が依存することから生じる。半導体デバイスに見られる様々な層の様々な光学的挙動のためにマーカのコントラストが変化することがあり、その結果、下の層の作用として回折強度の変化が生じることが知られている。
さらに、様々な加工ステップが、位置合わせマーカの形状に悪影響を及ぼすことがあることも知られている。形状へのこうした影響のために、このような改変されたマーカによる位置合わせでは、改変されたマーカ形状が、生成される回折ビーム(パターン)を変化させることから生じ得る誤差が含まれることがある。
さらに、従来技術では、BEOLプロセス中に、表面に見えていた残余の構造により、キャップ層下で光学マーカを検出することができるはずである。しかし、CMP(化学機械研磨)などの平坦化プロセスを適用するため、多くの場合、位置合わせに残余のマーカ構造を使用するという任意選択は不可能になった。
従来技術では、タングステンで充填したトレンチを備える半導体基板上のマーカは、CMPプロセスにかけられて表面からタングステンが除去され、表面が平坦化される。CMPプロセスのため、タングステン構造は、充填状態あるいは不完全充填状態になる。充填の程度は、マーカによって生成される光学信号の位相深さに関係する。すなわち、2つの離散位相深さレベルが存在する。一方のレベルは、浅く小さな位相深さを有する充填タングステン構造に関係するものであり、他方のレベルは、比較的深く大きな位相深さを有する不完全充填タングステン構造に関係するものである。小さな位相深さによって生じる位置合わせ誤差は比較的大きいので、充填マーカの小さな位相深さは望ましくない。また、大きな位相深さにより、位置合わせ誤差が小さくなることも保証されない。すなわち、位相深さにより、光学信号の消失が生じることがある。さらに、位相深さの制御を実現することはできない。
本来、光学マーカは集積回路のフィーチャー・サイズよりも大きいので、光学マーカがIC加工に及ぼす影響により、望ましくない副作用が生じることがある。従来技術では、マーカの最小フィーチャー・サイズは1μm程度である。現在の超小型電子デバイスでは、典型的な最小フィーチャー・サイズは、(デバイスの世代によって決まり)約100nmである。一般に、マーカはデバイス(の一部)と同じ材料からなるので、デバイスの近傍に大きなサイズの追加のマーカ領域が存在すると、所与の加工ステップにおいて、そのデバイスの局所的な加工速度に影響を及ぼすことがある。たとえば、反応性イオン・エッチング加工または化学的成長プロセスにおける化学反応は、ある種の動力学的な制約あるいはウエハ温度の局所的な偏差などのために、大きなマーカ領域の存在の影響を受けることがある。すなわち、マーカとデバイス・フィーチャーのサイズの差により、たとえば、マーカ近くに位置するデバイスの加工ステップの改変が生じることがある。加工の改変のため、ダイおよび/またはウエハ全体にわたってデバイス特性のばらつきが生じることがある。
本明細書では、本発明による機器をICの製造で使用することを特に参照しているが、こうした機器を他の可能な応用例に適用できることをはっきりと理解されたい。たとえば、集積光学系、磁気ドメイン・メモリ、液晶ディスプレイ・パネル、薄膜磁気ヘッド用の誘導/検出パターンなどの製造で使用することができる。こうした代替応用例の状況では、本明細書において使用する「レチクル」、「ウエハ」あるいは「ダイ」という用語を、それぞれ「マスク」、「基板」および「目標部分」というより一般的な用語で置き換えて考えるべきであることが当業者には理解されよう。
この文章では、「放射」および「投影ビーム」という用語は、(たとえば、365、248、193、157または126nmの波長を有する)UV(紫外)放射、および(たとえば、5〜20nmの範囲の波長を有する)EUV(極紫外)放射を含むあらゆるタイプの電磁放射を包含するものとして使用する。
本発明の目的は、回折パターン内の弱め合う干渉を防止するように位相深さを補正することができるマーカ構造を提供することである。
本発明の第1態様では、この目的は、第1構造要素が第1レベル上に第1反射面を有し、第2構造要素がほぼ非反射性であり、
第2反射面がより下方の第2レベル上に位置し、
前記第1および第2反射面の間隔が検出光の位相深さ条件を決め、
陥凹部(R1;R2;R3)が前記第2反射面内に存在し、それによって前記位相条件が改変されることを特徴とする、請求項1のプリアンブルに定義する基板の光学的位置合わせ用の基板上マーカ構造において達成される。
この陥凹領域は、十分な強度の強め合う干渉がセンサで観測されるようになる量だけ位相深さを変更する。
本発明の第2態様では、この目的は、第1構造要素が第1レベル上に第1反射面を有し、第2構造要素がほぼ非反射性であり、
第2反射面がより下方の第2レベル上に位置し、
前記第1および第2反射面の間隔が検出光の位相深さ条件を決め、
前記第2反射面が、不透明な層の上に位置する複数の追加の構造要素を備えることを特徴とする、請求項9のプリアンブルに定義する基板の光学的位置合わせ用の基板上マーカ構造において達成される。
マーカ構造を互いに上に積み重ねることによって、下にある層の作用として検出された強度の変動が減少すると有利である。強め合う干渉を伴う最適位相深さを有するように、中間誘電層を調整することができる。
本発明の別の目的は、プロセスにより生じる損傷を監視することができるマーカ構造を提供することである。
この目的は、マーカ構造が第1周期構造および第2周期構造を備え、
第2周期構造が第1周期構造に隣接しかつ平行であり、
第1周期構造が、複数の第1材料の第1構造要素および複数の第2材料の第2構造要素を備え、第1および第2構造要素が繰返し順序で配置され、第1の幅が第2の幅よりも大きく、
第2周期構造が、第3の幅を有する複数の第2材料の第1構造要素および第4の幅を有する複数の第1材料の第2構造要素を備え、第1および第2構造要素が繰返し順序で配置され、第3の幅が第1の幅に等しく、第4の幅が第2の幅に等しく、
第2周期構造中の第1構造要素が、第2周期構造が第1周期構造と相補的になるように、第1周期構造中の第1構造要素に隣接して位置することを特徴とする、請求項10のプリアンブルに定義する基板の光学的位置合わせ用の基板上マーカ構造において達成される。
第1周期構造と、それと相補的な第2周期構造とを備える相補的な構造により、第1および第2周期構造中の第1または第2構造要素のいずれかに損傷が生じると、第1周期構造と第2周期構造とでは回折パターンが異なって変化することになるので、この位置合わせシステムを使用することにより、IC加工シーケンスによって周期構造中の構造要素の1つが損傷を受けたかどうかを監視することが可能になる。
本発明の別の目的は、マーカ構造を含む下にある層をCMPプロセスにかけるためにメタライゼーション層から残余のマーカ構造が除去されることを克服するマーカ構造を提供することである。この目的は、前記マーカ構造がメタライゼーション層中に存在し、
前記第1構造要素が第1表面状態を有する第1表面領域部分からなり、
前記第2構造要素が第2表面状態を有する第2表面領域部分からなり、
前記第1表面領域部分が第1埋込みマーカ要素に関係し、
前記第2表面領域部分が第2埋込みマーカ要素に関係し、
前記第1および第2表面状態が、それぞれ前記第1埋込みマーカ要素および前記第2埋込みマーカ要素によって生じる前記メタライゼーション層の形態の変化に関係することを特徴とする、請求項14のプリアンブルに定義するマーカ構造において達成される。
下にある材料の作用としての表面状態/形態の差異がメタライゼーション層中に作り出されるように、メタライゼーション層を被着させると有利である。この表面の表面状態/形態の周期変化は、位置合わせシステムおよび/または重ね合わせシステムにより検出可能である。
本発明の目的は、比較的大きなマーカ領域の近傍に位置するデバイス・フィーチャーへのこのような大きなマーカ領域によって生じる影響に打ち勝つマーカ構造を提供することである。
この目的は、前記第1構造要素が複数の1次ラインおよび複数の第1挿入ラインを備えることを特徴とする、請求項19のプリアンブルに定義するマーカ構造において達成される。
マーカ構造を構築する構造要素がそれぞれ、製品のフィーチャー・サイズに匹敵する固有のサイズを有する副要素にさらに分割されると有利である。製品のフィーチャー・サイズを模倣しそれにより近づけることによって、サイズにより生じる加工の影響が最小限に抑えられる。
さらに、本発明の目的は、上記で述べたマーカ構造を適用することができるリソグラフィ投影機器を提供することである。
さらに、本発明の目的は、上記で述べたマーカ構造を使用するリソグラフィ投影機器において、基板を位置合わせする方法を提供することである。
以下に、複数の図面を参照して本発明を説明するが、これらの図面は単なる例であり、添付の特許請求の範囲に定義する保護の範囲を限定するものではない。
図1に、本発明の特定の実施例による少なくとも1つのマーカ構造を備えるリソグラフィ投影機器1を概略的に示す。この機器は、
放射投影ビームPB(たとえばUV放射)を供給する放射システムEx、ILを備える。この特定の例では、放射システムは放射源SOも備える。
マスクMA(たとえばレチクル)を保持するマスク・ホルダを備え、第1位置決め手段(図示せず)に連結されて要素PLに対してマスクを正確に位置決めする第1対象物テーブル(マスク・テーブル)MTと、
基板W(たとえばレジストを塗布したシリコン・ウエハ)を保持する基板ホルダを備え、第2位置決め手段PWに連結されて要素PLに対して基板を正確に位置決めする第2対象物テーブル(基板テーブル)WTと、
基板Wの(たとえば1つまたは複数のダイを含む)ターゲット部分C上に、マスクMAの照射された部分を結像する投影システム(「レンズ」)PLとを備える。
ここで示すように、この機器は、透過タイプの(すなわち、透過型マスクを有する)ものである。しかし、一般に、たとえば(反射型マスクを備える)反射タイプのものとすることもできる。あるいは、この機器では、別の種類のパターン化手段、たとえば上記で言及したタイプのプログラム可能なミラー・アレイを使用することもできる。
放射源SO(たとえば、水銀ランプまたはエキシマ・レーザ)は、放射ビームを生成する。このビームを、直接、あるいはビーム・エキスパンダExなどの調節手段を通った後に、照明システム(照明器)IL内に供給する。照明器ILは、ビームの強度分布の外側および/または内側半径方向範囲(通常、それぞれ外側σおよび内側σと称する)を設定する調節手段AMを備えることができる。さらに、一般に、照明器ILは、様々な他の構成要素、たとえば統合器INおよびコンデンサCOも備えている。このようにして、マスクMA上に入射するビームPBは、所望の均一性および強度分布をその横断面に有する。
図1を参照すると、(放射源SOが、たとえば水銀ランプであるときはしばしばそうであるが)、放射源SOを、このリソグラフィ投影機器のハウジング内に置くことができるが、リソグラフィ投影機器から離し、放射源LAが生成する放射ビームを(たとえば適当な方向づけミラーによって)機器内に導入することもできることに留意されたい。後者の状況が生じるのは、しばしば放射源SOがエキシマ・レーザのときである。本発明および特許請求の範囲は、どちらの状況も包含するものとする。
その後、ビームPBは、マスク・テーブルMT上に保持されるマスクMAに当たる。マスクMAを横切ったビームPBは、レンズPLを通過し、基板Wのターゲット部分C上に結像する。第2位置決め手段PWおよび干渉計測手段により、基板テーブルWTは正確に動き、たとえば異なるターゲット部分CをビームPBの経路内に位置決めすることができる。同様に、(マスク・テーブルMTで作用する)第1位置決め手段を使用して、たとえば、マスク・ライブラリからマスクMAを機械的に取り出した後、あるいは走査中に、ビームPBの経路に対してマスクMAを正確に位置決めすることができる。一般に、対象物テーブルMT、WTの移動は、長ストローク・モジュール(粗い位置決め用)および短ストローク・モジュール(精密位置決め用)によって行われる。これらのモジュールは、図1に明示的に示していない。ただし、ウエハ・ステッパの場合には(ステップ・アンド・スキャン機器と異なり)、マスク・テーブルMTを、短ストローク・アクチュエータだけに連結するか、あるいは固定とすることもできる。マスクMAおよび基板Wは、マスク位置合わせマークM1、M2および基板位置合わせマークP1、P2を使用して位置合わせすることができる。
図の機器は、下記の2つの異なるモードで使用することができる。
1.ステップ・モードでは、マスク・テーブルMTはほぼ固定したまま、ターゲット部分C上にマスク像全体を1回で(すなわち1回の「フラッシュ」で)投影する。次いで、基板テーブルWTを、X方向および/またはY方向に移動し、それによってビームPBで異なるターゲット部分Cを照射することができる。
2.スキャン・モードでは、所与のターゲット部分Cが1回の「フラッシュ」で露光されない点を除き、ほぼ同じ状況が当てはまる。その代わりに、マスク・テーブルMTが、所与の方向(いわゆる「走査方向」、たとえばY方向)に速度vで移動可能であり、それによって、投影ビームPBがマスク像の上を走査する。それに並行して、基板テーブルWTが同時に同方向または反対方向に速度V=Mvで移動する。ただし、MはレンズPLの倍率(通常、M=1/4または1/5)である。このようにして、比較的大きなターゲット部分Cを、解像力を損なわずに露光することができる。
一般に、干渉計測手段は、(図示しない)レーザなどの光源および1つまたは複数の干渉計を備え、それによって、測定すべき物体、たとえば基板またはステージに関するなんらかの情報(たとえば、位置、位置合わせなど)を求めることができる。図1に、たとえば1つの干渉計IFを概略的に示す。光源(レーザ)は、1つまたは複数のビーム・マニピュレータによって干渉計IFに送られる計測ビームMBを生成する。2つ以上の干渉計が存在する場合は、各干渉計ごとに様々な別々のビームに計測ビームを分ける光学系を使用して、これらの干渉計間でこの計測ビームを共有する。
テーブルWT上の基板をマスク・テーブルMT上のマスクMAに位置合わせする基板位置合わせシステムMSを、例えば基板テーブルWT近傍の位置で概略的に示す。基板位置合わせシステムMSは、基板上のマーカ構造に照準を合わせる光ビームを生成する少なくとも1つの光源およびこのマーカ構造からの光信号を検出する少なくとも1つのセンサ装置を備える。基板位置合わせシステムMSの位置は、リソグラフィ投影機器の実際のタイプに応じて変化し得る設計条件によって決まることに留意されたい。このマーカ構造は、たとえば、基板位置合わせマークP1、P2であり得る。
図2に、位相深さの概念を示す従来技術のマーカ構造の断面を概略的に示す。
一般に、光学マーカ構造は、波長λの入射光を回折させるのに適した周期Pのグレーティング50を備える。この文章で「光」という用語を用いる場合、可視スペクトル内の波長に限定されず、可視波長よりも長い波長または短い波長の光も包含する。周期Pが可視スペクトル内の光の回折に適したものであることは必須でなく、本発明は、それよりも短い波長または長い波長に適した周期Pでも実施できることを理解されたい。
このグレーティングは、挿入トレンチ102を伴う一連のライン100からなる。トレンチ102は、ライン100の上面に対して深さdtを有する。グレーティングの周期Pは、ライン幅PIおよびトレンチ幅PIIから構成される。
図2では、入射光ビームλは、基板表面にほぼ直交する方向に向けられる。あるいは、直交せず傾いた入射ビームを使用してもよい。
従来技術のマーカ・グレーティングは、いわゆる位相型グレーティングである。それぞれ表面に対して回折角θを有する2本の回折ビームで回折パターンを概略的に示す。
回折パターンでは、強度の極大および極小の位置は、グレーティングの周期によって決まる。入射光の波長λが可視光の範囲内にあるとき、一般に、周期Pは16μmであり、それによって位置合わせの目的に適した回折パターンが得られる。一般に、ライン幅PIおよびトレンチ幅PIIはそれぞれ8μmである。
グレーティング50から十分な回折光を得、明確な回折の極大および極小の角度分布(回折パターン)を得るために、グレーティング50は、入射光ビームで照明される最低限の数のライン100および中間トレンチ102を含まなければならない。従来技術では、マーカは、照野内に少なくとも10個のトレンチを備える。
さらに、回折ビームの強度は、ライン100の上面に対する相対的なトレンチ102の深さdtによっても決まる。ライン100の上面で散乱した光線およびトレンチ102の底面で散乱した光線は、回折光のある方向において、この方向のこれらの光線間で強め合う干渉を得るために、周期Pに無関係にある位相関係をもたなければならない。ライン100の表面に対する相対的なトレンチ102の深さdtは、強め合う干渉が生じることになるものでなければならない。干渉が弱め合う場合には、信号の消失が生じることになる。このことは、位相深さ条件として周知のものである。
位相型グレーティング50では、回折パターンにおける干渉は、概略的に以下のように演繹することができる。すなわち、角度θのもとで、第1の組の光子はライン100の上面で反射し、第2の組の光子はトレンチ102の床面で反射する。回折角θで示す所与の方向で強度の極大または極小が生じることになるかどうかを決定づけるには、ラインの上面から発する光子とトレンチの床面から発する光子の伝播波面PFにおける位相差がそれぞれ、ほぼゼロまたは半波長でなければならない。
半導体ウエハ上の光学マーカ構造の場合、この構造は、集積回路を形成するための半導体ウエハの加工ステップ中に様々な変形を受けることがある。これらの変形のため、位相深さdtは製造中に変化することがある。
図3aに、従来技術のマーカ構造の断面を概略的に示す。図3aでは、銅ベースの超小型電子デバイス用のIC加工のBEOL(バック・エンド・ライン)で利用することができる基板層SL上の光学マーカ構造OMを示す。一般に、こうしたデバイスは、(光学的に透明な)誘電体材料中に銅構造を埋め込み、それが「フローティング・マーカ」のように見える、いわゆる銅ダマシン加工技術によって製造される。光学マーカ50は、誘電体材料中に埋め込まれた複数の銅のライン要素104からなり、この誘電体材料は複数のライン要素106に整形される。一般に、当業者には周知なように、この誘電体材料は、様々な別々の誘電体層のスタックからなり得る。誘電体スタック中で様々な別々の誘電体層の厚さが変動するため、成り行きで、マーカ50の位相深さdtが明確に画定されないことがある。さらに、ダイまたはウエハ全体にわたって変動が存在することもある。したがって、最悪の場合、回折パターン中に含まれるマーカ信号の強度が弱すぎて、位置合わせツールによる検出ができないことがある。このため、IC加工中に、マーカの不合格あるいはウエハの不合格さえ生じることがある。
図3bに、本発明によるマーカ構造の第1実施例の断面を示す。
図3bには、不適合な位相深さによる消失を回避する方法を示す。図3bで、同じ参照番号の要素は、図3aに示す同じ要素を指す。FEOL(フロント・エンド・ライン)プロセス中に、フローティング・マーカ50の下の半導体基板(または一般に不透明な層)SLの領域に、陥凹部R1を生成する。この陥凹部により位相深さが増し、したがって、表面レベルと基板または不透明層のレベルからの散乱光の間で弱め合う干渉が生じる可能性が減少する。
図3bに示すように、この陥凹部は、フローティング・マーカ50で覆われる領域の一部の下にのみ形成することができる。この場合、2つの異なる位相深さが存在し、その一方から十分な強度をもつより良好で使用可能な回折信号を得ることができる。
図3cに、本発明によるマーカ構造の第2実施例の断面を示す。
第2実施例では、この陥凹領域は、FEOLプロセス中に、マーカ50の一部の下にのみ画定される。図3cの右側に示すように、陥凹部R2は、マーカ50の透明部分の下にしか形成されない。図3cの左側では、陥凹部R3は、マーカ50の不透明部分の下にしか形成されない。この場合も、2つの異なる位相深さが存在し、それぞれから十分な強度をもつ使用可能な回折信号が得られる。
このような陥凹領域R2、R3は、マーカ用のマスクと適当なリソグラフィ・プロセスを用いて、ポジ露光またはネガ露光でそれぞれ形成することができることに留意されたい。
図3bまたは3cに示す陥凹領域により、約200〜300nmが位相深さに追加されるのが好ましい。
図4に、本発明によるマーカ構造の第3実施例の断面を概略的に示す。
従来技術のマーカの別の欠点は、マーカ下の1つ(または複数)の層の作用としてマーカ特性が依存することによるものである。半導体デバイスに見られる様々な層の様々な光学的挙動のためにマーカのコントラストが変化することがあり、その結果、下の層の作用として回折強度の変化が生じる、すなわち、位相深さが下にある層の作用として大きく変化することが知られている。
本発明による第3実施例では、(露光および加工による)第1金属層中の第1光学マーカOM1を第1配列トーン、すなわち、第1構造要素および第2構造要素の所与の周期的繰返しで画定することによって、位相深さがより良好に制御される。次いで、少なくとも1つの中間誘電体層IDLとともに第1金属層上に積み重ねた第2金属層中に、第1配列トーンと同じだが第1マーカに対して逆のトーンで第2光学マーカOM2を画定(露光および加工)する。この逆のトーンは、第2マーカOM2が第1光学マーカOM1と同じ周期的繰返しを備えるが、第1構造要素および第2構造要素の位置が第1マーカOM1に対して相対的に入れ替わっていることを示す。
中間誘電体層IDLを制御することによって、位相深さを制御する、すなわち、十分な強度の回折信号が得られる位相深さの値を選択することができる。さらに、ウエハのスクライブレーン内でマーカが占めるスペースは、光学マーカを積み重ねることによって大きく減少する。
通常、IDLの厚さはIC加工パラメータによって決まることに留意されたい。偶然に、積み重ねたマーカ間のIDLの厚さが、基板位置合わせシステムが使用する波長で弱め合う干渉が生じる位相深さに相当する場合、第2波長を使用してよい。
図5に、本発明によるマーカ構造の第4実施例のマーカ構造を斜視図で概略的に示す。
IC製作中の様々な加工ステップは、位置合わせマーカの形状に悪影響を及ぼすことがある。たとえば、光学マーカ構造中のラインのブロック形状は、CMPステップのために変化することがある。CMPプロセスのため、ラインの断面は非対称になる。すなわち、本質的に(局所的な)研磨方向のため、研磨により上縁部の一方しか丸くならない。
形状へのこうした影響のために、このような改変された(一方の縁部が丸められた)マーカによる位置合わせでは、改変されたマーカ形状が、生成される回折パターンを変化させることから生じる誤差が含まれることがある。一般に、マーカ形状の改変により、光学マーカ構造によって生成される回折ピークの位置が、元のマーカ形状のピーク位置に対して相対的にシフトする。従来技術では、いずれの状況でも回折パターンおよび/またはパターン中のピーク位置の変化が生じるので、純粋なマーカ位置合わせ不良とマーカ形状の改変とを区別することは不可能であった。
第4実施例による光学マーカ構造により、パターンのシフトが、マーカの位置合わせ不良のためなのか、あるいはIC加工により生じたマーカの変形のためなのかを調べることが可能になり得る。
この光学マーカは、第1部分に第1周期構造PS1を備え、第2部分に第2周期構造PS2を備える。第1および第2周期構造PS1およびPS2は、1方向に平行に進むそれぞれの周期で互いに隣接して配置される。
第1周期構造PS1は、PS2と同じ周期を有するが、その構造要素の配列順序は、第2周期構造PS2と相補的である。第1周期構造PS1は、第1の幅w1を有する複数の第1材料の第1構造要素SE1および第2の幅w2を有する複数の第2材料の第2構造要素SE2からなり、それらはそれぞれ周期的に配置される。
第2周期構造PS2は、第3の幅w3を有する複数の第2材料の第3構造要素SE3および第4の幅w4を有する複数の第1材料の第4構造要素SE4からなり、それらはそれぞれ周期的に配置される。PS1はPS2と相補的なので、第1構造要素SE1は、第3の幅w3に等しい第1の幅w1で第3構造要素SE3に隣接し、第2構造要素SE2は、第4の幅w4に等しい第2の幅w2で第4構造要素SE4に隣接する。さらに、周期構造PS1およびPS2はそれぞれ非対称である。すなわち、第1および第2の幅は互いに異なる。
例として、この光学マーカ構造は、銅を第1材料とし絶縁体を第2材料として、銅ダマシン構造として配置することができる。すなわち、銅および絶縁体の周期変化により、マーカ構造が回折格子として働く。したがって、たとえば、SE1およびSE4が銅を含み、SE2およびSE3が絶縁体を含む。SE1の幅w1はSE3の幅w3に等しく、SE2の幅w2はSE4の幅w4に等しい。
ただし、こうしたマーカは、金属/半導体構造または金属/絶縁体構造に埋め込むこともできることに留意されたい。また、こうした相補型光学マーカ構造は、半導体基板中にエッチングし互いに隣接して配置した2つの相補的な幾何形状のグレーティング(すなわち、ラインおよびトレンチ)によって形成することもできる。
当業者には周知のように、マーカ構造中で相補的なフィーチャーを使用すると、測定中に(ゼロ・レベルの)固定信号が得られる。周期構造PS1およびPS2がほぼ相補的である場合、第1周期構造PS1からの第1信号は、第2周期構造PS2からの第2信号に相補的なものになる。第1および第2信号は互いに弱め合い、センサで測定される第1および第2信号の合成信号は、ほぼゼロ・レベルを有することになる。
上記で述べた構造への加工の影響のために、第1周期構造PS1は、第2周期構造PS2と異なるしかたで変形する。というのは、両方の構造がそれぞれ異なる非対称性を有するからである。第1周期構造PS1では、金属ラインSE1は、第2周期構造PS2中の金属ラインSE4の幅w4と異なる幅w1を有し得る。それぞれの構造PS1およびPS2中の金属ラインおよび絶縁体ラインの幅の差異のため、それぞれのラインの形状の変化は異なったものになる。
2つの構造がこのように異なって改変されるため、PS1からの第1信号は、もはやPS2からの第2信号と相補的ではなくなる。その結果、測定時に、相補的なグレーティングはもはやゼロ・レベルの信号を示さないことになる。その代わり、ゼロではない信号が測定されることになる。
相補的な光学マーカ構造からこのような信号が生じることにより、マーカがプロセスに関係した影響を受けたことが示される。したがって、類似の周期性を有する他のマーカへのプロセスにより生じる影響の存在およびこうした影響のドリフトを、この相補的な光学マーカ構造で監視することができると有利である。
図6に、本発明の第5実施例によるマーカ構造の平面を示す。
ある種のICメタライゼーション・プロセスでは、表面における残余のトポグラフィのため、メタライゼーション層下の埋込みマーカ(すなわち、メタライゼーション層下の光学マーカ構造)が依然として検出可能である。この場合、マーカ構造の幾何形状、すなわち、ラインおよびトレンチはそれぞれ、メタライゼーション層表面内で、高い領域および下にある領域として依然として目視可能である。
しかし、ICの加工では、タングステン・コンタクトおよびバイアの平坦化技術としてCMP(化学機械研磨)を適用する。CMPにより上面が平坦化され、残余のトポグラフィは失われる。このような場合には、残余のマーカ構造を使用して位置合わせすることは不可能である。
本発明の第5実施例では、振幅型マーカ構造として働くアルミニウムのメタライゼーション層中にマーカ構造を形成する。図6に、BEOLプロセス中に形成される層のスタックを示す。すなわち、トレンチ中にタングステン・コンタクトを形成する。CMPによって、タングステン・コンタクトおよび酸化物絶縁体の表面を平坦化する。平坦化した表面上にチタン接合層を被着させる。次いで、高温金属被着プロセスによってアルミニウムを被着させる。最後に、Ti/TiNのキャップ層を被着させる。図6に、それぞれの層の厚さの値のいくつかの例を示す。
メタライゼーション層は、(一般に、約350℃、UHV条件下で物理気相成長法で被着させる)高温金属プロセスを含む。タングステンを覆うチタン接合層上のアルミニウムと二酸化シリコンを覆うチタン接合層上のアルミニウムでは結晶粒成長がそれぞれ異なるため、下にある材料に応じて、アルミニウム層中に異なる表面状態が作り出される。タングステン・コンタクトすなわちプラグの上で、この表面は第1表面状態ST2を有し、酸化物の上では、この表面は第2表面状態ST1を有する。
おそらく、チタン層は、下にある材料に応じて異なるテクスチャを有する。このテクスチャは、高温金属被着プロセス中に被着させるアルミニウムの核形成および結晶粒成長に、タングステンを覆う領域と二酸化シリコンを覆う領域では異なる影響を及ぼすことがある。表面状態の差異は、形態上の差異、すなわち、下にある材料に応じたメタライゼーション層のテクスチャおよび/または結晶粒のサイズに関係する。あるいは、チタン層が比較的薄いので、タングステン上のアルミニウムまたは二酸化シリコン上のアルミニウムの異なる核形成および結晶粒成長も、下にある材料の熱物理特性の差異によって生じることがある。
いずれの場合でも、物理化学的な原因がなんであっても、表面状態の局所的な差異は、位置合わせセンサ・システムおよび/または重ね合わせセンサ・システムによってマーカ構造として検出可能である。
こうした形態的なマーカ構造は、図6で説明した特定の構造に限定されないことに留意されたい。メタライゼーション層は、周期構造を形成する(CMP処理した)ある種の他の下にある材料によっても表面状態の周期的変化を示すことがある。
従来技術では、タングステンで充填したトレンチを備える半導体基板上のマーカは、CMPプロセスにかけられてタングステンが除去され、基板表面が平坦化される。タングステンCVDとCMPを組み合わせるため、タングステン構造は充填状態あるいは不完全充填状態になる。充填の程度は、マーカによって生成される光学信号の位相深さに関係する。すなわち、2つの離散位相深さレベルが存在する。
一方のレベルは、構造の上面までほぼ完全に充填するため、浅く小さな位相深さを有する充填タングステン構造に関係するものである。
他方のレベルは、比較的深く大きな位相深さを有する不完全充填タングステン構造に関係するものである。
小さな位相深さによって生じる位置合わせ誤差は比較的大きいので、充填マーカの小さな位相深さは望ましくない。また、大きな位相深さにより、位置合わせ誤差が小さくなることも保証されない。すなわち、位相深さにより、光学信号の消失が生じることがある。
図7aに、タングステンCMP前の、従来技術の充填されたタングステン・マーカおよび完全に充填されていないタングステン・マーカの断面を示す。
二酸化シリコン層中にエッチングしたトレンチ中に、ブランケット・モードのCVDプロセスによってタングステンを被着させる。図7aに、トレンチの幅により、共形に成長させたタングステン層がトレンチを「充填」モードあるいは「不完全充填」モードで充填するのが決まる様子を示す。
共形成長特性を有するタングステンCVD時に、狭いトレンチが「充填」トレンチになり、広いトレンチが「不完全充填」トレンチになる。
トレンチの底面はバリア層で覆われることがある。
次いで、CMPプロセスを行って構造を平坦化する。このようにして、二酸化シリコン表面とほぼ同じレベルの表面を有する金属(タングステン)構造を形成する。その結果、「充填」構造の位相深さはほぼゼロになる。「不完全充填」金属構造は、二酸化シリコン表面とほぼ同じレベルである部分(すなわち側壁)と、表面が二酸化シリコン表面のレベルよりもかなり下である中央部分とを備える。CMP後、中央のタングステン部分は、二酸化シリコン表面のレベルに対して相対的な所与の位相深さを有する。
当業者には周知のように、所与のトレンチ深さおよび所与の加工パラメータを有する(すなわち、所与の厚さを有する共形タングステン層を形成する)タングステン被着プロセスでは、トレンチ幅により、タングステン・ラインが充填されるかあるいは完全には充填されないかが決まる。したがって、位相深さは、トレンチ幅の作用として、2つの離散レベルを含むことになる。さらに、タングステンおよび二酸化シリコンのCMPに対する抵抗が異なるので、CMPプロセスを極めて正確に制御することはできない。
上記で述べたように、不完全充填金属マーカ・ラインを備えるマーカ構造では、金属ラインの中央部分の深さは、位相深さがほぼゼロになることがある。すなわち、位相深さの制御を実現することはできない。
図7bに、本発明の第6実施例による二酸化シリコン中のタングステン・マーカ構造の平面図(TOP)および断面図(SIDE)を示す。
本発明の第6実施例では、光学マーカ構造は、二酸化シリコンのライン中にタングステンの副セグメントを備える。
副セグメントとして、二酸化シリコンのライン中に、副トレンチの長さ方向がマーカ構造の周期Pに平行な方向に延びる複数の副トレンチを形成する。複数の副トレンチは、位置合わせ手順中にいわゆる非走査方向になる方向に周期的に配置されているので、このPsub方向の副トレンチの周期的配置による光学的な影響は、基板位置合わせシステムによって検出されない。副トレンチ(の周期性)によって生成される発生し得る回折信号は、実際のマーカ構造(すなわち、タングステン・トレンチおよび二酸化シリコン・ラインの繰返し)の回折信号の方向に直交する方向に向けられるので、この発生し得る信号は、基板位置合わせシステムによって検出されない。
この第6実施例では、やはり、タングステンCVDプロセスによって、トレンチおよび副トレンチをタングステンで充填する。次いで、CMPプロセスを行って構造を平坦化する。副トレンチ中にタングステンが存在するため、CMPプロセスは、より良好に制御される。副トレンチを用いることによって、CMPに対して特定の抵抗を有する充填タングステン構造を備えるマーカ構造の面積は、比較的大きくなる(タングステンは酸化物よりもCMPに対してより大きな抵抗を有する)。これにより、より高い精度で所与の高さに充填トレンチを研磨することができる。不完全充填構造のより低い部分のレベルに対して相対的に充填トレンチの研磨高さをより良好に制御することによって、位相深さを制御することができる。不完全充填領域中のタングステンのより低いレベルに対する相対的な充填タングステン構造の上面レベルの高さを、所望の位相深さが得られるように適合させることができる。充填タングステン構造の相対面積を変更するために二酸化シリコンのライン中の副トレンチ間の間隔(およびその数)を変更することによって位相深さを適合させることができる。
副トレンチの幅は、共形タングステン層の厚さの倍である(したがって、その結果、ゼロ位相深さを有する完全に充填された副トレンチが生じる)。
本来、光学マーカは集積回路のフィーチャー・サイズよりも大きいので、光学マーカがIC加工に及ぼす影響により、望ましくない副作用が生じることがある。従来技術では、マーカの最小フィーチャー・サイズは1μm程度である。現在の超小型電子デバイスでは、典型的な最小フィーチャー・サイズは、(デバイスの世代によって決まり)約100nmである。一般に、マーカはデバイス(の一部)と同じ材料からなるので、デバイスの近傍に大きなサイズの追加のマーカ領域が存在すると、所与の加工ステップにおいてそのデバイスの局所的な加工速度に影響を及ぼすことがある。たとえば、反応性イオン・エッチング加工または化学的成長プロセスにおける化学反応は、ある種の動力学的な制約あるいはウエハ温度の局所的な偏差などのために、大きなマーカ領域の存在の影響を受けることがある。化学機械研磨プロセスは、マーカ領域により生じるある種の機械的な制約(すなわち、CMPに対するより大きな抵抗あるいはより小さい抵抗)のために、大きなマーカ領域の存在の影響を受けることがある。
すなわち、マーカとデバイス・フィーチャーのサイズの差により、マーカ近くに位置するデバイスの加工ステップの改変が生じることがある。加工の改変のため、ダイおよび/またはウエハ全体にわたってデバイス特性のばらつきが生じることがある。
IC加工の観点からみて、デバイス中の最小フィーチャー・サイズにより近く対応するようにマーカ構造要素の寸法を変更することにより、IC加工のサイズ依存性の問題を克服することができる。ただし、「ライン」および「トレンチ」の幅を変更すると、マーカの周期も変更することがある。これにより、逆に、位置合わせセンサ・システムおよび重ね合わせセンサ・システムを再設計して新しいマーカの周期に適合させるための大きな労力が必要になるはずである。
さらに、位置合わせシステムは直線偏光レーザ光を用いるので、こうした位置合わせシステムでは、このような改変されたマーカ構造との相互作用から生じる偏光の影響により、逆に信号強度が大きく減少することがある。
本発明者たちは、IC加工のこのサイズ依存性を克服するために、従来技術の光学マーカ構造では、改変されたマーカ構造により生成される回折パターンが従来技術の改変されていないマーカのものとほぼ同じままでありながら、最小デバイス・フィーチャーをより良好に模倣できるようにセグメント化する必要があることを認識している。また、位置合わせシステムは、偏光の効果により、測定された信号から使用可能な信号強度が得られるように配置する。
図8に、本発明の第7実施例によるマーカ構造の平面を示す。
本発明の第7実施例では、第1構造要素を、1次ラインのそれぞれがデバイスの最小フィーチャー・サイズに匹敵する幅を有し、第1方向D1に延びる複数の1次ラインL1に副セグメント化する。1次ライン間には異なる材料の挿入ラインがある。1次ラインおよび挿入ラインの幅は、最小フィーチャー・サイズを有する高密度デバイス構造を模倣したものである。
さらに、第1構造要素間の第2構造要素を、異なる材料の挿入ラインを間に有し、第2方向D2に延びる複数の2次ラインL2に副セグメント化する。この場合も、第2ラインおよび挿入ラインは、デバイスの最小フィーチャー・サイズを有する高密度デバイス構造に匹敵する幅を有する。
第2方向D2は、第1方向D1に直交する。
一般に、1次ラインL1および2次ラインL2の材料は同じもの、たとえば金属であり、1次ラインL1間および2次ラインL2間の材料は誘電体または半導体でよい。
この副セグメント化において、マーカ構造の元の周期Pは、従来技術の位置合わせセンサを適用できるように維持される。
1次ラインL1の幅は、2次ラインL2の幅に等しくても等しくなくてもよいことにさらに留意されたい。
この位置合わせシステムでは、第1直線偏光E1の第1レーザ・ビームおよび第2直線偏光E2の第2レーザ・ビームを使用する。第1レーザ・ビームの波長は、第2レーザ・ビームの波長と異なる。たとえば、第1レーザ・ビームは赤い光からなり、第2レーザ・ビームは緑の光からなる。
第1直線偏光方向E1は、第2直線偏光方向E2に直交する。さらに、第1直線偏光E1は、マーカ・ライン中のライン・セグメントL1が、第1偏光の光ビームをよりいっそう透過させて、マーカ構造の回折パターンを形成することができるように配置される。同様に、第2直線偏光E2は、中間マーカ要素中のライン・セグメントL2が、第2偏光の光ビームをよりいっそう透過させて、マーカ構造の回折パターンを形成することができるように配置される。
図9に、本発明の第7実施例によるマーカのスタックの応用例を示す。第7実施例の構造の別の利点は、互いの間で干渉が生じることなく、こうしたマーカの少なくとも2つを互いの上面上に積み重ねられることである。マーカ構造を後続の層の中に積み重ねることによって、スクライブレーン中でマーカ構造に必要な領域を大きく減少させることができる。こうしたスタックの図9の例では、「ライン」の幅を「トレンチ」の幅に等しくし、第2マーカOM2を、第1マーカOM1に対して周期Pの半分だけ平行移動させる。互いに直交する「トレンチ」および「ライン」をセグメント化するため、偏光の効果により、上部マーカ構造と下部マーカ構造の間のクロストークが妨げられる。相互に直交する偏光を有する第1および第2レーザ・ビームを使用すると、下部マーカ構造は、上部マーカによって覆われるように見える。すなわち、位置合わせシステムは、上部マーカ構造のみを検出する。
本発明による少なくとも1つのマーカ構造を備えるリソグラフィ投影機器を示す図である。 位相深さの概念を示すために従来技術のマーカ構造の断面を概略的に示す図である。 銅ダマシン層中の従来技術のマーカ構造の断面を概略的に示す図である。 本発明によるマーカ構造の第1実施例の断面を示す図である。 本発明によるマーカ構造の第2実施例の断面を示す図である。 本発明によるマーカ構造の第3実施例の断面を概略的に示す図である。 本発明によるマーカ構造の第4実施例のマーカ構造を斜視図で概略的に示す図である。 本発明の第5実施例によるマーカ構造の平面を示す図である。 タングステンの化学機械研磨前の、従来技術の充填および不完全充填タングステン・マーカの断面を概略的に示す図である。 本発明の第6実施例による、二酸化シリコン中のタングステン・マーカ構造の平面および断面を概略的に示す図である。 本発明の第7実施例によるマーカ構造の平面を示す図である。 本発明の第7実施例によるマーカのスタックの応用例を示す図である。
符号の説明
1 リソグラフィ投影機器
50 グレーティング、光学マーカ
100 ライン
102 挿入トレンチ
104、106 ライン要素
D1 第1方向
D2 第2方向
Ex 放射システム
E1 第1直線偏光
E2 第2直線偏光
IDL 中間誘電体層
IF 干渉計
IL 放射システム
L1 1次ライン
L2 2次ライン
M1 マスク位置合わせマーク
M2 マスク位置合わせマーク
MA マスク
MB 計測ビーム
MS 基板位置合わせシステム
MT マスク・テーブル
OM 光学マーカ構造
OM1 第1光学マーカ
OM2 第2光学マーカ
P1、P2 基板位置合わせマーク
PB 放射投影ビーム
PF 伝搬波面
PS1 第1周期構造
PS2 第2周期構造
R1、R2、R3 陥凹部
SE1 第1構造要素
SE2 第2構造要素
SE3 第3構造要素
SE4 第4構造要素
SL 基板層
SO 放射源
ST1 第2表面状態
ST2 第1表面状態
W 基板
WT 基板テーブル
W1 第1の幅
W2 第2の幅
W3 第3の幅
W4 第4の幅

Claims (36)

  1. 複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造であって、
    使用に際して、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、
    前記マーカ構造から受け取った光をセンサで検出すること、および
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めることに基づいて前記光学的位置合わせを行うことができるマーカ構造であって、
    前記第1構造要素が第1レベル上に第1反射面を有し、前記第2構造要素がほぼ非反射性であり、
    第2反射面がより下方の第2レベル上に位置し、
    前記第1および第2反射面の間隔が前記検出光の位相深さ条件を決め、
    陥凹部(R1;R2;R3)が前記第2反射面内に存在し、それによって前記位相条件が改変されることをさらに特徴とする、
    マーカ構造。
  2. 前記第1および前記第2構造要素が回折格子を形成するように配置され、前記第1構造要素が前記格子のライン(104)であり、前記第2構造要素が前記格子のスペース(106)である、
    請求項1に記載のマーカ構造。
  3. 前記第1構造要素が金属を含む、
    請求項1または請求項2に記載のマーカ構造。
  4. 前記第2構造要素が誘電体を含む、
    請求項1から請求項3までのいずれかに記載のマーカ構造。
  5. 前記陥凹部が前記マーカ構造の一部の下に存在する、
    請求項1から請求項4までのいずれかに記載のマーカ構造。
  6. 前記陥凹部(R2)が、前記第2構造要素のそれぞれの下にほぼ位置する部分的な陥凹部として生成される、
    請求項1から請求項5までのいずれか一項に記載のマーカ構造。
  7. 前記陥凹部(R3)が、前記第1構造要素のそれぞれの下にほぼ位置する部分的な陥凹部として生成される、
    請求項1から請求項6までのいずれかに記載のマーカ構造。
  8. 前記金属が銅である、
    請求項2から請求項7までのいずれかに記載のマーカ構造。
  9. 複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造であって、
    使用に際して、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、
    前記マーカ構造から受け取った光をセンサで検出すること、および
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めることに基づいて前記光学的位置合わせを行うことができるマーカ構造であって、
    前記第1構造要素が第1レベル上に第1反射面OM2を有し、前記第2構造要素がほぼ非反射性であり、
    第2反射面OM1がより下方の第2レベル上に位置し、
    前記第1および第2反射面の間隔が前記検出光の位相深さ条件を決め、
    前記第2反射面が、不透明な層の上に位置する複数の追加の構造要素を備えることをさらに特徴とする、
    マーカ構造。
  10. 前記第1および前記第2構造要素が第1回折格子を形成するように配置され、前記第1構造要素が前記格子のラインであり、前記第2構造要素が前記格子のスペースであり、前記追加の構造要素が第2回折格子のラインとして配置され、第2回折格子のトーンが、第1回折格子のトーンのほぼ逆である、
    請求項9に記載のマーカ構造。
  11. 複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造であって、前記第1および前記第2構造要素が、1つの第1構造要素が1つの第2構造要素に隣接して位置するような繰返し順序で配置され、前記マーカ構造が前記繰返し順序の配列方向に周期性を有し、前記第1構造要素が前記配列方向に第1の幅を有し、前記第2構造要素が前記配列方向に第2の幅を有し、前記第1および第2構造要素が前記配列方向に直交して延びる長さ方向を有し、
    使用に際して、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、
    前記マーカ構造によって回折された回折光パターンをセンサ上で受け取って、前記パターンを測定すること、および
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記測定から求めることに基づいて前記光学的位置合わせを行うことができるマーカ構造であって、
    前記マーカ構造が、第1周期構造(PS1)および第2周期構造(PS2)を備え、
    前記第2周期構造(PS2)が、前記第1周期構造(PS1)に隣接しかつ平行であり、
    前記第1周期構造(PS1)が、複数の第1材料の第1構造要素(SE1)および複数の第2材料の第2構造要素(SE2)を備え、前記第1および第2構造要素が繰返し順序で配置され、前記第1の幅(w1)が前記第2の幅(w2)よりも大きく、
    前記第2周期構造(PS2)が、第3の幅(w3)を有する複数の前記第2材料の前記第1構造要素(SE3)および第4の幅(w4)を有する複数の前記第1材料の前記第2構造要素(SE4)を備え、前記第1および第2構造要素が繰返し順序で配置され、前記第3の幅(w3)が前記第1の幅(w1)に等しく、前記第4の幅(w4)が前記第2の幅(w2)に等しく、
    前記第2周期構造(PS2)中の前記第1構造要素(SE3)が、前記第2周期構造が前記第1周期構造と相補的になるように、前記第1周期構造(PS1)中の前記第1構造要素(SE1)に隣接して位置することをさらに特徴とする、
    マーカ構造。
  12. 複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造であって、
    使用に際して、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、
    前記マーカ構造から受け取った光をセンサで検出すること、および
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めることに基づいて前記光学的位置合わせを行うことができるマーカ構造であって、
    前記第1構造要素が第1材料で形成され、前記第2構造要素が第2材料で形成され、使用に際して、構造要素への非対称な損傷が存在しないとき、第1信号がセンサで検出され、構造要素への非対称な損傷が存在するとき、第2信号がセンサで検出されるような相補的構成でこれらの構造要素が配置されることをさらに特徴とする、
    マーカ構造。
  13. 第1信号がゼロまたは最小強度であり、第2信号がより大きな強度である、
    請求項12に記載のマーカ構造。
  14. 前記第1材料が導電材料であり、前記第2材料が半導体または絶縁体材料である、
    請求項11から請求項13までのいずれかに記載のマーカ構造。
  15. 前記第1材料が銅であり、前記第2材料が誘電体材料である、
    請求項14に記載のマーカ構造。
  16. 複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造であって、
    使用に際して、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、
    前記マーカ構造から受け取った光をセンサで検出すること、および
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めることに基づいて前記光学的位置合わせを行うことができるマーカ構造であって、
    前記マーカ構造がメタライゼーション層中に存在し、
    前記第1構造要素が、第1表面状態(ST1)を有する第1表面領域部分からなり、前記第2構造要素が、第2表面状態(ST2)を有する第2表面領域部分からなり、
    前記第1表面領域部分が第1埋込みマーカ要素に関係し、前記第2表面領域部分が第2埋込みマーカ要素に関係し、
    前記第1および前記第2表面状態(ST1、ST2)が、それぞれ前記第1埋込みマーカ要素および前記第2埋込みマーカ要素によって生じる前記メタライゼーション層の形態の変化に関係することをさらに特徴とする、
    マーカ構造。
  17. 前記第1および第2構造要素が回折格子を形成するように配置される、
    請求項16に記載のマーカ構造。
  18. 前記メタライゼーション層が、メタライゼーション・プロセスのシーケンス中に、高温金属被着プロセスによって被着された金属層を備える、
    請求項16または請求項17に記載のマーカ構造。
  19. 前記メタライゼーション層がアルミニウム層を含む、
    請求項16から請求項18までのいずれかに記載のマーカ構造。
  20. 前記メタライゼーション・プロセスのシーケンスが、Ti接合層の被着、Ti/TiNキャップ層の被着およびパッシベーション層の被着のうちの少なくとも1つをさらに含む、
    請求項18に記載のマーカ構造。
  21. 複数の第1構造要素および複数の第2構造要素を備え、基板を光学的に位置合わせするための基板上マーカ構造であって、
    使用に際して、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供すること、
    前記マーカ構造から受け取った光をセンサで検出すること、および
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めることに基づいて前記光学的位置合わせを行うことができるマーカ構造であって、
    前記第1構造要素が複数の1次ラインおよび複数の第1挿入ラインを備えることをさらに特徴とする、
    マーカ構造。
  22. 前記第1および前記第2構造要素が回折格子を形成するように配置される、
    請求項21に記載のマーカ構造。
  23. 前記1次ラインが第1材料を含み、前記第1挿入ラインが第2材料を含む、
    請求項21または請求項22に記載のマーカ構造。
  24. 前記第1材料が化学機械研磨に対する第1抵抗を有し、前記第2材料が化学機械研磨に対する第2抵抗を有し、前記第1抵抗が前記第2抵抗と異なる、
    請求項21から請求項23までのいずれかに記載のマーカ構造。
  25. 前記複数の第1挿入ラインが周期構造を形成する、
    請求項22またはその従属請求項に記載のマーカ構造。
  26. 周期構造が回折格子の周期方向にほぼ直交する方向に延びる、
    請求項25に記載のマーカ構造。
  27. 周期構造が回折格子の周期方向にほぼ平行な方向に延びる、
    請求項25に記載のマーカ構造。
  28. 前記第2構造要素が複数の2次ラインおよび複数の第2挿入ラインを備え、
    前記複数の第2挿入ラインが、複数の第1挿入ラインによって形成された周期構造の方向にほぼ直交する方向に別の周期構造を形成する、
    請求項26または請求項27に記載のマーカ構造。
  29. 前記1次ラインおよび前記第1挿入ラインが、前記基板上に生成される製品デバイスの最小フィーチャー・サイズに匹敵する寸法を有する、
    請求項21から請求項28までのいずれかに記載のマーカ構造。
  30. 前記2次ラインおよび前記第2挿入ラインが、前記基板上に生成される製品デバイスの最小フィーチャー・サイズに匹敵する寸法を有する、
    請求項29に記載のマーカ構造。
  31. 請求項18から請求項25までのいずれか一項に記載のマーカ構造に位置合わせするための位置合わせ方法であって、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供するステップと、
    前記マーカ構造から受け取った光をセンサで検出するステップと、
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めるステップとを含み、
    前記少なくとも1本の光ビームが、複数の第1挿入ラインによって形成された周期構造の方向にほぼ直交して延びる直線偏光(E2)を有するか、あるいは、前記センサがその直線偏光(E2)を有する光を透過させることができる偏光フィルタを有する、
    位置合わせ方法。
  32. 請求項18から請求項25までのいずれか一項に記載のマーカ構造に位置合わせするための位置合わせ方法であって、
    前記マーカ構造上に向けられる少なくとも1本の光ビームを提供するステップと、
    前記マーカ構造から受け取った光をセンサで検出するステップと、
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記検出光から求めるステップとを含み、
    前記少なくとも1本の光ビームが、複数の第1挿入ラインによって形成された周期構造の方向にほぼ平行して延びる直線偏光(E1)を有するか、あるいは、前記センサがその直線偏光(E1)を有する光を透過させることができる偏光フィルタを有する、
    位置合わせ方法。
  33. ほぼ直交する直線偏光(E1、E2)を有し、それぞれ別々に受け取られる2本の光ビームが前記マーカ構造上に向けられる、
    請求項31および請求項32に記載の位置合わせ方法。
  34. 請求項1から請求項33までのいずれか一項に記載の少なくとも1つのマーカ構造を備える、
    超小型電子デバイス用の基板。
  35. 放射投影ビームを供給する放射システムと、
    所望のパターンに従って投影ビームをパターン化する働きをするパターン化手段を支持する支持構造と、
    基板を保持する基板テーブル(WS)と、
    基板の目標部分上にパターン化したビームを投影する投影システムと、
    前記パターン化手段の位置に対する相対的な前記基板の位置を検出する基板位置合わせシステム(MS)と、
    請求項1から請求項33までのいずれか一項に記載の少なくとも1つのマーカ構造を備える前記基板とを備える、
    リソグラフィ投影機器。
  36. 放射投影ビームを供給する放射システムと、
    所望のパターンに従って投影ビームをパターン化する働きをするパターン化手段を支持する支持構造と、
    基板を保持する基板テーブル(WS)と、
    基板の目標部分上にパターン化したビームを投影する投影システムと、
    前記パターン化手段の位置に対する相対的な前記基板の位置を検出する基板位置合わせシステム(MS)とを備えるリソグラフィ投影機器において基板を位置合わせする方法であって、
    請求項1から請求項33までのいずれか一項に記載のマーカ構造上に向けられる少なくとも1本の光ビームを提供するステップと、
    前記マーカ構造から受け取った光をセンサで検出するステップと、
    前記基板の位置を前記センサに関連づける情報を含む位置合わせ情報を前記測定から求めるステップとを含む、
    方法。
JP2007009156A 2002-09-20 2007-01-18 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法 Expired - Fee Related JP4166810B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US41186102P 2002-09-20 2002-09-20
US41360102P 2002-09-26 2002-09-26
EP03075954 2003-04-01
EP03076422A EP1477860A1 (en) 2003-05-12 2003-05-12 Lithographic marker structure compliant with microelectronic device processing

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2003366659A Division JP4362347B2 (ja) 2002-09-20 2003-09-19 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2008119913A Division JP4422774B2 (ja) 2002-09-20 2008-05-01 マーカ構造、位置合わせ方法、超小型電子デバイス用の基板、およびリソグラフィ投影機器

Publications (2)

Publication Number Publication Date
JP2007214560A true JP2007214560A (ja) 2007-08-23
JP4166810B2 JP4166810B2 (ja) 2008-10-15

Family

ID=33303964

Family Applications (10)

Application Number Title Priority Date Filing Date
JP2003366657A Pending JP2004282017A (ja) 2002-09-20 2003-09-19 リソグラフィ装置の位置決めシステムおよび方法
JP2003366656A Expired - Fee Related JP4222926B2 (ja) 2002-09-20 2003-09-19 デバイス検査
JP2003366659A Expired - Fee Related JP4362347B2 (ja) 2002-09-20 2003-09-19 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2003366658A Expired - Lifetime JP4222927B2 (ja) 2002-09-20 2003-09-19 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2007009156A Expired - Fee Related JP4166810B2 (ja) 2002-09-20 2007-01-18 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2007236876A Pending JP2007335906A (ja) 2002-09-20 2007-09-12 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2007267899A Pending JP2008034878A (ja) 2002-09-20 2007-10-15 リソグラフィ装置の位置決めシステムおよび方法
JP2008119913A Expired - Fee Related JP4422774B2 (ja) 2002-09-20 2008-05-01 マーカ構造、位置合わせ方法、超小型電子デバイス用の基板、およびリソグラフィ投影機器
JP2008307147A Expired - Fee Related JP4972628B2 (ja) 2002-09-20 2008-12-02 リソグラフィ装置の位置決めシステム
JP2012022300A Expired - Fee Related JP5508448B2 (ja) 2002-09-20 2012-02-03 アライメントマーク

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2003366657A Pending JP2004282017A (ja) 2002-09-20 2003-09-19 リソグラフィ装置の位置決めシステムおよび方法
JP2003366656A Expired - Fee Related JP4222926B2 (ja) 2002-09-20 2003-09-19 デバイス検査
JP2003366659A Expired - Fee Related JP4362347B2 (ja) 2002-09-20 2003-09-19 リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
JP2003366658A Expired - Lifetime JP4222927B2 (ja) 2002-09-20 2003-09-19 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム

Family Applications After (5)

Application Number Title Priority Date Filing Date
JP2007236876A Pending JP2007335906A (ja) 2002-09-20 2007-09-12 少なくとも2波長を使用するリソグラフィ装置用アライメント・システム
JP2007267899A Pending JP2008034878A (ja) 2002-09-20 2007-10-15 リソグラフィ装置の位置決めシステムおよび方法
JP2008119913A Expired - Fee Related JP4422774B2 (ja) 2002-09-20 2008-05-01 マーカ構造、位置合わせ方法、超小型電子デバイス用の基板、およびリソグラフィ投影機器
JP2008307147A Expired - Fee Related JP4972628B2 (ja) 2002-09-20 2008-12-02 リソグラフィ装置の位置決めシステム
JP2012022300A Expired - Fee Related JP5508448B2 (ja) 2002-09-20 2012-02-03 アライメントマーク

Country Status (6)

Country Link
US (12) US7332732B2 (ja)
JP (10) JP2004282017A (ja)
KR (5) KR100543536B1 (ja)
CN (4) CN100476599C (ja)
SG (4) SG152898A1 (ja)
TW (4) TWI227814B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011507229A (ja) * 2007-12-05 2011-03-03 エーエスエムエル ネザーランズ ビー.ブイ. マーカ構造およびマーカ構造を形成する方法

Families Citing this family (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2004282017A (ja) 2002-09-20 2004-10-07 Asml Netherlands Bv リソグラフィ装置の位置決めシステムおよび方法
JP4095391B2 (ja) 2002-09-24 2008-06-04 キヤノン株式会社 位置検出方法
US7148959B2 (en) * 2002-11-01 2006-12-12 Asml Netherlands B.V. Test pattern, inspection method, and device manufacturing method
TWI230837B (en) * 2002-12-16 2005-04-11 Asml Netherlands Bv Lithographic apparatus with alignment subsystem, device manufacturing method using alignment, and alignment structure
SG123587A1 (en) * 2002-12-16 2006-07-26 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, and device manufactured thereby
JP4101076B2 (ja) * 2003-02-06 2008-06-11 キヤノン株式会社 位置検出方法及び装置
US7025498B2 (en) * 2003-05-30 2006-04-11 Asml Holding N.V. System and method of measuring thermal expansion
US7565219B2 (en) * 2003-12-09 2009-07-21 Asml Netherlands B.V. Lithographic apparatus, method of determining a model parameter, device manufacturing method, and device manufactured thereby
KR101026935B1 (ko) * 2003-12-10 2011-04-04 엘지디스플레이 주식회사 디스펜서 정렬장치 및 그 방법
JP2005233828A (ja) * 2004-02-20 2005-09-02 Canon Inc Euv光スペクトル測定装置およびeuv光のパワー算出方法
US7265366B2 (en) * 2004-03-31 2007-09-04 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7259828B2 (en) * 2004-05-14 2007-08-21 Asml Netherlands B.V. Alignment system and method and device manufactured thereby
US7271073B2 (en) * 2004-06-30 2007-09-18 Asml Nertherlands B.V. Marker for alignment of non-transparent gate layer, method for manufacturing such a marker, and use of such a marker in a lithographic apparatus
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7259106B2 (en) * 2004-09-10 2007-08-21 Versatilis Llc Method of making a microelectronic and/or optoelectronic circuitry sheet
US7308368B2 (en) * 2004-09-15 2007-12-11 Asml Netherlands B.V. Method and apparatus for vibration detection, method and apparatus for vibration analysis, lithographic apparatus, device manufacturing method, and computer program
EP1645893A1 (de) * 2004-10-08 2006-04-12 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Beugungsgitter für elektromagnetische Strahlung sowie Verfahren zur Herstellung
US7629697B2 (en) 2004-11-12 2009-12-08 Asml Netherlands B.V. Marker structure and method for controlling alignment of layers of a multi-layered substrate
US7848832B2 (en) * 2004-11-30 2010-12-07 Kabushiki Kaisha Yaskawa Denki Alignment apparatus
US7271907B2 (en) * 2004-12-23 2007-09-18 Asml Netherlands B.V. Lithographic apparatus with two-dimensional alignment measurement arrangement and two-dimensional alignment measurement method
US7626701B2 (en) * 2004-12-27 2009-12-01 Asml Netherlands B.V. Lithographic apparatus with multiple alignment arrangements and alignment measuring method
US20060138681A1 (en) * 2004-12-27 2006-06-29 Asml Netherlands B.V. Substrate and lithography process using the same
KR100636492B1 (ko) 2005-01-05 2006-10-18 삼성에스디아이 주식회사 기판과 마스크의 정렬장치 및 정렬방법
US7720631B2 (en) * 2005-01-20 2010-05-18 Revera, Incorporated Semiconductor substrate processing method and apparatus
US7274465B2 (en) * 2005-02-17 2007-09-25 Timbre Technologies, Inc. Optical metrology of a structure formed on a semiconductor wafer using optical pulses
US7528953B2 (en) * 2005-03-01 2009-05-05 Kla-Tencor Technologies Corp. Target acquisition and overlay metrology based on two diffracted orders imaging
JP4509974B2 (ja) * 2005-06-30 2010-07-21 エーエスエムエル ネザーランズ ビー.ブイ. レチクル予備位置合わせセンサ用一体照明システムがあるエンドエフェクタ
US7408624B2 (en) * 2005-06-30 2008-08-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20070002336A1 (en) * 2005-06-30 2007-01-04 Asml Netherlands B.V. Metrology apparatus, lithographic apparatus, process apparatus, metrology method and device manufacturing method
US7414722B2 (en) * 2005-08-16 2008-08-19 Asml Netherlands B.V. Alignment measurement arrangement and alignment measurement method
US7687925B2 (en) * 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
TW200715075A (en) * 2005-09-16 2007-04-16 Mapper Lithography Ip Bv Lithography system and projection method
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7863763B2 (en) * 2005-11-22 2011-01-04 Asml Netherlands B.V. Binary sinusoidal sub-wavelength gratings as alignment marks
US20070146708A1 (en) * 2005-11-24 2007-06-28 Nikon Corporation Mark structure, mark measurement apparatus, pattern forming apparatus and detection apparatus, and detection method and device manufacturing method
US7557903B2 (en) * 2005-12-08 2009-07-07 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
JP2007184342A (ja) * 2006-01-05 2007-07-19 Nikon Corp 露光システム、露光方法、及びデバイス製造方法
US7897058B2 (en) * 2006-02-13 2011-03-01 Asml Netherlands B.V. Device manufacturing method and computer program product
KR101356270B1 (ko) * 2006-02-21 2014-01-28 가부시키가이샤 니콘 패턴 형성 장치, 마크 검출 장치, 노광 장치, 패턴 형성 방법, 노광 방법 및 디바이스 제조 방법
TWI297920B (en) * 2006-02-22 2008-06-11 Advanced Semiconductor Eng Compact camera module and its substrate
US20080013062A1 (en) * 2006-03-23 2008-01-17 Nikon Corporation Exposure apparatus, exposure method, and device manufacturing method
JPWO2007116711A1 (ja) * 2006-03-29 2009-08-20 株式会社ニコン 計測方法、計測装置及び処理装置、並びにパターン形成方法及びデバイス製造方法
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP4839127B2 (ja) * 2006-05-10 2011-12-21 株式会社日立ハイテクノロジーズ 校正用標準部材及びこれを用いた校正方法および電子ビーム装置
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008007173A1 (en) * 2006-07-06 2008-01-17 Freescale Semiconductor, Inc. Wafer and method of forming alignment markers
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
TWI602032B (zh) * 2006-08-31 2017-10-11 Nippon Kogaku Kk Exposure apparatus, exposure method, and device manufacturing method
CN100456142C (zh) * 2006-10-18 2009-01-28 上海微电子装备有限公司 一种对准标记及其制造方法
US7545520B2 (en) * 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
JP5425363B2 (ja) * 2006-11-28 2014-02-26 ルネサスエレクトロニクス株式会社 半導体装置、及び表示装置
US7923265B2 (en) * 2006-11-28 2011-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for improving critical dimension proximity control of patterns on a mask or wafer
US8010307B2 (en) * 2006-12-07 2011-08-30 Hermes-Microvision, Inc. In-line overlay measurement using charged particle beam system
KR100978754B1 (ko) * 2008-04-03 2010-08-30 주식회사 테스 플라즈마 처리 장치
US20080277064A1 (en) * 2006-12-08 2008-11-13 Tes Co., Ltd. Plasma processing apparatus
KR100823302B1 (ko) * 2006-12-08 2008-04-17 주식회사 테스 플라즈마 처리 장치
US8609441B2 (en) * 2006-12-12 2013-12-17 Asml Netherlands B.V. Substrate comprising a mark
US8722179B2 (en) * 2006-12-12 2014-05-13 Asml Netherlands B.V. Substrate comprising a mark
JP4858146B2 (ja) * 2006-12-14 2012-01-18 大日本印刷株式会社 フォトマスクおよび転写方法
KR100795665B1 (ko) 2006-12-28 2008-01-21 동부일렉트로닉스 주식회사 반도체 장치 검사 방법
US7696057B2 (en) * 2007-01-02 2010-04-13 International Business Machines Corporation Method for co-alignment of mixed optical and electron beam lithographic fabrication levels
US20080171422A1 (en) * 2007-01-11 2008-07-17 Tokie Jeffrey H Apparatus and methods for fabrication of thin film electronic devices and circuits
US20080175468A1 (en) * 2007-01-24 2008-07-24 Hermes Microvision, Inc. Method and system for creating knowledge and selecting features in a semiconductor device
US7684011B2 (en) 2007-03-02 2010-03-23 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US7599064B2 (en) * 2007-03-07 2009-10-06 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method, substrate for use in the methods
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7999912B2 (en) * 2007-05-08 2011-08-16 Asml Netherlands B.V. Lithographic apparatus and sensor calibration method
US20090246896A1 (en) * 2007-07-19 2009-10-01 Melissa Kreger Method and apparatus for improved printed cathodes for organic electronic devices
EP2078221A1 (en) * 2007-08-06 2009-07-15 Stephen Hastings Method for reactive optical correction of galvano motor scanning heads
US7847938B2 (en) * 2007-10-01 2010-12-07 Maskless Lithography, Inc. Alignment system for optical lithography
US8482732B2 (en) * 2007-10-01 2013-07-09 Maskless Lithography, Inc. Alignment system for various materials and material flows
JP2009097871A (ja) * 2007-10-12 2009-05-07 Sony Corp 部材所定位置の検出装置
JP2009099873A (ja) * 2007-10-18 2009-05-07 Canon Inc 露光装置およびデバイス製造方法
NL1036179A1 (nl) * 2007-11-20 2009-05-25 Asml Netherlands Bv Lithographic apparatus and method.
SG153747A1 (en) 2007-12-13 2009-07-29 Asml Netherlands Bv Alignment method, alignment system and product with alignment mark
NL1036336A1 (nl) * 2007-12-27 2009-06-30 Asml Netherlands Bv Method of creating an alignment mark on a substrate and substrate.
JP5006889B2 (ja) * 2008-02-21 2012-08-22 エーエスエムエル ネザーランズ ビー.ブイ. 粗ウェーハ位置合わせ用マーク構造及びこのようなマーク構造の製造方法
NL1036468A1 (nl) * 2008-02-27 2009-08-31 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US8386064B2 (en) * 2008-03-17 2013-02-26 Tokyo Electron Limited Control device and control method
NL1036702A1 (nl) * 2008-04-15 2009-10-19 Asml Holding Nv Diffraction elements for alignment targets.
WO2009134708A1 (en) * 2008-04-28 2009-11-05 University Of North Carolina At Charlotte Dynamic metrology methods and systems
KR100975832B1 (ko) * 2008-05-21 2010-08-13 윈텍 주식회사 압흔 검사장치 및 방법
TWI436313B (zh) * 2008-05-22 2014-05-01 Creator Technology Bv 具有彎曲基板的堆疊顯示器,電子設備及其製造方法
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
EP2131243B1 (en) * 2008-06-02 2015-07-01 ASML Netherlands B.V. Lithographic apparatus and method for calibrating a stage position
WO2010009930A1 (en) * 2008-06-02 2010-01-28 Asml Netherlands B.V. Sub-wavelength segmentation in measurement targets on substrates
NL2002962A1 (nl) * 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
US9229338B2 (en) 2008-09-08 2016-01-05 Asml Netherlands B.V. Substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus
TW201015230A (en) 2008-10-03 2010-04-16 Univ Nat Chiao Tung Immersion inclined lithography apparatus and tank thereof
US7897481B2 (en) * 2008-12-05 2011-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. High throughput die-to-wafer bonding using pre-alignment
DE102009060277A1 (de) 2008-12-24 2010-09-02 X-Fab Semiconductor Foundries Ag Verfahren zur Herstellung von Justiermarken für licht-undurchlässige bzw. licht-absorbierende Schichten (Light-Shield-Resistmasken)
CN101526750B (zh) * 2009-01-13 2011-06-29 上海微电子装备有限公司 用于光刻设备的对准系统及应用其的光刻设备
NL2004094A (en) * 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
US8039366B2 (en) * 2009-02-19 2011-10-18 International Business Machines Corporation Method for providing rotationally symmetric alignment marks for an alignment system that requires asymmetric geometric layout
CN101487992B (zh) * 2009-03-04 2010-10-20 上海微电子装备有限公司 一种硅片标记捕获系统与方法
NL2004216A (en) * 2009-03-26 2010-09-28 Asml Netherlands Bv Alignment measurement arrangement, alignment measurement method, device manufacturing method and lithographic apparatus.
WO2010130516A1 (en) * 2009-05-11 2010-11-18 Asml Netherlands B.V. Method of determining overlay error
JP5326811B2 (ja) * 2009-05-22 2013-10-30 住友電気工業株式会社 半導体光素子を作製する方法
US8164753B2 (en) * 2009-06-05 2012-04-24 Nanya Technology Corp. Alignment mark arrangement and alignment mark structure
US8313877B2 (en) * 2009-06-12 2012-11-20 Micron Technology, Inc. Photolithography monitoring mark, photolithography mask comprising an exposure monitoring mark, and phase shift mask comprising an exposure monitoring mark
WO2010145951A2 (en) * 2009-06-17 2010-12-23 Asml Netherlands B.V. Method of overlay measurement, lithographic apparatus, inspection apparatus, processing apparatus and lithographic processing cell
CN101943865B (zh) * 2009-07-09 2012-10-03 上海微电子装备有限公司 一种用于光刻设备的对准标记和对准方法
KR101116321B1 (ko) * 2009-08-21 2012-03-09 에이피시스템 주식회사 기판 정렬 방법
CN101634815B (zh) * 2009-08-31 2011-06-29 上海微电子装备有限公司 一种基于多个不同波长的对准方法
US8804137B2 (en) * 2009-08-31 2014-08-12 Kla-Tencor Corporation Unique mark and method to determine critical dimension uniformity and registration of reticles combined with wafer overlay capability
CN102024689B (zh) * 2009-09-11 2012-09-19 中芯国际集成电路制造(上海)有限公司 提高多晶硅栅制作工艺中对准性能的方法
KR101452852B1 (ko) * 2009-10-13 2014-10-22 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치
US8502324B2 (en) * 2009-10-19 2013-08-06 Freescale Semiconductor, Inc. Semiconductor wafer having scribe lane alignment marks for reducing crack propagation
CN102687232A (zh) * 2009-10-26 2012-09-19 迈普尔平版印刷Ip有限公司 调节装置及使用其的带电粒子多射束光刻系统
JP5527074B2 (ja) * 2009-11-16 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5800456B2 (ja) * 2009-12-16 2015-10-28 キヤノン株式会社 検出器、インプリント装置及び物品の製造方法
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
US8400634B2 (en) * 2010-02-08 2013-03-19 Micron Technology, Inc. Semiconductor wafer alignment markers, and associated systems and methods
JP5526851B2 (ja) * 2010-02-19 2014-06-18 セイコーエプソン株式会社 偏光素子及びプロジェクター
JP5583791B2 (ja) * 2010-02-19 2014-09-03 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置及びデバイス製造方法
JP5463947B2 (ja) * 2010-02-19 2014-04-09 セイコーエプソン株式会社 偏光素子及びプロジェクター
NL2006004A (en) * 2010-03-25 2011-09-27 Asml Netherlands Bv Imprint lithography.
CN102253602A (zh) * 2010-05-18 2011-11-23 上海微电子装备有限公司 一种光刻系统中实时控制照明剂量的装置
CN102253603B (zh) * 2010-05-21 2013-05-22 上海微电子装备有限公司 一种用于光刻设备的对准探测装置
KR20120000846A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 웨이퍼의 정렬 방법 및 공정 모니터링 방법
CN102314091B (zh) * 2010-07-01 2013-07-17 上海微电子装备有限公司 一种可调节对准系统照明光斑尺寸的光刻机
US9927718B2 (en) * 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
NL2007177A (en) 2010-09-13 2012-03-14 Asml Netherlands Bv Alignment measurement system, lithographic apparatus, and a method to determine alignment of in a lithographic apparatus.
CN102402140B (zh) * 2010-09-17 2014-02-19 上海微电子装备有限公司 一种对准系统
US8669507B2 (en) 2010-10-22 2014-03-11 Industrial Technology Research Institute Laser scanning device
CN103201682B (zh) 2010-11-12 2015-06-17 Asml荷兰有限公司 量测方法和设备、光刻系统和器件制造方法
WO2012062501A1 (en) * 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
EP2458441B1 (en) 2010-11-30 2022-01-19 ASML Netherlands BV Measuring method, apparatus and substrate
JP5589815B2 (ja) 2010-12-14 2014-09-17 ソニー株式会社 撮像レンズ及び撮像装置
JP5830853B2 (ja) 2010-12-14 2015-12-09 ソニー株式会社 撮像レンズ及び撮像装置
CN102540743B (zh) * 2010-12-22 2015-03-25 上海微电子装备有限公司 用于光刻设备的参考光栅装调装置及方法
CN102566337B (zh) * 2010-12-28 2014-05-21 上海微电子装备有限公司 一种标记期望位置确定方法
CN102566338B (zh) * 2010-12-28 2013-11-13 上海微电子装备有限公司 光刻对准系统中对对准位置进行修正的方法
KR20120086073A (ko) 2011-01-25 2012-08-02 삼성전자주식회사 오버레이 계측 방법 및 그 장치
NL2008197A (en) * 2011-02-11 2012-08-14 Asml Netherlands Bv Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method.
NL2008111A (en) * 2011-02-18 2012-08-21 Asml Netherlands Bv Optical apparatus, method of scanning, lithographic apparatus and device manufacturing method.
CN102692827B (zh) * 2011-03-21 2015-07-22 上海微电子装备有限公司 一种用于光刻设备的对准装置
NL2008317A (en) * 2011-03-24 2012-09-25 Asml Netherlands Bv Substrate and patterning device for use in metrology, metrology method and device manufacturing method.
EP2699967B1 (en) * 2011-04-22 2023-09-13 ASML Netherlands B.V. Position determination in a lithography system using a substrate having a partially reflective position mark
US9395636B2 (en) 2011-04-22 2016-07-19 Mapper Lithography Ip B.V. Lithography system for processing a target, such as a wafer, and a method for operating a lithography system for processing a target, such as a wafer
JP5932023B2 (ja) 2011-05-13 2016-06-08 マッパー・リソグラフィー・アイピー・ビー.ブイ. ターゲットの少なくとも一部を処理するためのリソグラフィシステム
CN102890433B (zh) * 2011-07-20 2015-03-25 上海微电子装备有限公司 一种用于光刻设备的对准装置和对准方法
US8582114B2 (en) 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
CN103019052B (zh) * 2011-09-23 2015-10-21 中芯国际集成电路制造(北京)有限公司 光刻对准标记以及包含其的掩模板和半导体晶片
CN103092011B (zh) * 2011-11-01 2015-08-26 上海微电子装备有限公司 用于光刻系统的对准装置
CN103135371B (zh) * 2011-12-02 2015-02-11 上海微电子装备有限公司 基于分束偏折结构的小光斑离轴对准系统
NL2009719A (en) * 2011-12-02 2013-06-05 Asml Netherlands Bv Alignment mark deformation estimating method, substrate position predicting method, alignment system and lithographic apparatus.
KR20130064486A (ko) * 2011-12-08 2013-06-18 삼성디스플레이 주식회사 광투과율 제어가 가능한 표시장치
CN103197418B (zh) * 2012-01-10 2015-06-17 上海微电子装备有限公司 一种对准4f光学系统
US9360778B2 (en) 2012-03-02 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for lithography patterning
JP5665784B2 (ja) 2012-03-16 2015-02-04 株式会社東芝 フォトマスクおよびパターン形成方法
DE102012204674B4 (de) * 2012-03-23 2014-11-27 Carl Zeiss Smt Gmbh Strahlregelungsvorrichtung für einen EUV-Beleuchtungsstrahl
CN103365105B (zh) * 2012-04-11 2015-05-13 上海微电子装备有限公司 一种对准过程中光强采样点筛选修正的方法
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
DE102012211549B3 (de) 2012-07-03 2013-07-04 Polytec Gmbh Vorrichtung und Verfahren zur interferometrischen Vermessung eines Objekts
JP6133980B2 (ja) * 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
JP5936479B2 (ja) * 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
JP5936478B2 (ja) 2012-08-03 2016-06-22 キヤノン株式会社 計測装置、リソグラフィー装置、および物品の製造方法
US9243896B2 (en) * 2012-08-15 2016-01-26 Nikon Corporation Two axis encoder head assembly
US9778025B2 (en) 2012-08-16 2017-10-03 Asml Netherlands B.V. Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method
US9093458B2 (en) * 2012-09-06 2015-07-28 Kla-Tencor Corporation Device correlated metrology (DCM) for OVL with embedded SEM structure overlay targets
JP6301937B2 (ja) * 2012-10-15 2018-03-28 ノース・キャロライナ・ステイト・ユニヴァーシティ 幾何学的位相ホログラムの製造のための直接書き込みリソグラフィ
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
RU2659967C2 (ru) * 2012-10-26 2018-07-04 МЭППЕР ЛИТОГРАФИ АйПи Б.В. Способ определения положения подложки в системе литографии, подложка для использования в таком способе и система литографии для выполнения такого способа
NL2011726A (en) 2012-11-05 2014-05-08 Asml Netherlands Bv Method and apparatus for measuring asymmetry of a microstructure, position measuring method, position measuring apparatus, lithographic apparatus and device manufacturing method.
KR20150087397A (ko) * 2012-11-21 2015-07-29 케이엘에이-텐코 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
CN103972119B (zh) * 2013-01-25 2016-08-03 北大方正集团有限公司 一种测试装置和使用该测试装置测量对准偏差的方法
JP6185724B2 (ja) * 2013-02-20 2017-08-23 キヤノン株式会社 露光装置および物品の製造方法
US9030661B1 (en) 2013-03-15 2015-05-12 Kla-Tencor Corporation Alignment measurement system
JP2014225428A (ja) * 2013-04-24 2014-12-04 キヤノン株式会社 荷電粒子線照射装置、荷電粒子線の照射方法及び物品の製造方法
JP6193611B2 (ja) 2013-04-30 2017-09-06 キヤノン株式会社 描画装置、及び物品の製造方法
JP6465540B2 (ja) * 2013-07-09 2019-02-06 キヤノン株式会社 形成方法及び製造方法
CN103411538B (zh) * 2013-07-20 2016-03-02 中国科学技术大学 一种数字式波长编码光学绝对位移传感器
CN104345571B (zh) * 2013-07-24 2016-08-10 中芯国际集成电路制造(上海)有限公司 对准标记的成像和测量装置、光刻装置
US9257351B2 (en) * 2013-08-15 2016-02-09 Globalfoundries Inc. Metrology marks for bidirectional grating superposition patterning processes
TW201520702A (zh) * 2013-11-19 2015-06-01 Huang Tian Xing 對準誤差補償方法、系統,及圖案化方法
US10082417B2 (en) * 2013-12-30 2018-09-25 Nordson Corporation Calibration methods for a viscous fluid dispensing system
CN104898376B (zh) * 2014-03-03 2017-12-29 上海微电子装备(集团)股份有限公司 投影光刻机的离轴对准装置用于离轴对准的方法
DK3120107T3 (en) * 2014-03-21 2019-03-18 Carpe Diem Tech Inc System and method for producing miniature structures on a flexible substrate
JP6412163B2 (ja) * 2014-05-13 2018-10-24 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジーに用いられる基板及びパターニングデバイス、メトロロジー方法、及びデバイス製造方法
JP6341883B2 (ja) * 2014-06-27 2018-06-13 キヤノン株式会社 位置検出装置、位置検出方法、インプリント装置及び物品の製造方法
US10883924B2 (en) 2014-09-08 2021-01-05 The Research Foundation Of State University Of New York Metallic gratings and measurement methods thereof
CN105511238B (zh) 2014-09-26 2017-11-03 中芯国际集成电路制造(上海)有限公司 光刻对准标记结构及形成方法、半导体结构的形成方法
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
CN105807573B (zh) * 2014-12-31 2017-12-29 上海微电子装备(集团)股份有限公司 用于套刻误差检测的装置和方法
KR101985825B1 (ko) 2015-01-28 2019-06-04 가부시키가이샤 도교 세이미쓰 레이저 다이싱 장치
US9779202B2 (en) * 2015-06-22 2017-10-03 Kla-Tencor Corporation Process-induced asymmetry detection, quantification, and control using patterned wafer geometry measurements
CN107850861B (zh) * 2015-07-16 2020-08-07 Asml荷兰有限公司 光刻设备和器件制造方法
CN106569386B (zh) * 2015-10-08 2019-12-10 无锡华润上华科技有限公司 光罩及利用所述光罩进行多芯片同时制备的方法
NL2017739A (en) * 2015-11-27 2017-06-07 Asml Netherlands Bv Metrology target, method and apparatus, computer program and lithographic system
JP2017167310A (ja) * 2016-03-16 2017-09-21 株式会社ニコン 評価装置及び評価方法、表示装置及び表示方法、露光装置及び露光方法、露光システム、デバイス製造装置、並びに、コンピュータプログラム
US10451412B2 (en) 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
US10115621B2 (en) 2016-05-13 2018-10-30 Globalfoundries Inc. Method for in-die overlay control using FEOL dummy fill layer
CN109863457A (zh) * 2016-08-24 2019-06-07 株式会社尼康 测量系统及基板处理系统、以及元件制造方法
CN107976869B (zh) * 2016-10-24 2023-06-30 上海微电子装备(集团)股份有限公司 一种工件台非正交校正方法及校正装置
US10352967B2 (en) * 2016-11-11 2019-07-16 Fluke Corporation Non-contact electrical parameter measurement systems
JP7241017B2 (ja) * 2016-12-14 2023-03-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
JP6971567B2 (ja) * 2016-12-16 2021-11-24 キヤノン株式会社 位置合わせ装置、位置合わせ方法、リソグラフィ装置、および物品製造方法
WO2018121987A1 (en) 2016-12-28 2018-07-05 Asml Holding N.V. Metrology tool and method of using the same
US10692227B2 (en) * 2017-01-05 2020-06-23 Kla-Tencor Corporation Determination of sampling maps for alignment measurements based on reduction of out of specification points
US10788765B2 (en) * 2017-01-25 2020-09-29 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate
WO2018156702A1 (en) * 2017-02-23 2018-08-30 Nikon Corporation Measurement of a change in a geometrical characteristic and/or position of a workpiece
JP2018185452A (ja) * 2017-04-27 2018-11-22 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
NL2018856B1 (en) * 2017-05-05 2018-11-14 Suss Microtec Lithography Gmbh Method and device for aligning a first substrate with a second substrate
JP2020519928A (ja) * 2017-05-08 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
US10788766B2 (en) 2017-05-08 2020-09-29 Asml Netherlands B.V. Metrology sensor, lithographic apparatus and method for manufacturing devices
US11073487B2 (en) * 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
NL2020769A (en) 2017-05-15 2018-11-20 Asml Netherlands Bv Metrology sensor, lithographic apparatus and method for manufacturing devices
JP7152877B2 (ja) * 2017-06-15 2022-10-13 キヤノン株式会社 検出装置、リソグラフィー装置および物品製造方法
DE102017113419A1 (de) 2017-06-19 2018-12-20 Keba Ag Vorrichtung und Verfahren zum Bestimmen eines Winkels zwischen zwei Werkstückflächen
EP3422103A1 (en) * 2017-06-26 2019-01-02 ASML Netherlands B.V. Method of determining a performance parameter of a process
US11079564B2 (en) * 2017-07-20 2021-08-03 Cymer, Llc Methods and apparatuses for aligning and diagnosing a laser beam
US10508971B2 (en) * 2017-09-07 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Optical test system and method for determining size of gap between two substrates of optical element
EP3467588A1 (en) * 2017-10-03 2019-04-10 ASML Netherlands B.V. Method and apparatus for determining alignment properties of a beam of radiation
US10461037B2 (en) * 2017-10-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with overlay grating
US11366399B2 (en) * 2017-11-29 2022-06-21 Asml Netherlands B.V. Laser beam monitoring system
US10650111B2 (en) 2017-11-30 2020-05-12 International Business Machines Corporation Electrical mask validation
US10429743B2 (en) * 2017-11-30 2019-10-01 International Business Machines Corporation Optical mask validation
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
TWI794416B (zh) * 2018-02-28 2023-03-01 美商賽格股份有限公司 多層堆疊結構之計量方法及干涉儀系統
JP7002383B2 (ja) * 2018-03-22 2022-02-04 キオクシア株式会社 位置計測用光源の品質管理方法および半導体製造装置
US11422292B1 (en) * 2018-06-10 2022-08-23 Apple Inc. Super-blazed diffractive optical elements with sub-wavelength structures
WO2019241149A1 (en) * 2018-06-13 2019-12-19 Magic Leap, Inc. System and method for qualifying a multi-layered optical stack for an optical projection system
WO2020004142A1 (ja) * 2018-06-27 2020-01-02 東京エレクトロン株式会社 膜厚測定装置及び補正方法
US10772141B2 (en) 2018-06-28 2020-09-08 The Chinese University Of Hong Kong System and method for peer-to-peer wireless communication
CN110657743B (zh) * 2018-06-28 2021-08-31 上海微电子装备(集团)股份有限公司 一种栅格误差的测量方法、测量装置以及光学设备
CN112368647B (zh) * 2018-07-06 2024-03-26 Asml荷兰有限公司 位置传感器
EP3629086A1 (en) * 2018-09-25 2020-04-01 ASML Netherlands B.V. Method and apparatus for determining a radiation beam intensity profile
US10481379B1 (en) 2018-10-19 2019-11-19 Nanotronics Imaging, Inc. Method and system for automatically mapping fluid objects on a substrate
KR20200072311A (ko) 2018-12-12 2020-06-22 삼성전자주식회사 반도체 소자 제조 방법
CN109786228B (zh) * 2019-01-22 2021-04-16 上海华虹宏力半导体制造有限公司 形成对准标记的方法
WO2020159560A1 (en) * 2019-01-28 2020-08-06 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
US11971665B2 (en) * 2019-02-21 2024-04-30 Asml Holding N.V. Wafer alignment using form birefringence of targets or product
TWI716821B (zh) * 2019-02-22 2021-01-21 世界先進積體電路股份有限公司 晶圓結構
US10818606B1 (en) 2019-04-02 2020-10-27 Vanguard International Semiconductor Corporation Alignment mark patterns and wafer structures comprising the same
CN110001221B (zh) * 2019-04-11 2021-04-02 淮安信息职业技术学院 喷码位置偏移的检测方法及装置
JP6842680B2 (ja) * 2019-07-19 2021-03-17 株式会社安川電機 エンコーダ、サーボモータ、サーボシステム
KR20210012772A (ko) 2019-07-26 2021-02-03 에스케이하이닉스 주식회사 수직형 반도체 장치 및 그 제조 방법
CN110379941A (zh) * 2019-07-31 2019-10-25 昆山梦显电子科技有限公司 高分辨率Micro-OLED的制备方法以及显示模组
KR102273278B1 (ko) * 2019-09-10 2021-07-07 (주)오로스 테크놀로지 오버레이 측정장치
CN110568701A (zh) * 2019-09-25 2019-12-13 上海创波光电科技有限公司 一种组合式二次成像视觉光源
US11270950B2 (en) * 2019-09-27 2022-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming alignment marks
KR102421290B1 (ko) * 2019-09-27 2022-07-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 정렬 마크를 형성하기 위한 장치 및 방법
WO2021071631A1 (en) * 2019-10-11 2021-04-15 Applied Materials, Inc. Die system and method of comparing alignment vectors
CN114585972A (zh) * 2019-10-21 2022-06-03 Asml控股股份有限公司 感测对准标记的设备和方法
US11703771B2 (en) 2019-10-29 2023-07-18 Asml Holding N.V. Variable diffraction grating
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN113093475A (zh) * 2020-01-08 2021-07-09 中芯国际集成电路制造(上海)有限公司 套刻精度检测方法及套刻偏差补偿方法
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11754767B1 (en) 2020-03-05 2023-09-12 Apple Inc. Display with overlaid waveguide
CN113448192B (zh) * 2020-03-26 2022-08-30 上海微电子装备(集团)股份有限公司 一种对准系统及光刻机
TWI730798B (zh) * 2020-06-04 2021-06-11 力晶積成電子製造股份有限公司 對準標記結構及影像感測器的製造方法
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US20230418168A1 (en) * 2020-11-17 2023-12-28 Asml Netherlands B.V. Metrology system and lithographic system
CN113065373B (zh) * 2021-03-16 2024-02-09 环鸿电子(昆山)有限公司 光学瞄准设备的自动化瞄准校正系统及方法
CN113725196A (zh) * 2021-08-31 2021-11-30 长江存储科技有限责任公司 半导体结构及其形成方法
WO2023064065A1 (en) * 2021-10-15 2023-04-20 Applied Materials, Inc. Alignment mark for front to back side alignment and lithography for optical device fabrication
CN114061452A (zh) * 2021-11-04 2022-02-18 中国科学院微电子研究所 超精密位置探测光电信号解算结果有效性评价方法及系统
EP4202550A1 (en) * 2021-12-22 2023-06-28 ASML Netherlands B.V. Substrate comprising a target arrangement, associated patterning device, lithographic method and metrology method
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
CN116819917B (zh) * 2023-08-31 2023-11-17 光科芯图(北京)科技有限公司 一种掩模板、曝光设备及掩模板对准方法

Family Cites Families (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE262510C (ja)
US4037969A (en) * 1976-04-02 1977-07-26 Bell Telephone Laboratories, Incorporated Zone plate alignment marks
NL7606548A (nl) 1976-06-17 1977-12-20 Philips Nv Werkwijze en inrichting voor het uitrichten van een i.c.-patroon ten opzichte van een halfgelei- dend substraat.
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
DE2820133A1 (de) * 1978-05-09 1979-11-15 Walter Ing Grad Fries Vorrichtung fuer die trockenentwicklung von lichtpausmaterial
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
NL186353C (nl) * 1979-06-12 1990-11-01 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat voorzien van een opto-elektronisch detektiestelsel voor het bepalen van een afwijking tussen het beeldvlak van een projektielenzenstelsel en het substraatvlak.
US4326805A (en) 1980-04-11 1982-04-27 Bell Telephone Laboratories, Incorporated Method and apparatus for aligning mask and wafer members
US4355892A (en) * 1980-12-18 1982-10-26 Censor Patent- Und Versuchs-Anstalt Method for the projection printing
US4398824A (en) * 1981-04-15 1983-08-16 Bell Telephone Laboratories, Incorporated Wafer tilt compensation in zone plate alignment system
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
DE3318980C2 (de) * 1982-07-09 1986-09-18 Perkin-Elmer Censor Anstalt, Vaduz Vorrichtung zum Justieren beim Projektionskopieren von Masken
JPS5979527A (ja) * 1982-10-29 1984-05-08 Hitachi Ltd パタ−ン検出装置
US4514858A (en) * 1983-03-15 1985-04-30 Micronix Partners Lithography system
JPH0732109B2 (ja) 1983-10-07 1995-04-10 株式会社日立製作所 光露光方法
US4596467A (en) * 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
NL8401710A (nl) 1984-05-29 1985-12-16 Philips Nv Inrichting voor het afbeelden van een maskerpatroon op een substraat.
DD262510A1 (de) 1984-10-01 1988-11-30 Zeiss Jena Veb Carl Anordnung zur ausrichtung flaechenhafter gegenstaende
JPS61208220A (ja) 1985-03-13 1986-09-16 Matsushita Electric Ind Co Ltd 露光装置及び位置合わせ方法
US4828392A (en) 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710026A (en) * 1985-03-22 1987-12-01 Nippon Kogaku K. K. Position detection apparatus
US4861162A (en) 1985-05-16 1989-08-29 Canon Kabushiki Kaisha Alignment of an object
CH667373A5 (de) * 1985-05-22 1988-10-14 Bucher Guyer Ag Masch Verfahren zur klaerung von fluessigkeiten und anlage zur durchfuehrung desselben.
US4704033A (en) * 1986-03-06 1987-11-03 Micronix Corporation Multiple wavelength linear zone plate alignment apparatus and method
NL8600639A (nl) 1986-03-12 1987-10-01 Asm Lithography Bv Werkwijze voor het ten opzichte van elkaar uitrichten van een masker en een substraat en inrichting voor het uitvoeren van de werkwijze.
US4748333A (en) 1986-03-31 1988-05-31 Nippon Kogaku K. K. Surface displacement sensor with opening angle control
US4814829A (en) * 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
JPS6340316A (ja) * 1986-08-05 1988-02-20 Mitsubishi Electric Corp 半導体製造装置
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPS63220521A (ja) 1987-03-10 1988-09-13 Canon Inc 焦点合せ装置
DE3707711A1 (de) * 1987-03-11 1988-09-22 Hoechst Ag Oel-in-wasser-emulsionen, verfahren zu deren herstellung und deren verwendung
US4890529A (en) * 1987-06-15 1990-01-02 Grant Bruce M Luminescently outlined string instrument
JPS6414918A (en) 1987-07-08 1989-01-19 Nikon Corp Stepper
JPS6414918U (ja) 1987-07-18 1989-01-25
US4857744A (en) 1987-07-29 1989-08-15 Hitachi, Ltd. Optical projection printing apparatus wherein wafer mark has a grating pitch in the sagittal plane of the first optical system
JPH0642448B2 (ja) * 1987-09-30 1994-06-01 株式会社東芝 位置合わせ方法
US5523193A (en) * 1988-05-31 1996-06-04 Texas Instruments Incorporated Method and apparatus for patterning and imaging member
JPH02170005A (ja) * 1988-12-23 1990-06-29 Matsushita Electric Ind Co Ltd 位置合わせ装置
US5489986A (en) 1989-02-28 1996-02-06 Nikon Corporation Position detecting apparatus
EP0392085B1 (de) * 1989-04-12 1992-04-15 Landis & Gyr Betriebs AG Anordnung zur Messung einer Spurabweichung einer bewegbaren Folienbahn
NL8900991A (nl) 1989-04-20 1990-11-16 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5543921A (en) 1989-05-08 1996-08-06 Canon Kabushiki Kaisha Aligning method utilizing reliability weighting coefficients
JPH032504A (ja) 1989-05-30 1991-01-08 Nikon Corp 位置合わせ装置
JPH0335107A (ja) * 1989-06-30 1991-02-15 Toshiba Corp 相対位置検出用回折格子
JP2539047B2 (ja) 1989-08-02 1996-10-02 株式会社東芝 位置合せ方法
EP0411966B1 (en) * 1989-08-04 1994-11-02 Canon Kabushiki Kaisha Position detection method and apparatus
DE4031637C2 (de) 1989-10-06 1997-04-10 Toshiba Kawasaki Kk Anordnung zum Messen einer Verschiebung zwischen zwei Objekten
JP2885439B2 (ja) 1989-10-06 1999-04-26 株式会社東芝 第1及び第2の物体の位置合せ方法及び装置
JP3077149B2 (ja) 1990-01-22 2000-08-14 株式会社ニコン 測定装置、測定方法、及び露光装置、露光方法、及び回路パターンチップ
NL9000503A (nl) * 1990-03-05 1991-10-01 Asm Lithography Bv Apparaat en werkwijze voor het afbeelden van een maskerpatroon op een substraat.
JPH03257303A (ja) 1990-03-08 1991-11-15 Nec Corp 重ね合せ精度測定方法
JPH03262901A (ja) 1990-03-13 1991-11-22 Toshiba Corp 位置合わせ方法
JP2893823B2 (ja) 1990-03-20 1999-05-24 株式会社ニコン 位置合わせ方法及び装置
EP0527166B1 (de) * 1990-05-02 1995-06-14 Fraunhofer-Gesellschaft Zur Förderung Der Angewandten Forschung E.V. Belichtungsvorrichtung
NL9001611A (nl) 1990-07-16 1992-02-17 Asm Lithography Bv Apparaat voor het afbeelden van een maskerpatroon op een substraat.
US5418613A (en) 1990-11-20 1995-05-23 Canon Kabushiki Kaisha Method and apparatus for detecting the position of a substrate having first and second patterns of different sizes
US5243195A (en) 1991-04-25 1993-09-07 Nikon Corporation Projection exposure apparatus having an off-axis alignment system and method of alignment therefor
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
US5229872A (en) * 1992-01-21 1993-07-20 Hughes Aircraft Company Exposure device including an electrically aligned electronic mask for micropatterning
JP3275273B2 (ja) 1993-01-28 2002-04-15 株式会社ニコン アライメント装置及び露光装置
BE1006067A3 (nl) * 1992-07-01 1994-05-03 Imec Inter Uni Micro Electr Optisch systeem voor het afbeelden van een maskerpatroon in een fotogevoelige laag.
DE69324532T2 (de) 1992-07-15 1999-09-23 Nikon Corp Lichtquelle für ein Heterodyninterferometer
JPH06267824A (ja) * 1993-03-15 1994-09-22 Nikon Corp 露光方法
KR970003593B1 (en) * 1992-09-03 1997-03-20 Samsung Electronics Co Ltd Projection exposure method and device using mask
JPH06177012A (ja) * 1992-12-03 1994-06-24 Nikon Corp アライメント装置
US5596204A (en) 1993-04-06 1997-01-21 Nikon Corporation Method for aligning processing areas on a substrate with a predetermined position in a static coordinate system
US5808910A (en) * 1993-04-06 1998-09-15 Nikon Corporation Alignment method
US5424548A (en) * 1993-09-21 1995-06-13 International Business Machines Corp. Pattern specific calibration for E-beam lithography
DE69530757T2 (de) * 1994-01-24 2004-03-18 Asml Holding, N.V. Gitter-gitter interferometrisches ausrichtsystem
US5614432A (en) * 1994-04-23 1997-03-25 Nec Corporation Method for manufacturing LDD type MIS device
US5601957A (en) * 1994-06-16 1997-02-11 Nikon Corporation Micro devices manufacturing method comprising the use of a second pattern overlying an alignment mark to reduce flattening
JP3451603B2 (ja) * 1994-06-16 2003-09-29 株式会社ニコン 露光方法及び該露光方法に使用されるマスク
DE69531854T2 (de) 1994-08-02 2004-08-19 Koninklijke Philips Electronics N.V. Verfahren zur wiederholten abbildung eines maskenmusters auf einem substrat
US5477057A (en) 1994-08-17 1995-12-19 Svg Lithography Systems, Inc. Off axis alignment system for scanning photolithography
JP3257303B2 (ja) 1994-11-24 2002-02-18 株式会社デンソー アンチスキッド制御装置
JPH08167559A (ja) * 1994-12-15 1996-06-25 Nikon Corp アライメント方法及び装置
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
JP3622249B2 (ja) 1995-02-01 2005-02-23 株式会社ニコン 位置検出方法及び装置
US5920378A (en) * 1995-03-14 1999-07-06 Nikon Corporation Projection exposure apparatus
JP3590940B2 (ja) * 1995-04-27 2004-11-17 株式会社ニコン アライメント装置及び露光装置
KR970002483A (ko) * 1995-06-01 1997-01-24 오노 시게오 노광 장치
US5910647A (en) * 1995-06-12 1999-06-08 Circuits And Systems, Inc. Electronic weighing apparatus utilizing surface acoustic waves
KR0186068B1 (ko) * 1995-12-27 1999-04-01 문정환 리소그라피 장치의 위치 정렬 시스템
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
JP4055827B2 (ja) * 1996-02-15 2008-03-05 エーエスエムエル ネザーランズ ビー. ブイ. リソグラフィ装置の放射線量決定方法、及び該方法実施用テストマスク及び装置
EP0824722B1 (en) * 1996-03-06 2001-07-25 Asm Lithography B.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
DE69704998T2 (de) * 1996-03-15 2001-09-27 Asm Lithography Bv Ausrichtungsvorrichtung und lithographischer apparat mit einer solchen vorrichtung
JPH09320933A (ja) * 1996-05-28 1997-12-12 Nikon Corp 走査型露光装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH1055946A (ja) * 1996-08-08 1998-02-24 Nikon Corp 露光条件測定方法
JP2821441B2 (ja) * 1996-08-23 1998-11-05 山形日本電気株式会社 重ね合せずれ量の計測方法
US5920376A (en) 1996-08-30 1999-07-06 Lucent Technologies, Inc. Method and system for panoramic viewing with curved surface mirrors
JP2787303B2 (ja) * 1996-11-05 1998-08-13 株式会社ニコン 位置合わせ装置、露光装置及び露光方法
EP0890136B9 (en) * 1996-12-24 2003-12-10 ASML Netherlands B.V. Two-dimensionally balanced positioning device with two object holders, and lithographic device provided with such a positioning device
JP2947196B2 (ja) * 1997-01-23 1999-09-13 日本電気株式会社 半導体基板および半導体装置の製造方法
JP4126096B2 (ja) 1997-01-29 2008-07-30 マイクロニック レーザー システムズ アクチボラゲット 感光性被覆を有する基板上に集束レーザ放射により構造物を製作する方法と装置
US5969600A (en) * 1997-02-19 1999-10-19 Ranco Inc. Of Delware Dangerous condition warning device incorporating a time-limited hush mode of operation to defeat an audible low battery warning signal
SE509062C2 (sv) 1997-02-28 1998-11-30 Micronic Laser Systems Ab Dataomvandlingsmetod för en laserskrivare med flera strålar för mycket komplexa mikrokolitografiska mönster
JP3570728B2 (ja) 1997-03-07 2004-09-29 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 離軸整列ユニットを持つリトグラフ投射装置
JP3626504B2 (ja) * 1997-03-10 2005-03-09 アーエスエム リソグラフィ ベスローテン フェンノートシャップ 2個の物品ホルダを有する位置決め装置
JPH10270346A (ja) 1997-03-24 1998-10-09 Nikon Corp 位置検出方法及びその装置、並びに露光装置
JP3466893B2 (ja) * 1997-11-10 2003-11-17 キヤノン株式会社 位置合わせ装置及びそれを用いた投影露光装置
US5952135A (en) * 1997-11-19 1999-09-14 Vlsi Technology Method for alignment using multiple wavelengths of light
JP4192423B2 (ja) * 1997-11-20 2008-12-10 株式会社ニコン マーク検出方法、位置検出装置、露光方法及び装置、デバイス製造方法、並びにデバイス
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6417922B1 (en) * 1997-12-29 2002-07-09 Asml Netherlands B.V. Alignment device and lithographic apparatus comprising such a device
US5933744A (en) * 1998-04-02 1999-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Alignment method for used in chemical mechanical polishing process
JP3067732B2 (ja) * 1998-04-02 2000-07-24 日本電気株式会社 半導体装置のアライメント装置及びアライメント方法
JP3159168B2 (ja) 1998-05-15 2001-04-23 日本電気株式会社 半導体装置とその製造方法
US6087733A (en) * 1998-06-12 2000-07-11 Intel Corporation Sacrificial erosion control features for chemical-mechanical polishing process
US6037671A (en) * 1998-11-03 2000-03-14 Advanced Micro Devices, Inc. Stepper alignment mark structure for maintaining alignment integrity
TW569083B (en) * 1999-02-04 2004-01-01 Asml Netherlands Bv Lithographic projection apparatus
IL130874A (en) * 1999-07-09 2002-12-01 Nova Measuring Instr Ltd System and method for measuring pattern structures
JP3615430B2 (ja) 1999-08-20 2005-02-02 松下電器産業株式会社 認識マーク
JP3949853B2 (ja) * 1999-09-28 2007-07-25 株式会社東芝 露光装置の制御方法及び半導体製造装置の制御方法
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
JP2001185474A (ja) 1999-12-27 2001-07-06 Nikon Corp アライメント方法、アライメント装置、基板、マスク、及び露光装置
US6542243B2 (en) * 2000-01-27 2003-04-01 Lambda Physik Ag Resonator optics monitoring method
JP2001267211A (ja) * 2000-03-16 2001-09-28 Nikon Corp 位置検出方法及び装置、並びに前記位置検出方法を用いた露光方法及び装置
JP3844940B2 (ja) * 2000-03-27 2006-11-15 株式会社東芝 マーク位置検出装置およびマーク位置検出方法
JP2002198303A (ja) * 2000-12-27 2002-07-12 Nikon Corp 露光装置、光学特性計測方法、及びデバイス製造方法
US6462818B1 (en) 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
JP3297423B2 (ja) * 2000-08-09 2002-07-02 株式会社東芝 フォーカステストマスク、並びにそれを用いたフォーカス及び収差の測定方法
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
EP1314198B1 (en) 2000-08-30 2017-03-08 KLA-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US6429930B1 (en) 2000-09-06 2002-08-06 Accent Optical Technologies, Inc. Determination of center of focus by diffraction signature analysis
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
TW556296B (en) * 2000-12-27 2003-10-01 Koninkl Philips Electronics Nv Method of measuring alignment of a substrate with respect to a reference alignment mark
TW526573B (en) * 2000-12-27 2003-04-01 Koninkl Philips Electronics Nv Method of measuring overlay
KR100500469B1 (ko) * 2001-01-12 2005-07-12 삼성전자주식회사 정렬마크와 이를 이용하는 노광정렬시스템 및 그 정렬방법
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US6732004B2 (en) * 2001-02-26 2004-05-04 Asml Netherlands B.V. Computer program for determining a corrected position of a measured alignment mark, device manufacturing method, and device manufactured thereby
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
EP1256849A1 (en) 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
EP1256843A1 (en) * 2001-05-08 2002-11-13 ASML Netherlands B.V. Method of calibrating a lithographic apparatus
KR100583693B1 (ko) * 2001-05-23 2006-05-25 에이에스엠엘 네델란즈 비.브이. 실질적으로 투과성인 공정층내에 정렬마크가 제공된 기판,상기 마크를 노광하는 마스크, 디바이스 제조방법 및 그디바이스
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
JP2003224057A (ja) * 2002-01-30 2003-08-08 Hitachi Ltd 半導体装置の製造方法
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
JP2004079585A (ja) * 2002-08-09 2004-03-11 Nikon Corp 結像特性計測方法及び露光方法
JP2004282017A (ja) * 2002-09-20 2004-10-07 Asml Netherlands Bv リソグラフィ装置の位置決めシステムおよび方法
US6864956B1 (en) * 2003-03-19 2005-03-08 Silterra Malaysia Sdn. Bhd. Dual phase grating alignment marks
US7494635B2 (en) * 2003-08-21 2009-02-24 Saint-Gobain Ceramics & Plastics, Inc. Boron nitride agglomerated powder
US20050204144A1 (en) 2004-03-10 2005-09-15 Kabushiki Kaisha Toshiba Image processing apparatus and personal information management program

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011507229A (ja) * 2007-12-05 2011-03-03 エーエスエムエル ネザーランズ ビー.ブイ. マーカ構造およびマーカ構造を形成する方法
US8319967B2 (en) 2007-12-05 2012-11-27 Asml Netherlands B.V. Marker structure and method of forming the same

Also Published As

Publication number Publication date
SG125922A1 (en) 2006-10-30
US8139217B2 (en) 2012-03-20
KR100632889B1 (ko) 2006-10-13
CN1495540B (zh) 2010-08-11
JP4222927B2 (ja) 2009-02-12
TW200415449A (en) 2004-08-16
KR20040025865A (ko) 2004-03-26
US20110128520A1 (en) 2011-06-02
JP2004282017A (ja) 2004-10-07
JP4422774B2 (ja) 2010-02-24
JP2004282019A (ja) 2004-10-07
CN1506768B (zh) 2011-01-26
TWI277842B (en) 2007-04-01
JP2012094915A (ja) 2012-05-17
KR100543536B1 (ko) 2006-01-20
SG120949A1 (en) 2006-04-26
KR20050102057A (ko) 2005-10-25
US20070176128A1 (en) 2007-08-02
TW200416500A (en) 2004-09-01
CN1534271A (zh) 2004-10-06
JP2008211247A (ja) 2008-09-11
TW200411337A (en) 2004-07-01
JP5508448B2 (ja) 2014-05-28
CN100476599C (zh) 2009-04-08
US20050189502A1 (en) 2005-09-01
US6844918B2 (en) 2005-01-18
US20060081792A1 (en) 2006-04-20
SG152898A1 (en) 2009-06-29
US7112813B2 (en) 2006-09-26
JP4362347B2 (ja) 2009-11-11
US7880880B2 (en) 2011-02-01
CN1506768A (zh) 2004-06-23
CN100337089C (zh) 2007-09-12
JP4972628B2 (ja) 2012-07-11
US20040129900A1 (en) 2004-07-08
US20060086910A1 (en) 2006-04-27
JP2007335906A (ja) 2007-12-27
JP2008034878A (ja) 2008-02-14
JP2009069163A (ja) 2009-04-02
US7297971B2 (en) 2007-11-20
JP4166810B2 (ja) 2008-10-15
TWI227814B (en) 2005-02-11
SG125923A1 (en) 2006-10-30
TWI251722B (en) 2006-03-21
US20060081790A1 (en) 2006-04-20
US20080180668A1 (en) 2008-07-31
JP2004279405A (ja) 2004-10-07
KR100536632B1 (ko) 2005-12-14
US7439531B2 (en) 2008-10-21
US20060081791A1 (en) 2006-04-20
CN1534387A (zh) 2004-10-06
CN1495540A (zh) 2004-05-12
TW200421039A (en) 2004-10-16
KR20040025868A (ko) 2004-03-26
US7619738B2 (en) 2009-11-17
JP2004282018A (ja) 2004-10-07
JP4222926B2 (ja) 2009-02-12
TWI229243B (en) 2005-03-11
US20060091330A1 (en) 2006-05-04
US20040114143A1 (en) 2004-06-17
US7330261B2 (en) 2008-02-12
KR100552455B1 (ko) 2006-02-20
US20040130690A1 (en) 2004-07-08
US7332732B2 (en) 2008-02-19
KR100597041B1 (ko) 2006-07-04
KR20040025867A (ko) 2004-03-26
US7329888B2 (en) 2008-02-12
KR20040025866A (ko) 2004-03-26

Similar Documents

Publication Publication Date Title
JP4166810B2 (ja) リソグラフィ用マーカ構造、このようなリソグラフィ用マーカ構造を備えるリソグラフィ投影機器およびこのようなリソグラフィ用マーカ構造を使用して基板を位置合わせする方法
TWI431440B (zh) 提供對準標記之方法、器件製造方法和微影裝置
JP4583292B2 (ja) 多層基板の各層の位置合わせを制御するためのマーカー構造及び方法
CN100555086C (zh) 用于光刻装置的水平传感器
JP4541374B2 (ja) 基板計測のための縮小されたスクライブレーンの使用を有するリソグラフィ装置およびデバイス製造方法
JP4230991B2 (ja) 測定方法、位置合せマークを提供するための方法及びデバイス製造方法
JP4838296B2 (ja) マーカ構造および基板
JP2006191080A (ja) 基板に関する情報を測定する方法及びリソグラフィ装置に使用する基板
JP2006041506A (ja) アライメントシステムおよびそのようなアライメントシステムを備えたリソグラフィ装置
JP2006186367A (ja) 接合基板を形成するシステム及び方法並びに接合基板製品
JP5059575B2 (ja) マークを備える基板
JP4881426B2 (ja) マーカの形成方法、マーカを有する基板及びデバイス製造方法
US8609441B2 (en) Substrate comprising a mark
US8319967B2 (en) Marker structure and method of forming the same
EP1477860A1 (en) Lithographic marker structure compliant with microelectronic device processing
EP1400860A2 (en) Lithographic marker structure, lithographic projection apparatus comprising such a lithographic marker structure and method for substrate alignment using such a lithographic marker structure
JP2007065665A (ja) デバイス製造方法、マスクおよびデバイス

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080702

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080730

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110808

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120808

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130808

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees