JP2012032408A - 散乱計測を用いてオーバレイ誤差を検出する装置および方法 - Google Patents
散乱計測を用いてオーバレイ誤差を検出する装置および方法 Download PDFInfo
- Publication number
- JP2012032408A JP2012032408A JP2011245169A JP2011245169A JP2012032408A JP 2012032408 A JP2012032408 A JP 2012032408A JP 2011245169 A JP2011245169 A JP 2011245169A JP 2011245169 A JP2011245169 A JP 2011245169A JP 2012032408 A JP2012032408 A JP 2012032408A
- Authority
- JP
- Japan
- Prior art keywords
- overlay
- target
- scatterometry
- layer
- periodic
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 273
- 238000005259 measurement Methods 0.000 title claims abstract description 219
- 230000003287 optical effect Effects 0.000 claims abstract description 203
- 238000003384 imaging method Methods 0.000 claims abstract description 175
- 230000000737 periodic effect Effects 0.000 claims abstract description 149
- 230000003595 spectral effect Effects 0.000 claims description 53
- 230000005855 radiation Effects 0.000 claims description 45
- 230000008569 process Effects 0.000 claims description 41
- 230000010287 polarization Effects 0.000 claims description 38
- 238000001514 detection method Methods 0.000 claims description 21
- 238000005286 illumination Methods 0.000 claims description 16
- 238000001459 lithography Methods 0.000 claims description 16
- 238000004519 manufacturing process Methods 0.000 claims description 14
- 239000004065 semiconductor Substances 0.000 claims description 14
- 239000002131 composite material Substances 0.000 claims description 12
- 229940125730 polarisation modulator Drugs 0.000 claims description 11
- 238000000701 chemical imaging Methods 0.000 claims description 7
- 238000001914 filtration Methods 0.000 claims description 7
- 230000004044 response Effects 0.000 claims description 6
- 238000001874 polarisation spectroscopy Methods 0.000 claims 1
- 239000010410 layer Substances 0.000 description 169
- 235000012431 wafers Nutrition 0.000 description 120
- 238000001228 spectrum Methods 0.000 description 47
- 238000013459 approach Methods 0.000 description 37
- 238000012545 processing Methods 0.000 description 28
- 238000010586 diagram Methods 0.000 description 23
- 239000010408 film Substances 0.000 description 23
- 230000008901 benefit Effects 0.000 description 20
- 239000011295 pitch Substances 0.000 description 19
- 230000006870 function Effects 0.000 description 16
- 239000000758 substrate Substances 0.000 description 15
- 238000004422 calculation algorithm Methods 0.000 description 13
- 238000004364 calculation method Methods 0.000 description 13
- 238000012937 correction Methods 0.000 description 11
- 238000013461 design Methods 0.000 description 11
- 230000000694 effects Effects 0.000 description 11
- 238000007689 inspection Methods 0.000 description 10
- 230000008859 change Effects 0.000 description 9
- 230000035945 sensitivity Effects 0.000 description 9
- 238000004458 analytical method Methods 0.000 description 8
- 230000015654 memory Effects 0.000 description 8
- 238000005070 sampling Methods 0.000 description 7
- 238000012360 testing method Methods 0.000 description 7
- 230000006872 improvement Effects 0.000 description 6
- 239000000463 material Substances 0.000 description 6
- 230000004075 alteration Effects 0.000 description 5
- 230000000712 assembly Effects 0.000 description 5
- 238000000429 assembly Methods 0.000 description 5
- 230000005670 electromagnetic radiation Effects 0.000 description 5
- 238000011084 recovery Methods 0.000 description 5
- 239000002356 single layer Substances 0.000 description 5
- 238000012876 topography Methods 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 4
- 239000011229 interlayer Substances 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 238000004886 process control Methods 0.000 description 4
- 230000009467 reduction Effects 0.000 description 4
- 238000004891 communication Methods 0.000 description 3
- 230000001419 dependent effect Effects 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000005684 electric field Effects 0.000 description 3
- 239000000835 fiber Substances 0.000 description 3
- 239000012530 fluid Substances 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 239000007788 liquid Substances 0.000 description 3
- 238000000711 polarimetry Methods 0.000 description 3
- 238000005498 polishing Methods 0.000 description 3
- 239000010409 thin film Substances 0.000 description 3
- 238000012935 Averaging Methods 0.000 description 2
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 230000018109 developmental process Effects 0.000 description 2
- 238000010191 image analysis Methods 0.000 description 2
- 238000013507 mapping Methods 0.000 description 2
- 238000012067 mathematical method Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000001127 nanoimprint lithography Methods 0.000 description 2
- 238000000059 patterning Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 239000000047 product Substances 0.000 description 2
- 230000000717 retained effect Effects 0.000 description 2
- 238000010183 spectrum analysis Methods 0.000 description 2
- 238000013519 translation Methods 0.000 description 2
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- 238000005481 NMR spectroscopy Methods 0.000 description 1
- 230000005856 abnormality Effects 0.000 description 1
- 239000008186 active pharmaceutical agent Substances 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 230000004888 barrier function Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000003086 colorant Substances 0.000 description 1
- 230000000295 complement effect Effects 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 230000001186 cumulative effect Effects 0.000 description 1
- 238000013480 data collection Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- 239000006185 dispersion Substances 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 238000000609 electron-beam lithography Methods 0.000 description 1
- 238000000572 ellipsometry Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000007717 exclusion Effects 0.000 description 1
- 238000000605 extraction Methods 0.000 description 1
- 238000013213 extrapolation Methods 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000003703 image analysis method Methods 0.000 description 1
- 238000007373 indentation Methods 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 238000005305 interferometry Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 238000012417 linear regression Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000000691 measurement method Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- 238000010606 normalization Methods 0.000 description 1
- 238000013041 optical simulation Methods 0.000 description 1
- 238000009304 pastoral farming Methods 0.000 description 1
- 238000003909 pattern recognition Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000005375 photometry Methods 0.000 description 1
- 238000007781 pre-processing Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 230000001902 propagating effect Effects 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 238000002310 reflectometry Methods 0.000 description 1
- 230000007261 regionalization Effects 0.000 description 1
- 230000003252 repetitive effect Effects 0.000 description 1
- 238000012883 sequential measurement Methods 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 239000013589 supplement Substances 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 230000002123 temporal effect Effects 0.000 description 1
- 238000012546 transfer Methods 0.000 description 1
- 238000011426 transformation method Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
- G03F9/70—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
- G03F9/7088—Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/84—Systems specially adapted for particular applications
- G01N21/88—Investigating the presence of flaws or contamination
- G01N21/95—Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
- G01N21/956—Inspecting patterns on the surface of objects
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70633—Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70681—Metrology strategies
- G03F7/70683—Mark designs
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
- G03F9/70—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
- G03F9/7049—Technique, e.g. interferometric
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
- G03F9/70—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
- G03F9/7073—Alignment marks and their environment
- G03F9/7084—Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
-
- G—PHYSICS
- G01—MEASURING; TESTING
- G01N—INVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
- G01N21/00—Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
- G01N21/17—Systems in which incident light is modified in accordance with the properties of the material investigated
- G01N21/21—Polarisation-affecting properties
- G01N21/211—Ellipsometry
- G01N2021/213—Spectrometric ellipsometry
Landscapes
- General Physics & Mathematics (AREA)
- Physics & Mathematics (AREA)
- Engineering & Computer Science (AREA)
- Multimedia (AREA)
- Analytical Chemistry (AREA)
- Biochemistry (AREA)
- General Health & Medical Sciences (AREA)
- Life Sciences & Earth Sciences (AREA)
- Immunology (AREA)
- Pathology (AREA)
- Health & Medical Sciences (AREA)
- Chemical & Material Sciences (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Length Measuring Devices By Optical Means (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Testing Or Measuring Of Semiconductors Or The Like (AREA)
- Investigating Or Analysing Materials By Optical Means (AREA)
- Investigating Or Analyzing Materials By The Use Of Ultrasonic Waves (AREA)
- Measuring Arrangements Characterized By The Use Of Fluids (AREA)
- Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)
Abstract
【解決手段】試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、光学システムを用い、周期的ターゲットのそれぞれについて光学信号が計測される。第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて既定義されたオフセットに基づいて周期的ターゲットからの前記計測された光学信号を分析することによって第1および第2構造間のオーバレイ誤差が決定される。本光学システムは、反射計、偏光計、画像化、干渉計、および/または走査角システムのうちの任意の1つ以上を備える。
【選択図】図2(e)
Description
Xb=−F+f0(ターゲットBについて)、
Xc=+F−f0(ターゲットCについて)、および
Xd=−F−f0(ターゲットDについて)。
XaからXdについてのこれらオフセットは、本発明の技術を実施してオーバレイを決定するための任意の適切な値でありえる。例えばXaおよびXbは、XcおよびXdとは異なるf0の値を持ちえる。
1.異なる特性の2つ以上のサイトが画像化システムの視野内に配置される。
2.1つ以上のスペクトル範囲にわたって画像がキャプチャされる。
3.その視野内のそれぞれの計測サイトについて、そのサイト内にあると決定された全てまたは一部の画素が加算され、または結合されて、そのスペクトル範囲における、そのサイトの測光特性を特徴付ける。
4.ステップ3がそれぞれのスペクトル範囲について反復される。
5.その試料の特性を決定するために、それぞれのスペクトル範囲にわたる、それぞれのサイトについての結果が処理される。例えば、上述のスペクトル分析技術(すなわちF+f0)は、それぞれのターゲットについて得られたスペクトルに対して用いられる。
6.ウェーハにわたって所望の複数の計測サイトについて、ステップ1から5が反復される。
本発明のある実施形態によれば、スペクトルAからD(およびもし存在すればさらなるスペクトル)の獲得は、以下の任意のもの、または以下の装置の任意の組み合わせを備えうる光学装置を用いて実行される。すなわち、画像化反射率計、画像化分光反射率計、偏光分光画像化反射率計、走査型反射率計システム、パラレルデータ獲得が可能な2つ以上の反射率計を持つシステム、パラレルデータ獲得が可能な2つ以上の分光反射率計を持つシステム、パラレルデータ獲得が可能な2つ以上の偏光分光反射率計を持つシステム、ウェーハステージを移動させることなく、または他の光学要素または反射率計ステージを移動させることなくシリアルデータ獲得が可能な2つ以上の偏光分光反射率計を持つシステム、画像化分光計、波長フィルタを持つ画像化システム、ロングパス波長フィルタを持つ画像化システム、ショートパス波長フィルタを持つ画像化システム、波長フィルタを持たない画像化システム、干渉計測画像化システム(例えばLinnik顕微鏡、例えばカリフォルニア州、サンノゼのKLA−Tencorから入手可能なKLA−Tencorオーバレイ計測ツールモデル5100、5200、5300、Archer10などにおいて実現されるようなLinnik顕微鏡)、画像化偏光解析装置、画像化分光偏光解析装置、走査型偏光解析装置システム、パラレルデータ獲得が可能な2つ以上の偏光解析装置を持つシステム、ウェーハステージを移動させることなく、または他の光学要素または反射率計ステージを移動させることなくシリアルデータ獲得が可能な2つ以上の偏光解析装置を持つシステム、Michelson干渉計、Mach-Zehnder干渉計、またはSagnac干渉計、走査入射角システム、走査アジマス角システムである。
」える。この場合、画像化計測ツールが用いられえるが、一方、散乱計測は画像化リソグラフィから形成されたターゲットについて用いられる。このシナリオにおいて、画像化および散乱法計測の両方を組み込むシステムが好ましく、それにより異なるツールの仕様について適切な計測法を素速く選びえる。
Claims (54)
- 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、光学システムを用いることによって前記周期的ターゲットのそれぞれからの光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法であって、
前記光学システムは、
画像化反射計、画像化分光反射計、偏光分光画像化反射計、走査反射計システム、並列データ獲得が可能な2つ以上の反射計を持つシステム、並列データ獲得が可能な2つ以上の分光反射計を持つシステム、並列データ獲得が可能な2つ以上の偏光分光反射計を持つシステム、ウェーハステージを移動させることなく、または任意の光学要素または反射計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光分光反射計を持つシステム、画像化分光計、波長フィルタを持つ画像化システム、ロングパス波長フィルタを持つ画像化システム、ショートパス波長フィルタを持つ画像化システム、波長フィルタを持たない画像化システム、干渉画像化システム、画像化偏光計、分光偏光計、音響弾性変調器を有するレーザ偏光計、画像化分光偏光計、走査偏光計システム、並列データ獲得が可能な2つ以上の偏光計を持つシステム、前記ウェーハステージを移動させることなく、または任意の光学要素または前記偏光計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光計を持つシステム、Michelson干渉計、およびMach-Zehnder干渉計、Sagnac干渉計、走査入射角システム、走査アジマス角システム、+/−1次差分反射計、+/−1次差分偏光反射計
の装置のうち任意の1つ以上を備える方法。 - 請求項1に記載の方法であって、前記光学システムは、+/−次差分反射計である方法。
- 請求項1に記載の方法であって、前記光学システムは、+/−次差分偏光反射計である方法。
- 請求項1に記載の方法であって、前記光学システムは、分光偏光計である方法。
- 請求項4に記載の方法であって、前記分光偏光計は、少なくとも1つの反射フォーカシング要素を備える方法。
- 請求項4に記載の方法であって、前記分光偏光計は、少なくとも1つの反射集光要素を備える方法。
- 請求項1に記載の方法であって、それぞれの第1構造は第1対称中心を有し、それぞれの第2構造は第2対称中心を有し、それぞれのターゲットについての前記第1対称中心および前記第2対称中心は、互いに対して前記既定義のオフセット群のうち選択されたものだけオフセットされている方法。
- 請求項1に記載の方法であって、前記オーバレイ誤差は、前記計測された光学信号を比較してデータを較正することなく決定される方法。
- 請求項1に記載の方法であって、前記散乱計測オーバレイ技術は、リニアベースの技術である方法。
- 請求項1に記載の方法であって、前記散乱計測オーバレイ技術は、位相ベースの技術である方法。
- 請求項1に記載の方法であって、前記光学システムは、音響弾性変調器を有するレーザ偏光計である方法。
- 請求項11に記載の方法であって、それぞれの第1構造は第1対称中心を有し、それぞれの第2構造は第2対称中心を有し、それぞれのターゲットについての前記第1対称中心および前記第2対称中心は、互いに対して前記既定義のオフセット群のうち選択されたものだけオフセットされている方法。
- 請求項1に記載の方法であって、前記光学システムは、画像化反射計である方法。
- 請求項1に記載の方法であって、前記光学システムは、画像化分光反射計である方法。
- 請求項1に記載の方法であって、前記光学システムは、偏光分光画像化反射計である方法。
- 請求項1に記載の方法であって、前記光学システムは、走査反射計システムである方法。
- 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の反射計を持つシステムである方法。
- 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の分光反射計を持つシステムである方法。
- 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の偏光分光反射計を持つシステムである方法。
- 請求項1に記載の方法であって、前記光学システムは、ウェーハステージを移動させることなく、または任意の光学要素または反射計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光分光反射計を持つシステムである方法。
- 請求項1に記載の方法であって、前記光学システムは、画像化分光計である方法。
- 請求項1に記載の方法であって、前記光学システムは、波長フィルタを持つ画像化システムである方法。
- 請求項1に記載の方法であって、前記光学システムは、干渉画像化システムである方法。
- 請求項1に記載の方法であって、前記光学システムは、画像化偏光計である方法。
- 請求項1に記載の方法であって、前記光学システムは、画像化分光偏光計である方法。
- 請求項1に記載の方法であって、前記光学システムは、走査偏光計システムである方法。
- 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の偏光計を持つシステムである方法。
- 請求項1に記載の方法であって、前記光学システムは、前記ウェーハステージを移動させることなく、または任意の光学要素または前記偏光計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光計を持つシステムである方法。
- 請求項1に記載の方法であって、前記光学システムは、Michelson干渉計である方法。
- 請求項1に記載の方法であって、前記光学システムは、Mach-Zehnder干渉計である方法。
- 請求項1に記載の方法であって、前記光学システムは、Sagnac干渉計である方法。
- 請求項1に記載の方法であって、前記光学システムは、走査入射角システムである方法。
- 請求項1に記載の方法であって、前記光学システムは、走査アジマス角システムである方法。
- 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、複数の設定においてチューニング可能なレーザを有する光学システムを用い、前記周期的ターゲットのそれぞれについて複数のチューニング可能なレーザ設定において複数の光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットを提供することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
偏光変調器を有する偏光計を用いて前記周期的ターゲットから複数の計測信号を計測すること、および
散乱計測オーバレイ技術を用いて前記周期的ターゲットの前記計測信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
画像化光学システムを用いて前記試料上の複数の周期的ターゲットから複数の計測光学信号を計測することであって、前記ターゲットはそれぞれ第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、
前記画像化光学システムは、前記複数の計測光学信号について、0次回折オーダーだけ集められ、計測されるように選択された照射および/または集光開口数(NA)および/またはスペクトルバンドを有し、および
散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有する複数の周期的ターゲットを提供することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
(a)複数の波長を有する光学入射ビームを発生する広帯域光源、前記入射ビームに応答して前記試料から計測信号を検出する検出器、および前記出力信号の特定の1つ以上の波長を前記検出器に選択的に通すフィルタを有する光学システムを用いて、少なくとも1つの放射ビームをそれぞれのターゲットに向けて導くことによって、複数の計測信号を前記周期的ターゲットから計測し、前記フィルタを調整することによって前記計測信号の特定の1つ以上の波長を前記フィルタを通して複数の濾過された信号のかたちで前記検出器へ渡すこと、および
(b)散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットのそれぞれについて、複数の入射角において複数の光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、較正動作を用いることなく、前記複数の入射角における前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットのそれぞれについて、第1光学信号を第1偏光計または第1反射計を用いて、および第2光学信号を第2偏光計または第2反射計を用いて、計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記計測された第1および第2光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、干渉計を用いて広帯域光源の実質的に複数の波長を変調し、それから前記周期的ターゲットの1つ以上の画像を得ることであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記1つ以上の得られた画像を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、前記試料から計測された光学信号を選択的に濾過する空間フィルタを有する光学システムを用いることによって、前記周期的ターゲットのそれぞれから光学信号を計測し、前記計測された光学信号の少なくとも1つの少なくとも一部を空間的に濾過し、前記第1および第2構造の間には既定義されたオフセットが存在し、および
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
複数のターゲット構成および/またはプロセス条件および/またはオーバレイ誤差について、モデルまたは較正されたデータを用いて前記複数のターゲット構成上の複数の理論上の散乱計測信号を発生すること、
前記複数の理論上の散乱計測信号およびそれに関連付けられたターゲット構成および/またはプロセス条件および/またはオーバレイ誤差を記憶すること、
前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の計測された周期的ターゲットのそれぞれについて、光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、較正動作を用いることなく、前記複数の入射角における前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること、および
前記計測された散乱計測信号を前記記憶された計測された散乱計測信号と比較して、実質的に一致する理論上のオーバレイ値に基づいて、前記計測された周期的ターゲットの特性またはその計測された周期的ターゲットについてのプロセス条件を得ること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
光学システムを用いて前記試料上の複数の周期的ターゲットから複数の計測光学信号を計測することであって、前記周期的ターゲットはそれぞれ第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、
前記周期的ターゲットは、同じxまたはy方向に配置され、および
散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
(a)光学システムを用いて、前記試料上の複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、前記複数の周期的ターゲットは、第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、前記光学信号は再フォーカシングすることなく、前記光学システムの同じフォーカス設定において計測される、および
(b)散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
光学システムを用いて、前記試料上の複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、前記複数の周期的ターゲットは、第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、前記計測された光学信号はそれぞれライン画像の形態をとり、および
散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
光学システムを用いて、前記試料上に第1レイヤから形成された第1構造および第2レイヤから形成された第2構造をそれぞれ有する複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、それぞれのターゲットのそれぞれの第1および第2構造は、互いに対して既定義されたオフセットを有するよう設計され、
散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測された光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間の第1オーバレイ誤差を決定すること、および
モデルベースの技術を用いて、前記周期的ターゲットの前記計測された光学信号を分析することによって、前記周期的ターゲットの前記第1および第2構造間の第2オーバレイ誤差を決定すること
を含む方法。 - 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットを有する試料を提供することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
散乱計測オーバレイ計測を用いて、前記試料上の前記第1ターゲットからの1つ以上の計測された光学信号に基づいて第1セットの前記周期的ターゲットから散乱計測オーバレイデータを得ること、および
画像化計測を用いて、前記試料上の前記第2ターゲットからの1つ以上の画像(群)に基づいて第2セットの前記周期的ターゲットから画像化オーバレイデータを得ること
を含む方法。 - インプリントリソグラフィマスクを半導体ウェーハとアラインさせる方法であって、
(a)前記マスクの複数の周期的アライメントマークを前記ウェーハ上の複数のアライメントマークにアラインさせること、
(b)光学システムを用いて、前記マスク上および前記ウェーハ上の複数の前記周期的アライメントマークから計測された複数の光学信号を計測することであって、前記周期的アライメントマークはそれぞれ、第1レイヤ内の第1構造および第2レイヤ内の第2構造を有し、前記第1および第2構造間には、既定義されたオフセットがあり、
(c)散乱計測オーバレイ技術を用いて、前記周期的アライメントマークの前記計測された光学信号および前記周期的アライメントマークの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記マスクおよび前記ウェーハ間のオーバレイ誤差またはマスクレジストレーション誤差を決定すること、および
(d)操作(a)から(c)を、前記オーバレイ誤差またはマスクレジストレーション誤差が所定のオフセットに等しいか、またはマスクレジストレーション値の所定の範囲内に入るまで反復すること
を含む方法。 - 複合散乱計測マークであって、
CDまたはプロファイル情報を決定するために計測されえる散乱計測微小寸法(CD)またはプロファイルターゲット、および
前記散乱計測CDまたはプロファイルターゲットにわたって配置された散乱計測オーバレイターゲットであって、前記散乱計測オーバレイターゲットは前記散乱計測CDまたはプロファイルターゲットと協働して、オーバレイを決定するために計測されえる散乱計測マークを形成する
複合散乱計測マーク。 - 単一の計測ツールであって、
オーバレイを計測するよう構成された散乱計測オーバレイ計測システム、および
微小寸法を計測するよう構成されたCD−SEMシステム
を備える計測ツール。 - 統合された微小寸法およびオーバレイマークを作る方法であって、
試料の第1レイヤ内にCDターゲットを形成すること、および
前記試料の第2レイヤ内にオーバレイターゲットを形成することであって、前記オーバレイターゲットは、前記CDターゲット上に形成されている
方法。 - あるレイヤ内のCDおよび複数レイヤ試料内の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
CDを決定するためにCDターゲット上で散乱計測を実行すること、および
オーバレイ誤差を決定するためにオーバレイマーク上で散乱計測を実行することであって、前記オーバレイマークは前記試料の第2レイヤ内に形成されたオーバレイターゲットおよび前記試料の前記第1レイヤ内に形成された前記CDターゲットを備え、前記オーバレイターゲットは前記CDターゲット上に配置される
方法。 - オーバレイ誤差を決定するよう構成された散乱計測マークであって、
第1および第2レイヤ上の構造をそれぞれ有する複数の周期的ターゲットであって、それぞれのターゲットからの複数の計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定されるように前記第1および第2構造間の既定義されたオフセットが存在し、
前記第1および第2レイヤの下にある第3レイヤ上に第3構造をそれぞれ有する複数のターゲットであって、前記第3構造は前記第1および第2構造に垂直である
散乱計測マーク。 - 第1ライン格子の上に共に配置された第2周期的構造および第3周期的構造間のオーバレイを計測するためのターゲット構造であって、前記第1格子は第1方向に向き、前記第2および第3周期的構造は第2方向に向き、前記第2方向は前記第1方向と実質的に直交するターゲット構造。
Applications Claiming Priority (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US44949603P | 2003-02-22 | 2003-02-22 | |
US60/449,496 | 2003-02-22 | ||
US49852403P | 2003-08-27 | 2003-08-27 | |
US60/498,524 | 2003-08-27 | ||
US50409303P | 2003-09-19 | 2003-09-19 | |
US60/504,093 | 2003-09-19 | ||
US10/729,838 US7317531B2 (en) | 2002-12-05 | 2003-12-05 | Apparatus and methods for detecting overlay errors using scatterometry |
US10/729,838 | 2003-12-05 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011103027A Division JP4932949B2 (ja) | 2003-02-22 | 2011-05-02 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012023385A Division JP5469688B2 (ja) | 2003-02-22 | 2012-02-06 | 散乱計測マーク、ターゲット構造、計測用システム、およびオーバーレイ誤差等の決定方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2012032408A true JP2012032408A (ja) | 2012-02-16 |
JP5280507B2 JP5280507B2 (ja) | 2013-09-04 |
Family
ID=32931583
Family Applications (9)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006503834A Expired - Fee Related JP4789798B2 (ja) | 2003-02-22 | 2004-02-23 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2011103027A Expired - Lifetime JP4932949B2 (ja) | 2003-02-22 | 2011-05-02 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2011245169A Expired - Lifetime JP5280507B2 (ja) | 2003-02-22 | 2011-11-09 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2012023385A Expired - Lifetime JP5469688B2 (ja) | 2003-02-22 | 2012-02-06 | 散乱計測マーク、ターゲット構造、計測用システム、およびオーバーレイ誤差等の決定方法 |
JP2013235636A Expired - Lifetime JP5675936B2 (ja) | 2003-02-22 | 2013-11-14 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2014202945A Pending JP2015052602A (ja) | 2003-02-22 | 2014-10-01 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2016038645A Pending JP2016106269A (ja) | 2003-02-22 | 2016-03-01 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2017194325A Expired - Lifetime JP6553145B2 (ja) | 2003-02-22 | 2017-10-04 | オーバレイ誤差を決定する方法 |
JP2019043804A Expired - Lifetime JP6668533B2 (ja) | 2003-02-22 | 2019-03-11 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
Family Applications Before (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006503834A Expired - Fee Related JP4789798B2 (ja) | 2003-02-22 | 2004-02-23 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2011103027A Expired - Lifetime JP4932949B2 (ja) | 2003-02-22 | 2011-05-02 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
Family Applications After (6)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012023385A Expired - Lifetime JP5469688B2 (ja) | 2003-02-22 | 2012-02-06 | 散乱計測マーク、ターゲット構造、計測用システム、およびオーバーレイ誤差等の決定方法 |
JP2013235636A Expired - Lifetime JP5675936B2 (ja) | 2003-02-22 | 2013-11-14 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2014202945A Pending JP2015052602A (ja) | 2003-02-22 | 2014-10-01 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2016038645A Pending JP2016106269A (ja) | 2003-02-22 | 2016-03-01 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
JP2017194325A Expired - Lifetime JP6553145B2 (ja) | 2003-02-22 | 2017-10-04 | オーバレイ誤差を決定する方法 |
JP2019043804A Expired - Lifetime JP6668533B2 (ja) | 2003-02-22 | 2019-03-11 | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
Country Status (6)
Country | Link |
---|---|
US (13) | US7317531B2 (ja) |
EP (1) | EP1601931B1 (ja) |
JP (9) | JP4789798B2 (ja) |
AT (1) | ATE504862T1 (ja) |
DE (1) | DE602004032117D1 (ja) |
WO (1) | WO2004076963A2 (ja) |
Families Citing this family (350)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6483580B1 (en) | 1998-03-06 | 2002-11-19 | Kla-Tencor Technologies Corporation | Spectroscopic scatterometer system |
US7068833B1 (en) * | 2000-08-30 | 2006-06-27 | Kla-Tencor Corporation | Overlay marks, methods of overlay mark design and methods of overlay measurements |
US7317531B2 (en) * | 2002-12-05 | 2008-01-08 | Kla-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
US7541201B2 (en) * | 2000-08-30 | 2009-06-02 | Kla-Tencor Technologies Corporation | Apparatus and methods for determining overlay of structures having rotational or mirror symmetry |
IL138552A (en) * | 2000-09-19 | 2006-08-01 | Nova Measuring Instr Ltd | Measurement of transverse displacement by optical method |
US6743646B2 (en) * | 2001-10-22 | 2004-06-01 | Timbre Technologies, Inc. | Balancing planarization of layers and the effect of underlying structure on the metrology signal |
US7170604B2 (en) * | 2002-07-03 | 2007-01-30 | Tokyo Electron Limited | Overlay metrology method and apparatus using more than one grating per measurement direction |
US7046376B2 (en) * | 2002-07-05 | 2006-05-16 | Therma-Wave, Inc. | Overlay targets with isolated, critical-dimension features and apparatus to measure overlay |
US7139081B2 (en) | 2002-09-09 | 2006-11-21 | Zygo Corporation | Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures |
US7869057B2 (en) | 2002-09-09 | 2011-01-11 | Zygo Corporation | Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis |
US7440105B2 (en) * | 2002-12-05 | 2008-10-21 | Kla-Tencor Technologies Corporation | Continuously varying offset mark and methods of determining overlay |
US8564780B2 (en) | 2003-01-16 | 2013-10-22 | Jordan Valley Semiconductors Ltd. | Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces |
US20080246951A1 (en) * | 2007-04-09 | 2008-10-09 | Phillip Walsh | Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces |
US7126131B2 (en) | 2003-01-16 | 2006-10-24 | Metrosol, Inc. | Broad band referencing reflectometer |
US7352453B2 (en) * | 2003-01-17 | 2008-04-01 | Kla-Tencor Technologies Corporation | Method for process optimization and control by comparison between 2 or more measured scatterometry signals |
US7324214B2 (en) | 2003-03-06 | 2008-01-29 | Zygo Corporation | Interferometer and method for measuring characteristics of optically unresolved surface features |
EP1477857A1 (en) * | 2003-05-13 | 2004-11-17 | ASML Netherlands B.V. | Method of characterising a process step and device manufacturing method |
JP4481109B2 (ja) * | 2003-08-26 | 2010-06-16 | エーエスエムエル ネザーランズ ビー.ブイ. | リソグラフィック装置、デバイス製造方法及びコンピュータ・プログラム |
WO2005029193A2 (en) * | 2003-09-15 | 2005-03-31 | Zygo Corporation | Interferometric analysis of surfaces. |
US7508976B1 (en) * | 2003-12-29 | 2009-03-24 | Nanometric Incorporated | Local process variation correction for overlay measurement |
US7301646B2 (en) * | 2004-01-21 | 2007-11-27 | Carl Zeiss Smt Ag | Device and method for the determination of imaging errors and microlithography projection exposure system |
JP4734261B2 (ja) * | 2004-02-18 | 2011-07-27 | ケーエルエー−テンカー コーポレイション | 連続変化するオフセットマークと、オーバレイ決定方法 |
US7019835B2 (en) * | 2004-02-19 | 2006-03-28 | Molecular Imprints, Inc. | Method and system to measure characteristics of a film disposed on a substrate |
US7065737B2 (en) * | 2004-03-01 | 2006-06-20 | Advanced Micro Devices, Inc | Multi-layer overlay measurement and correction technique for IC manufacturing |
DE102004012125B3 (de) * | 2004-03-12 | 2005-09-01 | Nanofilm Technologie Gmbh | Ellipsometrisches Messverfahren mit ROI-gestützter Bildkorrektur |
US7435074B2 (en) * | 2004-03-13 | 2008-10-14 | International Business Machines Corporation | Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning |
US7239389B2 (en) * | 2004-07-29 | 2007-07-03 | Applied Materials, Israel, Ltd. | Determination of irradiation parameters for inspection of a surface |
US7804059B2 (en) | 2004-08-11 | 2010-09-28 | Jordan Valley Semiconductors Ltd. | Method and apparatus for accurate calibration of VUV reflectometer |
US20080144036A1 (en) * | 2006-12-19 | 2008-06-19 | Asml Netherlands B.V. | Method of measurement, an inspection apparatus and a lithographic apparatus |
US7791727B2 (en) | 2004-08-16 | 2010-09-07 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
US20060061743A1 (en) * | 2004-09-22 | 2006-03-23 | Asml Netherlands B.V. | Lithographic apparatus, alignment system, and device manufacturing method |
US7541121B2 (en) * | 2004-10-13 | 2009-06-02 | Infineon Technologies Ag | Calibration of optical line shortening measurements |
US20060117293A1 (en) * | 2004-11-30 | 2006-06-01 | Nigel Smith | Method for designing an overlay mark |
US20070231421A1 (en) * | 2006-04-03 | 2007-10-04 | Molecular Imprints, Inc. | Enhanced Multi Channel Alignment |
US7630067B2 (en) * | 2004-11-30 | 2009-12-08 | Molecular Imprints, Inc. | Interferometric analysis method for the manufacture of nano-scale devices |
DE102004062256A1 (de) * | 2004-12-23 | 2006-07-13 | Basf Ag | Hochempfindliches Verfahren zur Detektion von Unterschieden zwischen den physikalisch messbaren Eigenschaften einer Probe und einer Referenz |
US7515253B2 (en) * | 2005-01-12 | 2009-04-07 | Kla-Tencor Technologies Corporation | System for measuring a sample with a layer containing a periodic diffracting structure |
US7884947B2 (en) | 2005-01-20 | 2011-02-08 | Zygo Corporation | Interferometry for determining characteristics of an object surface, with spatially coherent illumination |
EP2108919B1 (en) | 2005-01-20 | 2015-03-11 | Zygo Corporation | Interferometer for determining characteristics of an object surface |
US20060164649A1 (en) * | 2005-01-24 | 2006-07-27 | Eliezer Rosengaus | Multi-spectral techniques for defocus detection |
JP4624170B2 (ja) * | 2005-04-25 | 2011-02-02 | ルネサスエレクトロニクス株式会社 | 半導体装置の製造方法 |
US7277172B2 (en) * | 2005-06-06 | 2007-10-02 | Kla-Tencor Technologies, Corporation | Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals |
US7629259B2 (en) * | 2005-06-21 | 2009-12-08 | Lam Research Corporation | Method of aligning a reticle for formation of semiconductor devices |
DE102005037531A1 (de) * | 2005-08-09 | 2007-02-15 | Leica Microsystems Cms Gmbh | Verfahren und Vorrichtung zur Reduzierung systematischer Messfehler bei der mikroskopischen Untersuchung von Objekten |
US7687925B2 (en) * | 2005-09-07 | 2010-03-30 | Infineon Technologies Ag | Alignment marks for polarized light lithography and method for use thereof |
EP1931947A2 (en) * | 2005-09-21 | 2008-06-18 | Koninklijke Philips Electronics N.V. | System for detecting motion of a body |
US7659975B1 (en) * | 2005-09-21 | 2010-02-09 | Kla-Tencor Technologies Corp. | Methods and systems for inspection of a wafer or setting up an inspection process |
DE102005046973B4 (de) * | 2005-09-30 | 2014-01-30 | Globalfoundries Inc. | Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers |
US7526749B2 (en) * | 2005-10-31 | 2009-04-28 | Kla-Tencor Technologies Corporation | Methods and apparatus for designing and using micro-targets in overlay metrology |
US7684039B2 (en) * | 2005-11-18 | 2010-03-23 | Kla-Tencor Technologies Corporation | Overlay metrology using the near infra-red spectral range |
US7440094B2 (en) * | 2005-11-30 | 2008-10-21 | Wafermasters Incorporated | Optical sample characterization system |
US20070146658A1 (en) * | 2005-12-27 | 2007-06-28 | Asml Netherlands B.V. | Lithographic apparatus and method |
US7522263B2 (en) * | 2005-12-27 | 2009-04-21 | Asml Netherlands B.V. | Lithographic apparatus and method |
US7561282B1 (en) | 2006-03-27 | 2009-07-14 | Kla-Tencor Technologies Corporation | Techniques for determining overlay and critical dimension using a single metrology tool |
US7532305B2 (en) * | 2006-03-28 | 2009-05-12 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method using overlay measurement |
US7522293B2 (en) * | 2006-03-30 | 2009-04-21 | Tokyo Electron Limited | Optical metrology of multiple patterned layers |
US7616313B2 (en) * | 2006-03-31 | 2009-11-10 | Kla-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
US8908175B1 (en) | 2006-03-31 | 2014-12-09 | Kla-Tencor Corporation | Flexible scatterometry metrology system and method |
US7415319B2 (en) * | 2006-04-04 | 2008-08-19 | Asml Netherlands B.V. | Lithographic apparatus and device manufacturing method |
US7583389B2 (en) * | 2006-04-07 | 2009-09-01 | Amo Wavefront Sciences, Llc | Geometric measurement system and method of measuring a geometric characteristic of an object |
JP4795300B2 (ja) * | 2006-04-18 | 2011-10-19 | キヤノン株式会社 | 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法 |
US7656529B1 (en) | 2006-05-30 | 2010-02-02 | Mehrdad Nikoonahad | Overlay error measurement using fourier optics |
US7528941B2 (en) * | 2006-06-01 | 2009-05-05 | Kla-Tencor Technolgies Corporation | Order selected overlay metrology |
US7898662B2 (en) * | 2006-06-20 | 2011-03-01 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
KR100742982B1 (ko) * | 2006-06-22 | 2007-07-26 | 케이맥(주) | 초점 타원계측기 |
US7659988B2 (en) | 2006-06-30 | 2010-02-09 | Asml Netherlands B.V. | Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method |
US7999940B2 (en) * | 2006-06-30 | 2011-08-16 | Asml Netherlands B.V. | Apparatus for angular-resolved spectroscopic lithography characterization |
FI20060715A0 (fi) * | 2006-08-03 | 2006-08-03 | Chun Ye | Menetelmä ja kokoonpano erityisesti koskemattomien massakuitujen mittaamiseksi |
US20080036984A1 (en) * | 2006-08-08 | 2008-02-14 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
US7564555B2 (en) * | 2006-08-15 | 2009-07-21 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
EP2069851A4 (en) * | 2006-09-14 | 2010-02-24 | Tessera Tech Hungary Kft | IMAGING SYSTEM WITH ASSOUPLY ASSEMBLED TOLERANCES AND ASSOCIATED METHODS |
WO2008087486A2 (en) * | 2006-09-14 | 2008-07-24 | Tessera Technologies Hungary Kft. | Imaging system with improved image quality and associated methods |
US7571422B2 (en) * | 2006-09-21 | 2009-08-04 | Kla-Tencor Technologies Corporation | Method for generating a design rule map having spatially varying overlay budget |
US7522295B2 (en) * | 2006-11-07 | 2009-04-21 | Tokyo Electron Limited | Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer |
US20080129986A1 (en) | 2006-11-30 | 2008-06-05 | Phillip Walsh | Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations |
JP5502491B2 (ja) | 2006-12-22 | 2014-05-28 | ザイゴ コーポレーション | 表面特徴の特性測定のための装置および方法 |
US7889355B2 (en) | 2007-01-31 | 2011-02-15 | Zygo Corporation | Interferometry for lateral metrology |
US7724370B2 (en) * | 2007-03-01 | 2010-05-25 | Asml Netherlands B.V. | Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell |
US7764387B2 (en) * | 2007-03-02 | 2010-07-27 | Applied Kinetics, Inc. | Apparatus and method for measuring suspension and head assemblies in a stack |
KR100890288B1 (ko) * | 2007-03-08 | 2009-03-26 | 삼성전기주식회사 | 회절형 광변조기에 있어서 반사부의 변위 변화량 보정 장치 |
US7858404B2 (en) | 2007-03-14 | 2010-12-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Measurement of overlay offset in semiconductor processing |
US7570358B2 (en) * | 2007-03-30 | 2009-08-04 | Asml Netherlands Bv | Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor |
US7911612B2 (en) * | 2007-06-13 | 2011-03-22 | Asml Netherlands B.V. | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method |
US8004678B2 (en) * | 2007-06-26 | 2011-08-23 | Intel Corporation | Wafer level alignment structures using subwavelength grating polarizers |
TWI416096B (zh) | 2007-07-11 | 2013-11-21 | Nova Measuring Instr Ltd | 用於監控圖案化結構的性質之方法及系統 |
US7808638B2 (en) * | 2007-07-13 | 2010-10-05 | Kla-Tencor Corporation | Scatterometry target and method |
TWI407853B (zh) * | 2007-07-22 | 2013-09-01 | Camtek Ltd | 用於控制製造程序的方法及系統 |
US7884936B2 (en) * | 2007-08-22 | 2011-02-08 | Kla-Tencor Corporation | Apparatus and methods for scattering-based semiconductor inspection and metrology |
US20090053834A1 (en) * | 2007-08-23 | 2009-02-26 | Vladimir Alexeevich Ukraintsev | Use of scatterometry for in-line detection of poly-si strings left in sti divot after gate etch |
US7627392B2 (en) * | 2007-08-30 | 2009-12-01 | Tokyo Electron Limited | Automated process control using parameters determined with approximation and fine diffraction models |
US7998826B2 (en) * | 2007-09-07 | 2011-08-16 | Macronix International Co., Ltd. | Method of forming mark in IC-fabricating process |
JP2009094512A (ja) * | 2007-10-09 | 2009-04-30 | Asml Netherlands Bv | 位置合わせ方法及び装置、リソグラフィ装置、計測装置、及びデバイス製造方法 |
NL1036032A1 (nl) * | 2007-10-10 | 2009-04-15 | Asml Netherlands Bv | Overlay measurement on double patterning substrate. |
US8072611B2 (en) | 2007-10-12 | 2011-12-06 | Zygo Corporation | Interferometric analysis of under-resolved features |
US7645621B2 (en) * | 2007-10-16 | 2010-01-12 | International Business Machines Corporation | Optical inspection methods |
JP5222954B2 (ja) | 2007-11-13 | 2013-06-26 | ザイゴ コーポレーション | 偏光スキャンを利用した干渉計 |
KR100917912B1 (ko) * | 2007-11-13 | 2009-09-16 | 한국표준과학연구원 | 단일 편광자 초점 타원계측기 |
US7800108B2 (en) | 2007-11-30 | 2010-09-21 | Nec Electronics Corporation | Semiconductor device and method of manufacturing semiconductor device including optical test pattern above a light shielding film |
US8045170B2 (en) * | 2007-12-05 | 2011-10-25 | Canon Kabushiki Kaisha | Light scattering property measurement method |
WO2009079334A2 (en) | 2007-12-14 | 2009-06-25 | Zygo Corporation | Analyzing surface structure using scanning interferometry |
NL1036245A1 (nl) | 2007-12-17 | 2009-06-18 | Asml Netherlands Bv | Diffraction based overlay metrology tool and method of diffraction based overlay metrology. |
NL1036459A1 (nl) * | 2008-02-13 | 2009-08-14 | Asml Netherlands Bv | Method and apparatus for angular-resolved spectroscopic lithography characterization. |
US7815824B2 (en) * | 2008-02-26 | 2010-10-19 | Molecular Imprints, Inc. | Real time imprint process diagnostics for defects |
NL1036597A1 (nl) | 2008-02-29 | 2009-09-01 | Asml Netherlands Bv | Metrology method and apparatus, lithographic apparatus, and device manufacturing method. |
US7602509B1 (en) | 2008-03-18 | 2009-10-13 | Kla-Tencor Corporation | Method for selecting optical configuration for high-precision scatterometric measurement |
NL1036734A1 (nl) * | 2008-04-09 | 2009-10-12 | Asml Netherlands Bv | A method of assessing a model, an inspection apparatus and a lithographic apparatus. |
US8054473B2 (en) * | 2008-04-30 | 2011-11-08 | Qimonda Ag | Measurement method for determining dimensions of features resulting from enhanced patterning methods |
US8004679B2 (en) * | 2008-05-09 | 2011-08-23 | Kla-Tencor Corporation | Target design and methods for scatterometry overlay determination |
NL1036886A1 (nl) | 2008-05-12 | 2009-11-16 | Asml Netherlands Bv | A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor. |
US20090296075A1 (en) * | 2008-05-29 | 2009-12-03 | Nanometrics Incorporated | Imaging Diffraction Based Overlay |
US7986412B2 (en) | 2008-06-03 | 2011-07-26 | Jzw Llc | Interferometric defect detection and classification |
KR101556430B1 (ko) * | 2008-06-03 | 2015-10-01 | 환 제이. 정 | 간섭 결함 검출 및 분류 |
US7864334B2 (en) * | 2008-06-03 | 2011-01-04 | Jzw Llc | Interferometric defect detection |
NL2002962A1 (nl) * | 2008-06-11 | 2009-12-14 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
TWI364784B (en) * | 2008-06-13 | 2012-05-21 | Ind Tech Res Inst | Method for designing overlay targets and method and system for measuring overlay error using the same |
US8293546B2 (en) * | 2008-06-17 | 2012-10-23 | Globalfoundries Singapore Pte. Ltd. | Integrated circuit system with sub-geometry removal and method of manufacture thereof |
US8084872B2 (en) * | 2008-07-01 | 2011-12-27 | Macronix International Co., Ltd. | Overlay mark, method of checking local aligmnent using the same and method of controlling overlay based on the same |
US8930156B2 (en) * | 2008-07-21 | 2015-01-06 | Kla-Tencor Corporation | Metrology through use of feed forward feed sideways and measurement cell re-use |
US8724882B2 (en) * | 2008-07-29 | 2014-05-13 | Applied Materials Israel, Ltd. | Mapping variations of a surface |
WO2010025793A1 (en) * | 2008-09-08 | 2010-03-11 | Asml Netherlands B.V. | A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus |
NL2003497A (en) * | 2008-09-23 | 2010-03-24 | Asml Netherlands Bv | Lithographic system, lithographic method and device manufacturing method. |
US8237133B2 (en) * | 2008-10-10 | 2012-08-07 | Molecular Imprints, Inc. | Energy sources for curing in an imprint lithography system |
US8345242B2 (en) * | 2008-10-28 | 2013-01-01 | Molecular Imprints, Inc. | Optical system for use in stage control |
NL2003623A (en) * | 2008-11-07 | 2010-05-10 | Asml Netherlands Bv | Scatterometer method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
US8004688B2 (en) | 2008-11-26 | 2011-08-23 | Zygo Corporation | Scan error correction in low coherence scanning interferometry |
US8213011B2 (en) * | 2009-02-03 | 2012-07-03 | Image Analytics, Inc. | Spatial imaging/imaging spectroscopy system and method |
US20100197508A1 (en) * | 2009-02-03 | 2010-08-05 | The Administrator of the National Aeronautics and Space Administration, United States of America | Integrated Universal Chemical Detector with Selective Diffraction Array |
NL2004400A (en) | 2009-04-09 | 2010-10-12 | Asml Holding Nv | Tunable wavelength illumination system. |
KR101654599B1 (ko) * | 2009-05-11 | 2016-09-06 | 에이에스엠엘 네델란즈 비.브이. | 오버레이 오차를 결정하는 방법 및 디바이스 제조 방법 |
US8153987B2 (en) | 2009-05-22 | 2012-04-10 | Jordan Valley Semiconductors Ltd. | Automated calibration methodology for VUV metrology system |
WO2010148277A2 (en) * | 2009-06-19 | 2010-12-23 | Zygo Corporation | Equal-path interferometer |
NL2004946A (en) * | 2009-07-06 | 2011-01-10 | Asml Netherlands Bv | Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method. |
KR20120039725A (ko) * | 2009-07-22 | 2012-04-25 | 케이엘에이-텐코 코포레이션 | 각?분해형 반대칭 산란 측정 |
US8183701B2 (en) * | 2009-07-29 | 2012-05-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure of stacking scatterometry based overlay marks for marks footprint reduction |
US8189202B2 (en) * | 2009-08-04 | 2012-05-29 | Zygo Corporation | Interferometer for determining overlay errors |
EP2470960A1 (en) * | 2009-08-24 | 2012-07-04 | ASML Netherlands BV | Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets |
US8441639B2 (en) * | 2009-09-03 | 2013-05-14 | Kla-Tencor Corp. | Metrology systems and methods |
US8559014B2 (en) * | 2009-09-25 | 2013-10-15 | Hwan J. Jeong | High-resolution, common-path interferometric imaging systems and methods |
WO2011045132A1 (en) * | 2009-10-12 | 2011-04-21 | Asml Netherlands B.V. | Method, inspection apparatus and substrate for determining an approximate structure of an object on the substrate |
TWI401549B (zh) * | 2009-12-02 | 2013-07-11 | Ind Tech Res Inst | 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統 |
US8329360B2 (en) * | 2009-12-04 | 2012-12-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus of providing overlay |
NL2005459A (en) * | 2009-12-08 | 2011-06-09 | Asml Netherlands Bv | Inspection method and apparatus, and corresponding lithographic apparatus. |
TWI408331B (zh) * | 2009-12-17 | 2013-09-11 | Ind Tech Res Inst | 雙面光學膜片量測裝置與方法 |
TWI417942B (zh) * | 2009-12-17 | 2013-12-01 | Ind Tech Res Inst | 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統 |
JP5391055B2 (ja) * | 2009-12-25 | 2014-01-15 | 東京エレクトロン株式会社 | 半導体装置の製造方法及び半導体装置の製造システム |
US8148682B2 (en) * | 2009-12-29 | 2012-04-03 | Hitachi, Ltd. | Method and apparatus for pattern position and overlay measurement |
WO2011083544A1 (ja) * | 2010-01-06 | 2011-07-14 | パナソニック株式会社 | 干渉を用いた膜厚計測装置及び干渉を用いた膜厚計測方法 |
US9620426B2 (en) * | 2010-02-18 | 2017-04-11 | Kla-Tencor Corporation | Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation |
WO2011119577A1 (en) * | 2010-03-25 | 2011-09-29 | Nanometrics Incorporated | Diffraction based overlay linearity testing |
NL2006454A (en) * | 2010-05-03 | 2011-11-07 | Asml Netherlands Bv | Imprint lithography method and apparatus. |
US20110276319A1 (en) * | 2010-05-06 | 2011-11-10 | Jonathan Michael Madsen | Determination of material optical properties for optical metrology of structures |
US9625823B1 (en) * | 2010-06-17 | 2017-04-18 | Kla-Tencor Corporation | Calculation method for local film stress measurements using local film thickness values |
FR2962804B1 (fr) * | 2010-07-19 | 2014-04-18 | Horiba Jobin Yvon Sas | Dispositif et procede de mesure polarimetrique a resolution microscopique, accessoire de polarimetrie pour microscope, ellipso-microscope et microscope a contraste ellipsometrique |
US9927718B2 (en) | 2010-08-03 | 2018-03-27 | Kla-Tencor Corporation | Multi-layer overlay metrology target and complimentary overlay metrology measurement systems |
US9164397B2 (en) * | 2010-08-03 | 2015-10-20 | Kla-Tencor Corporation | Optics symmetrization for metrology |
DE102010041556A1 (de) * | 2010-09-28 | 2012-03-29 | Carl Zeiss Smt Gmbh | Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung |
US9606453B2 (en) * | 2010-09-30 | 2017-03-28 | Kla-Tencor Corporation | Method and system for providing tool induced shift using a sub-sampling scheme |
KR101158323B1 (ko) * | 2010-10-14 | 2012-06-26 | 주식회사 고영테크놀러지 | 기판 검사방법 |
JP5737922B2 (ja) * | 2010-12-14 | 2015-06-17 | ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. | 半導体デバイスの製造方法 |
US9007584B2 (en) * | 2010-12-27 | 2015-04-14 | Nanometrics Incorporated | Simultaneous measurement of multiple overlay errors using diffraction based overlay |
US8867041B2 (en) | 2011-01-18 | 2014-10-21 | Jordan Valley Semiconductor Ltd | Optical vacuum ultra-violet wavelength nanoimprint metrology |
US20120224176A1 (en) * | 2011-03-03 | 2012-09-06 | Nanometrics Incorporated | Parallel Acquisition Of Spectra For Diffraction Based Overlay |
US8565379B2 (en) | 2011-03-14 | 2013-10-22 | Jordan Valley Semiconductors Ltd. | Combining X-ray and VUV analysis of thin film layers |
TWI582539B (zh) * | 2011-04-06 | 2017-05-11 | 克萊譚克公司 | 用於改良程序控制之品質度量的方法及系統 |
WO2012138758A1 (en) * | 2011-04-06 | 2012-10-11 | Kla-Tencor Corporation | Method and system for providing a quality metric for improved process control |
JP5162006B2 (ja) * | 2011-06-01 | 2013-03-13 | キヤノン株式会社 | 検出装置、露光装置、および、デバイスの製造方法 |
US10890436B2 (en) | 2011-07-19 | 2021-01-12 | Kla Corporation | Overlay targets with orthogonal underlayer dummyfill |
US8582114B2 (en) * | 2011-08-15 | 2013-11-12 | Kla-Tencor Corporation | Overlay metrology by pupil phase analysis |
EP2579100A3 (en) | 2011-10-03 | 2017-12-06 | ASML Holding N.V. | Inspection apparatus, lithographic apparatus, and device manufacturing method |
US9709903B2 (en) * | 2011-11-01 | 2017-07-18 | Kla-Tencor Corporation | Overlay target geometry for measuring multiple pitches |
NL2009853A (en) | 2011-12-23 | 2013-06-26 | Asml Netherlands Bv | Methods and apparatus for measuring a property of a substrate. |
US8745546B2 (en) * | 2011-12-29 | 2014-06-03 | Nanya Technology Corporation | Mask overlay method, mask, and semiconductor device using the same |
US9007585B2 (en) * | 2012-03-07 | 2015-04-14 | Kla-Tencor Corporation | Imaging overlay metrology target and complimentary overlay metrology measurement system |
WO2013143814A1 (en) * | 2012-03-27 | 2013-10-03 | Asml Netherlands B.V. | Metrology method and apparatus, lithographic system and device manufacturing method |
JP6077647B2 (ja) | 2012-05-29 | 2017-02-08 | エーエスエムエル ネザーランズ ビー.ブイ. | メトロロジー方法及び装置、基板、リソグラフィシステム並びにデバイス製造方法 |
WO2013181156A1 (en) * | 2012-05-29 | 2013-12-05 | Kla-Tencor Corporation | Small spot size spectroscopic ellipsometer |
WO2013188602A1 (en) * | 2012-06-13 | 2013-12-19 | Kla-Tencor Corporation | Optical surface scanning systems and methods |
US9243886B1 (en) | 2012-06-26 | 2016-01-26 | Kla-Tencor Corporation | Optical metrology of periodic targets in presence of multiple diffraction orders |
US8913237B2 (en) * | 2012-06-26 | 2014-12-16 | Kla-Tencor Corporation | Device-like scatterometry overlay targets |
JP6353831B2 (ja) | 2012-06-26 | 2018-07-04 | ケーエルエー−テンカー コーポレイション | 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去 |
CN104471484B (zh) * | 2012-07-05 | 2018-02-06 | Asml荷兰有限公司 | 用于光刻术的量测 |
JP5959739B2 (ja) * | 2012-07-10 | 2016-08-02 | エーエスエムエル ネザーランズ ビー.ブイ. | リソグラフィクラスタシステム、測定装置、およびリソグラフィ装置の位置決めデバイスを較正するための方法 |
KR101793565B1 (ko) * | 2012-07-23 | 2017-11-03 | 에이에스엠엘 네델란즈 비.브이. | 검사 방법 및 장치, 리소그래피 시스템, 및 디바이스 제조 방법 |
US9329033B2 (en) | 2012-09-05 | 2016-05-03 | Kla-Tencor Corporation | Method for estimating and correcting misregistration target inaccuracy |
DE102012218382B4 (de) * | 2012-10-09 | 2015-04-23 | Leica Microsystems Cms Gmbh | Verfahren zum Festlegen eines Lasermikrodissektionsbereichs und zugehöriges Lasermikrodissektionssystem |
WO2014062972A1 (en) | 2012-10-18 | 2014-04-24 | Kla-Tencor Corporation | Symmetric target design in scatterometry overlay metrology |
TWI598972B (zh) | 2012-11-09 | 2017-09-11 | 克萊譚克公司 | 減少散射量測疊對量測技術中演算法之不準確 |
US10242290B2 (en) * | 2012-11-09 | 2019-03-26 | Kla-Tencor Corporation | Method, system, and user interface for metrology target characterization |
US9760020B2 (en) | 2012-11-21 | 2017-09-12 | Kla-Tencor Corporation | In-situ metrology |
TWI603216B (zh) * | 2012-11-21 | 2017-10-21 | 克萊譚克公司 | 處理相容分段目標及設計方法 |
WO2014081909A1 (en) * | 2012-11-21 | 2014-05-30 | Kla-Tencor Corporation | In-situ metrology |
US8994943B2 (en) * | 2012-11-30 | 2015-03-31 | Infineon Technologies Ag | Selectivity by polarization |
US9081287B2 (en) | 2012-12-20 | 2015-07-14 | Kla-Tencor Corporation | Methods of measuring overlay errors in area-imaging e-beam lithography |
US9514999B2 (en) * | 2013-01-02 | 2016-12-06 | Globalfoundries Inc. | Systems and methods for semiconductor line scribe line centering |
US9536796B2 (en) | 2013-01-02 | 2017-01-03 | Globalfoundries Inc. | Multiple manufacturing line qualification |
US9429856B1 (en) | 2013-01-21 | 2016-08-30 | Kla-Tencor Corporation | Detectable overlay targets with strong definition of center locations |
US9291554B2 (en) | 2013-02-05 | 2016-03-22 | Kla-Tencor Corporation | Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection |
KR102094974B1 (ko) * | 2013-03-08 | 2020-03-30 | 삼성전자주식회사 | 오버레이 계측 방법 |
WO2014138522A1 (en) * | 2013-03-08 | 2014-09-12 | Kla-Tencor Corporation | Pupil plane calibration for scatterometry overlay measurement |
US10101670B2 (en) | 2013-03-27 | 2018-10-16 | Kla-Tencor Corporation | Statistical model-based metrology |
US9875946B2 (en) * | 2013-04-19 | 2018-01-23 | Kla-Tencor Corporation | On-device metrology |
CN103247550B (zh) * | 2013-05-07 | 2016-04-13 | 上海华力微电子有限公司 | 监控制程稳定性的测试模块和方法 |
WO2014193983A1 (en) * | 2013-05-29 | 2014-12-04 | Kla-Tencor Corporation | Multi-layered target design |
WO2014194095A1 (en) * | 2013-05-30 | 2014-12-04 | Kla-Tencor Corporation | Combined imaging and scatterometry metrology |
TWI621190B (zh) * | 2013-06-19 | 2018-04-11 | 克萊譚克公司 | 併合成像及散射測量靶 |
WO2014210381A1 (en) * | 2013-06-27 | 2014-12-31 | Kla-Tencor Corporation | Polarization measurements of metrology targets and corresponding target designs |
WO2015006233A1 (en) * | 2013-07-09 | 2015-01-15 | Kla-Tencor Corporation | Aperture alignment in scatterometry metrology systems |
US9007571B2 (en) * | 2013-08-20 | 2015-04-14 | United Microelectronics Corp. | Measurement method of overlay mark |
US9053284B2 (en) * | 2013-09-04 | 2015-06-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and system for overlay control |
US9091667B2 (en) * | 2013-10-25 | 2015-07-28 | Globalfoundries Inc. | Detection of particle contamination on wafers |
WO2015062854A1 (en) * | 2013-10-30 | 2015-05-07 | Asml Netherlands B.V. | Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method |
KR101890783B1 (ko) * | 2013-11-26 | 2018-08-22 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 계측을 위한 방법, 장치 및 기판 |
WO2015089514A2 (en) * | 2013-12-13 | 2015-06-18 | Colorado State University Research Foundation | Nano-stamp and matched reader for anti-counterfeiting applications |
WO2015090774A1 (en) | 2013-12-17 | 2015-06-25 | Asml Netherlands B.V. | Yield estimation and control |
US9490182B2 (en) * | 2013-12-23 | 2016-11-08 | Kla-Tencor Corporation | Measurement of multiple patterning parameters |
WO2015121867A1 (en) * | 2014-02-16 | 2015-08-20 | Nova Measuring Instruments Ltd. | Overlay design optimization |
EP2927747A3 (en) | 2014-03-31 | 2016-03-09 | IMEC vzw | Quality assessment of directed self-assembling method |
US9851300B1 (en) * | 2014-04-04 | 2017-12-26 | Kla-Tencor Corporation | Decreasing inaccuracy due to non-periodic effects on scatterometric signals |
WO2015157464A1 (en) * | 2014-04-09 | 2015-10-15 | Kla-Tencor Corporation | Estimating and eliminating inter-cell process variation inaccuracy |
US20150316468A1 (en) * | 2014-04-30 | 2015-11-05 | Nova Measuring Instruments Ltd. | Method and system for optical characterization of patterned samples |
US10352876B2 (en) * | 2014-05-09 | 2019-07-16 | KLA—Tencor Corporation | Signal response metrology for scatterometry based overlay measurements |
US9784690B2 (en) * | 2014-05-12 | 2017-10-10 | Kla-Tencor Corporation | Apparatus, techniques, and target designs for measuring semiconductor parameters |
US9786251B1 (en) * | 2014-05-28 | 2017-10-10 | Musco Corporation | Apparatus, method, and system for visually indicating perceived glare thresholds |
KR101918251B1 (ko) | 2014-06-02 | 2018-11-13 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 타겟들을 디자인하는 방법, 메트롤로지 타겟들을 갖는 기판들, 오버레이를 측정하는 방법, 및 디바이스 제조 방법 |
WO2015196168A1 (en) * | 2014-06-21 | 2015-12-23 | Kla-Tencor Corporation | Compound imaging metrology targets |
US10151986B2 (en) * | 2014-07-07 | 2018-12-11 | Kla-Tencor Corporation | Signal response metrology based on measurements of proxy structures |
WO2016030255A2 (en) | 2014-08-29 | 2016-03-03 | Asml Netherlands B.V. | Metrology method, target and substrate |
WO2016037003A1 (en) * | 2014-09-03 | 2016-03-10 | Kla-Tencor Corporation | Optimizing the utilization of metrology tools |
US10210606B2 (en) * | 2014-10-14 | 2019-02-19 | Kla-Tencor Corporation | Signal response metrology for image based and scatterometry overlay measurements |
US9710728B2 (en) * | 2014-10-28 | 2017-07-18 | Kla-Tencor Corporation | Image based signal response metrology |
US9677935B2 (en) * | 2014-11-03 | 2017-06-13 | Trutag Technologies, Inc. | Fabry-perot spectral image measurement |
US10430719B2 (en) | 2014-11-25 | 2019-10-01 | Stream Mosaic, Inc. | Process control techniques for semiconductor manufacturing processes |
CN107004060B (zh) * | 2014-11-25 | 2022-02-18 | Pdf决策公司 | 用于半导体制造工艺的经改进工艺控制技术 |
JP6770958B2 (ja) * | 2014-11-25 | 2020-10-21 | ケーエルエー コーポレイション | ランドスケープの解析および利用 |
KR20160066448A (ko) | 2014-12-02 | 2016-06-10 | 삼성전자주식회사 | 표면 검사 방법 |
KR20160121206A (ko) | 2015-04-10 | 2016-10-19 | 삼성전자주식회사 | 오버레이 에러의 검출 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US10545104B2 (en) * | 2015-04-28 | 2020-01-28 | Kla-Tencor Corporation | Computationally efficient X-ray based overlay measurement |
JP6723269B2 (ja) | 2015-05-15 | 2020-07-15 | ケーエルエー コーポレイション | 焦点感応オーバーレイターゲットを使用する焦点決定のためのシステムおよび方法 |
TWI752764B (zh) * | 2015-05-19 | 2022-01-11 | 美商克萊譚克公司 | 用於疊對測量之形貌相位控制 |
US9995689B2 (en) * | 2015-05-22 | 2018-06-12 | Nanometrics Incorporated | Optical metrology using differential fitting |
US10754260B2 (en) | 2015-06-18 | 2020-08-25 | Kla-Tencor Corporation | Method and system for process control with flexible sampling |
US10216096B2 (en) | 2015-08-14 | 2019-02-26 | Kla-Tencor Corporation | Process-sensitive metrology systems and methods |
KR102351636B1 (ko) * | 2015-09-21 | 2022-01-13 | 케이엘에이 코포레이션 | 유연적 샘플링을 이용한 공정 제어 방법 및 시스템 |
US9864280B2 (en) | 2015-10-02 | 2018-01-09 | Applied Materials, Inc. | Overlay error correction |
WO2017108411A1 (en) | 2015-12-23 | 2017-06-29 | Asml Netherlands B.V. | Metrology method and apparatus |
CN108700829B (zh) * | 2016-02-26 | 2021-05-18 | Asml荷兰有限公司 | 测量结构的方法、检查设备、光刻系统、器件制造方法 |
US10546790B2 (en) * | 2016-03-01 | 2020-01-28 | Asml Netherlands B.V. | Method and apparatus to determine a patterning process parameter |
KR102079181B1 (ko) * | 2016-03-04 | 2020-02-19 | 주식회사 고영테크놀러지 | 패턴광 조사 장치 및 방법 |
JP6821700B2 (ja) | 2016-04-22 | 2021-01-27 | ケーエルエー コーポレイション | 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット |
US10451412B2 (en) | 2016-04-22 | 2019-10-22 | Kla-Tencor Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
US11313809B1 (en) * | 2016-05-04 | 2022-04-26 | Kla-Tencor Corporation | Process control metrology |
US9921104B2 (en) * | 2016-06-11 | 2018-03-20 | Kla-Tencor Corporation | Simultaneous multi-angle spectroscopy |
KR102640173B1 (ko) | 2016-06-14 | 2024-02-26 | 삼성전자주식회사 | 회절 기반 오버레이 마크 및 오버레이 계측방법 |
WO2018007126A1 (en) * | 2016-07-07 | 2018-01-11 | Asml Netherlands B.V. | Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures |
CN109643068B (zh) * | 2016-08-23 | 2021-10-01 | Asml荷兰有限公司 | 量测设备和用于测量结构的方法和光刻系统 |
US10416576B2 (en) * | 2016-09-14 | 2019-09-17 | Canon Kabushiki Kaisha | Optical system for use in stage control |
US10775323B2 (en) | 2016-10-18 | 2020-09-15 | Kla-Tencor Corporation | Full beam metrology for X-ray scatterometry systems |
US10481111B2 (en) | 2016-10-21 | 2019-11-19 | Kla-Tencor Corporation | Calibration of a small angle X-ray scatterometry based metrology system |
EP3538940A1 (en) | 2016-11-12 | 2019-09-18 | The Trustees of Columbia University in the City of New York | Microscopy devices, methods and systems |
US10288408B2 (en) * | 2016-12-01 | 2019-05-14 | Nanometrics Incorporated | Scanning white-light interferometry system for characterization of patterned semiconductor features |
US10983005B2 (en) * | 2016-12-15 | 2021-04-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Spectroscopic overlay metrology |
US10859518B2 (en) | 2017-01-03 | 2020-12-08 | Kla-Tencor Corporation | X-ray zoom lens for small angle x-ray scatterometry |
US10551749B2 (en) * | 2017-01-04 | 2020-02-04 | Kla-Tencor Corporation | Metrology targets with supplementary structures in an intermediate layer |
US10209627B2 (en) | 2017-01-06 | 2019-02-19 | Kla-Tencor Corporation | Systems and methods for focus-sensitive metrology targets |
KR102370339B1 (ko) | 2017-02-22 | 2022-03-04 | 에이에스엠엘 네델란즈 비.브이. | 전산 계측 |
US10732516B2 (en) | 2017-03-01 | 2020-08-04 | Kla Tencor Corporation | Process robust overlay metrology based on optical scatterometry |
US10767978B2 (en) | 2017-04-14 | 2020-09-08 | Kla-Tencor Corporation | Transmission small-angle X-ray scattering metrology system |
WO2018197198A1 (en) | 2017-04-28 | 2018-11-01 | Asml Netherlands B.V. | Metrology method and apparatus and associated computer program |
WO2018206227A1 (en) * | 2017-05-08 | 2018-11-15 | Asml Netherlands B.V. | Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method |
US11073487B2 (en) | 2017-05-11 | 2021-07-27 | Kla-Tencor Corporation | Methods and systems for characterization of an x-ray beam with high spatial resolution |
US10727142B2 (en) | 2017-05-30 | 2020-07-28 | Kla-Tencor Corporation | Process monitoring of deep structures with X-ray scatterometry |
US11029673B2 (en) | 2017-06-13 | 2021-06-08 | Pdf Solutions, Inc. | Generating robust machine learning predictions for semiconductor manufacturing processes |
US11112369B2 (en) * | 2017-06-19 | 2021-09-07 | Kla-Tencor Corporation | Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay |
US11333621B2 (en) | 2017-07-11 | 2022-05-17 | Kla-Tencor Corporation | Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction |
US10817999B2 (en) * | 2017-07-18 | 2020-10-27 | Kla Corporation | Image-based overlay metrology and monitoring using through-focus imaging |
US10983227B2 (en) | 2017-08-14 | 2021-04-20 | Kla-Tencor Corporation | On-device metrology using target decomposition |
US11022642B2 (en) | 2017-08-25 | 2021-06-01 | Pdf Solutions, Inc. | Semiconductor yield prediction |
EP3450938B1 (en) * | 2017-08-30 | 2022-10-12 | IMEC vzw | An image sensor and an imaging apparatus |
US11317500B2 (en) | 2017-08-30 | 2022-04-26 | Kla-Tencor Corporation | Bright and clean x-ray source for x-ray based metrology |
CN114993205A (zh) | 2017-10-05 | 2022-09-02 | Asml荷兰有限公司 | 用于确定衬底上的一个或更多个结构的特性的量测系统和方法 |
US10748736B2 (en) | 2017-10-18 | 2020-08-18 | Kla-Tencor Corporation | Liquid metal rotating anode X-ray source for semiconductor metrology |
US10837919B2 (en) | 2017-11-06 | 2020-11-17 | Kla Corporation | Single cell scatterometry overlay targets |
US10474040B2 (en) | 2017-12-07 | 2019-11-12 | Kla-Tencor Corporation | Systems and methods for device-correlated overlay metrology |
US10473460B2 (en) | 2017-12-11 | 2019-11-12 | Kla-Tencor Corporation | Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals |
CN108036737B (zh) * | 2017-12-12 | 2019-11-12 | 南京信息工程大学 | 一种全场照明快照式检测反射面面形的装置及方法 |
US11085754B2 (en) * | 2017-12-12 | 2021-08-10 | Kla Corporation | Enhancing metrology target information content |
US10483214B2 (en) | 2018-01-03 | 2019-11-19 | Globalfoundries Inc. | Overlay structures |
US10959318B2 (en) | 2018-01-10 | 2021-03-23 | Kla-Tencor Corporation | X-ray metrology system with broadband laser produced plasma illuminator |
US10705435B2 (en) | 2018-01-12 | 2020-07-07 | Globalfoundries Inc. | Self-referencing and self-calibrating interference pattern overlay measurement |
EP3528047A1 (en) | 2018-02-14 | 2019-08-21 | ASML Netherlands B.V. | Method and apparatus for measuring a parameter of interest using image plane detection techniques |
US11029359B2 (en) | 2018-03-09 | 2021-06-08 | Pdf Solutions, Inc. | Failure detection and classsification using sensor data and/or measurement data |
US11775714B2 (en) | 2018-03-09 | 2023-10-03 | Pdf Solutions, Inc. | Rational decision-making tool for semiconductor processes |
US11067389B2 (en) | 2018-03-13 | 2021-07-20 | Kla Corporation | Overlay metrology system and method |
US10777470B2 (en) | 2018-03-27 | 2020-09-15 | Pdf Solutions, Inc. | Selective inclusion/exclusion of semiconductor chips in accelerated failure tests |
US10816486B2 (en) | 2018-03-28 | 2020-10-27 | Kla-Tencor Corporation | Multilayer targets for calibration and alignment of X-ray based measurement systems |
US10707175B2 (en) * | 2018-05-22 | 2020-07-07 | Globalfoundries Inc. | Asymmetric overlay mark for overlay measurement |
JP2020041859A (ja) * | 2018-09-07 | 2020-03-19 | キオクシア株式会社 | 位置計測方法、位置計測装置および半導体装置の製造方法 |
US11118903B2 (en) | 2018-10-17 | 2021-09-14 | Kla Corporation | Efficient illumination shaping for scatterometry overlay |
JP7431824B2 (ja) * | 2018-11-21 | 2024-02-15 | ケーエルエー コーポレイション | スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム |
US11018064B2 (en) * | 2018-12-12 | 2021-05-25 | Kla Corporation | Multiple-tool parameter set configuration and misregistration measurement system and method |
US10816464B2 (en) | 2019-01-23 | 2020-10-27 | Applied Materials, Inc. | Imaging reflectometer |
WO2020159560A1 (en) * | 2019-01-28 | 2020-08-06 | Kla-Tencor Corporation | Moiré target and method for using the same in measuring misregistration of semiconductor devices |
US11075126B2 (en) * | 2019-02-15 | 2021-07-27 | Kla-Tencor Corporation | Misregistration measurements using combined optical and electron beam technology |
US11990380B2 (en) | 2019-04-19 | 2024-05-21 | Kla Corporation | Methods and systems for combining x-ray metrology data sets to improve parameter estimation |
CN110470618A (zh) * | 2019-07-10 | 2019-11-19 | 中国科学院上海技术物理研究所 | 基于大气选择透过特性的单色仪光波长偏移量的检测方法 |
KR20210011278A (ko) * | 2019-07-22 | 2021-02-01 | 삼성전자주식회사 | Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법 |
US11914290B2 (en) | 2019-07-24 | 2024-02-27 | Kla Corporation | Overlay measurement targets design |
CN114174930A (zh) * | 2019-08-07 | 2022-03-11 | Asml控股股份有限公司 | 对准系统的激光模块组件、量测系统和光刻设备 |
US11256177B2 (en) | 2019-09-11 | 2022-02-22 | Kla Corporation | Imaging overlay targets using Moiré elements and rotational symmetry arrangements |
US11360397B2 (en) | 2019-09-17 | 2022-06-14 | Kla Corporation | System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements |
KR20220082019A (ko) | 2019-10-15 | 2022-06-16 | 도쿄엘렉트론가부시키가이샤 | 기판의 하나 이상의 특성을 모니터링하는 시스템 및 방법 |
US11272607B2 (en) | 2019-11-01 | 2022-03-08 | Kla Corporation | Laser produced plasma illuminator with low atomic number cryogenic target |
US11259394B2 (en) | 2019-11-01 | 2022-02-22 | Kla Corporation | Laser produced plasma illuminator with liquid sheet jet target |
JP2023502872A (ja) | 2019-11-04 | 2023-01-26 | 東京エレクトロン株式会社 | 複数のウェハー検査システム(wis)モジュールを較正するためのシステム及び方法 |
US11520321B2 (en) | 2019-12-02 | 2022-12-06 | Kla Corporation | Measurement recipe optimization based on probabilistic domain knowledge and physical realization |
US11610297B2 (en) | 2019-12-02 | 2023-03-21 | Kla Corporation | Tomography based semiconductor measurements using simplified models |
US11168978B2 (en) * | 2020-01-06 | 2021-11-09 | Tokyo Electron Limited | Hardware improvements and methods for the analysis of a spinning reflective substrates |
US11698251B2 (en) | 2020-01-07 | 2023-07-11 | Kla Corporation | Methods and systems for overlay measurement based on soft X-ray Scatterometry |
US11309202B2 (en) * | 2020-01-30 | 2022-04-19 | Kla Corporation | Overlay metrology on bonded wafers |
US11719652B2 (en) | 2020-02-04 | 2023-08-08 | Kla Corporation | Semiconductor metrology and inspection based on an x-ray source with an electron emitter array |
US11513085B2 (en) | 2020-02-20 | 2022-11-29 | Kla Corporation | Measurement and control of wafer tilt for x-ray based metrology |
US11156566B2 (en) * | 2020-03-26 | 2021-10-26 | Applied Materials, Inc. | High sensitivity image-based reflectometry |
US11150078B1 (en) | 2020-03-26 | 2021-10-19 | Applied Materials, Inc. | High sensitivity image-based reflectometry |
US11143604B1 (en) | 2020-04-06 | 2021-10-12 | Kla Corporation | Soft x-ray optics with improved filtering |
US11417010B2 (en) | 2020-05-19 | 2022-08-16 | Applied Materials, Inc. | Image based metrology of surface deformations |
US11686576B2 (en) | 2020-06-04 | 2023-06-27 | Kla Corporation | Metrology target for one-dimensional measurement of periodic misregistration |
US11355375B2 (en) * | 2020-07-09 | 2022-06-07 | Kla Corporation | Device-like overlay metrology targets displaying Moiré effects |
WO2022023005A1 (en) | 2020-07-30 | 2022-02-03 | BONASTRE, Sanchez A. | Polarimetric microscope in reflection for the measurement of the refractive index and associated optical method |
US11530913B2 (en) | 2020-09-24 | 2022-12-20 | Kla Corporation | Methods and systems for determining quality of semiconductor measurements |
KR20230079145A (ko) | 2020-10-15 | 2023-06-05 | 어플라이드 머티어리얼스, 인코포레이티드 | 광학 디바이스들을 위한 시스루 계측 시스템들, 장치, 및 방법들 |
CN112230514B (zh) * | 2020-10-23 | 2022-04-12 | 泉芯集成电路制造(济南)有限公司 | 套刻误差量测标记结构及其制程方法和套刻误差量测方法 |
CN114695087B (zh) * | 2020-12-30 | 2024-05-24 | 科磊股份有限公司 | 一种制造集成电路的方法和系统 |
US20220290974A1 (en) * | 2021-03-11 | 2022-09-15 | Applied Materials Israel Ltd. | Optical metrology models for in-line film thickness measurements |
US11592755B2 (en) * | 2021-03-31 | 2023-02-28 | Kla Corporation | Enhancing performance of overlay metrology |
KR20240016967A (ko) * | 2021-05-31 | 2024-02-06 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법 및 연관된 메트롤로지 툴 |
EP4187321A1 (en) * | 2021-11-24 | 2023-05-31 | ASML Netherlands B.V. | Metrology method and associated metrology tool |
US11738363B2 (en) | 2021-06-07 | 2023-08-29 | Tokyo Electron Limited | Bath systems and methods thereof |
KR20230030346A (ko) | 2021-08-25 | 2023-03-06 | 삼성전자주식회사 | 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법 |
US12020970B2 (en) | 2021-09-22 | 2024-06-25 | International Business Machines Corporation | Metrology data correction |
FR3128779B1 (fr) | 2021-11-02 | 2024-03-01 | Commissariat Energie Atomique | Structure de metrologie |
EP4338010A1 (en) * | 2021-11-27 | 2024-03-20 | KLA Corporation | Improved targets for diffraction-based overlay error metrology |
US11796925B2 (en) | 2022-01-03 | 2023-10-24 | Kla Corporation | Scanning overlay metrology using overlay targets having multiple spatial frequencies |
US12019030B2 (en) * | 2022-01-18 | 2024-06-25 | Kla Corporation | Methods and systems for targeted monitoring of semiconductor measurement quality |
KR102566129B1 (ko) * | 2022-01-20 | 2023-08-16 | (주) 오로스테크놀로지 | 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정방법, 및 반도체 소자의 제조방법 |
US11861824B1 (en) | 2022-02-03 | 2024-01-02 | Kla Corporation | Reference image grouping in overlay metrology |
WO2023170692A1 (en) * | 2022-03-10 | 2023-09-14 | Nova Ltd. | System and method for determining parameters of patterned structures from optical data |
US11800212B1 (en) * | 2022-04-08 | 2023-10-24 | Kla Corporation | Multi-directional overlay metrology using multiple illumination parameters and isolated imaging |
TWI809929B (zh) * | 2022-04-08 | 2023-07-21 | 南亞科技股份有限公司 | 具有疊對標記的半導體元件結構 |
WO2023213503A1 (en) * | 2022-05-06 | 2023-11-09 | Asml Netherlands B.V. | Method and system of overlay measurement using charged-particle inspection apparatus |
US11955308B1 (en) | 2022-09-22 | 2024-04-09 | Kla Corporation | Water cooled, air bearing based rotating anode x-ray illumination source |
Citations (18)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4408884A (en) * | 1981-06-29 | 1983-10-11 | Rca Corporation | Optical measurements of fine line parameters in integrated circuit processes |
JPS62190725A (ja) * | 1986-02-17 | 1987-08-20 | Tokyo Electron Ltd | 二重回折格子による位置合せ方法 |
JPS63260045A (ja) * | 1987-04-17 | 1988-10-27 | Hitachi Ltd | バ−ニアパタ−ン |
JPH02112709A (ja) * | 1988-10-21 | 1990-04-25 | Olympus Optical Co Ltd | 位置合せ方法および位置合せ装置 |
JPH033224A (ja) * | 1989-04-20 | 1991-01-09 | Asm Lithography Bv | マスクパターンを基板上に投影する装置 |
JPH0453220A (ja) * | 1990-06-20 | 1992-02-20 | Nikon Corp | 投影光学装置 |
JPH11145047A (ja) * | 1997-11-14 | 1999-05-28 | Sony Corp | 電子線描画用精度測定方法 |
US6023338A (en) * | 1996-07-12 | 2000-02-08 | Bareket; Noah | Overlay alignment measurement of wafers |
JP2001093822A (ja) * | 1999-09-24 | 2001-04-06 | Nikon Corp | マスクの位置合わせ方法およびそれを用いた露光装置 |
WO2002018871A1 (en) * | 2000-09-01 | 2002-03-07 | Kla-Tencor Corporation | Improved overlay alignment measurement mark |
WO2002019415A1 (en) * | 2000-08-30 | 2002-03-07 | Kla-Tencor Corporation | Overlay marks, methods of overlay mark design and methods of overlay measurements |
WO2002025723A2 (en) * | 2000-09-19 | 2002-03-28 | Nova Measuring Instruments Ltd. | Lateral shift measurement using an optical technique |
WO2002025708A2 (en) * | 2000-09-20 | 2002-03-28 | Kla-Tencor-Inc. | Methods and systems for semiconductor fabrication processes |
WO2002065545A2 (en) * | 2001-02-12 | 2002-08-22 | Sensys Instruments Corporation | Overlay alignment metrology using diffraction gratings |
WO2002084213A1 (en) * | 2001-04-10 | 2002-10-24 | Kla-Tencor Corporation | Periodic patterns and technique to control misalignment |
JP2004279405A (ja) * | 2002-09-20 | 2004-10-07 | Asml Netherlands Bv | デバイス検査 |
WO2004107415A1 (ja) * | 2003-05-28 | 2004-12-09 | Nikon Corporation | 位置情報計測方法及び装置、並びに露光方法及び装置 |
JP2008109104A (ja) * | 2006-09-25 | 2008-05-08 | Asml Netherlands Bv | 角度分解分光リソグラフィキャラクタライゼイション方法およびデバイス |
Family Cites Families (136)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US3019A (en) * | 1843-03-30 | Hatching chickens | ||
US3000A (en) * | 1843-03-10 | Improvement in sugar-boilers | ||
US2015A (en) * | 1841-03-26 | Improvement in rotary steam-engines | ||
US3594085A (en) | 1967-08-31 | 1971-07-20 | Centre Nat Rech Scient | Ellipsometric method and device |
JPS5212577A (en) | 1975-07-21 | 1977-01-31 | Nippon Kogaku Kk <Nikon> | Automatic location device |
DE2627609A1 (de) | 1976-06-19 | 1977-12-29 | Ibm Deutschland | Interferometrisches verfahren |
US4200395A (en) | 1977-05-03 | 1980-04-29 | Massachusetts Institute Of Technology | Alignment of diffraction gratings |
US4332473A (en) | 1979-01-31 | 1982-06-01 | Tokyo Shibaura Denki Kabushiki Kaisha | Apparatus for detecting a mutual positional relationship of two sample members |
JPH0632323B2 (ja) | 1983-12-13 | 1994-04-27 | 株式会社日立製作所 | 半導体レ−ザ装置 |
US4631416A (en) | 1983-12-19 | 1986-12-23 | Hewlett-Packard Company | Wafer/mask alignment system using diffraction gratings |
US4596467A (en) | 1984-03-16 | 1986-06-24 | Hughes Aircraft Company | Dissimilar superimposed grating precision alignment and gap measurement systems |
US4703434A (en) | 1984-04-24 | 1987-10-27 | The Perkin-Elmer Corporation | Apparatus for measuring overlay error |
DE3419463C1 (de) | 1984-05-24 | 1985-09-12 | Sagax Instrument AB, Sundbyberg | Vorrichtung zur Erfassung von Stoffeigenschaften von Probenoberflaechen |
US4828392A (en) | 1985-03-13 | 1989-05-09 | Matsushita Electric Industrial Co., Ltd. | Exposure apparatus |
US4710642A (en) * | 1985-08-20 | 1987-12-01 | Mcneil John R | Optical scatterometer having improved sensitivity and bandwidth |
DE3530439A1 (de) | 1985-08-26 | 1987-02-26 | Siemens Ag | Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers |
US4818110A (en) | 1986-05-06 | 1989-04-04 | Kla Instruments Corporation | Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like |
KR900004269B1 (ko) | 1986-06-11 | 1990-06-18 | 가부시기가이샤 도시바 | 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치 |
US4929083A (en) | 1986-06-19 | 1990-05-29 | Xerox Corporation | Focus and overlay characterization and optimization for photolithographic exposure |
US4750836A (en) | 1986-09-18 | 1988-06-14 | Rca Corporation | Method of measuring misalignment between superimposed patterns |
US4757207A (en) | 1987-03-03 | 1988-07-12 | International Business Machines Corporation | Measurement of registration of overlaid test patterns by the use of reflected light |
JPH0819176B2 (ja) | 1987-04-03 | 1996-02-28 | 三菱化学株式会社 | オレフイン重合用触媒 |
US5340992A (en) | 1988-02-16 | 1994-08-23 | Canon Kabushiki Kaisha | Apparatus and method of detecting positional relationship using a weighted coefficient |
US5327221A (en) | 1988-02-16 | 1994-07-05 | Canon Kabushiki Kaisha | Device for detecting positional relationship between two objects |
US5189494A (en) | 1988-11-07 | 1993-02-23 | Masato Muraki | Position detecting method and apparatus |
FR2640040B1 (fr) | 1988-12-05 | 1994-10-28 | Micro Controle | Procede et dispositif de mesure optique |
JPH02192114A (ja) | 1989-01-20 | 1990-07-27 | Canon Inc | 位置合わせ装置 |
US4999014A (en) | 1989-05-04 | 1991-03-12 | Therma-Wave, Inc. | Method and apparatus for measuring thickness of thin films |
JP2704002B2 (ja) | 1989-07-18 | 1998-01-26 | キヤノン株式会社 | 位置検出方法 |
US5166752A (en) | 1990-01-11 | 1992-11-24 | Rudolph Research Corporation | Simultaneous multiple angle/multiple wavelength ellipsometer and method |
DE4000785A1 (de) | 1990-01-12 | 1991-07-18 | Suess Kg Karl | Justiermarken fuer zwei aufeinander einzujustierende objekte |
US5112129A (en) | 1990-03-02 | 1992-05-12 | Kla Instruments Corporation | Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology |
US5182610A (en) | 1990-04-19 | 1993-01-26 | Sortec Corporation | Position detecting method and device therefor as well as aligning device |
JP2897355B2 (ja) * | 1990-07-05 | 1999-05-31 | 株式会社ニコン | アライメント方法,露光装置,並びに位置検出方法及び装置 |
US5185610A (en) * | 1990-08-20 | 1993-02-09 | Texas Instruments Incorporated | GPS system and method for deriving pointing or attitude from a single GPS receiver |
US5343292A (en) | 1990-10-19 | 1994-08-30 | University Of New Mexico | Method and apparatus for alignment of submicron lithographic features |
US5276337A (en) | 1991-10-31 | 1994-01-04 | International Business Machines Corporation | Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal |
JPH06137830A (ja) | 1992-10-23 | 1994-05-20 | Canon Inc | 干渉計測方法及び干渉計測装置 |
US5416588A (en) | 1992-12-21 | 1995-05-16 | The Board Of Regents Of The University Of Nebraska | Small modulation ellipsometry |
US6153886A (en) | 1993-02-19 | 2000-11-28 | Nikon Corporation | Alignment apparatus in projection exposure apparatus |
US5438413A (en) * | 1993-03-03 | 1995-08-01 | Kla Instruments Corporation | Process for measuring overlay misregistration during semiconductor wafer fabrication |
US5316984A (en) | 1993-03-25 | 1994-05-31 | Vlsi Technology, Inc. | Bright field wafer target |
JP3082516B2 (ja) | 1993-05-31 | 2000-08-28 | キヤノン株式会社 | 光学式変位センサおよび該光学式変位センサを用いた駆動システム |
US5414514A (en) | 1993-06-01 | 1995-05-09 | Massachusetts Institute Of Technology | On-axis interferometric alignment of plates using the spatial phase of interference patterns |
WO1995002200A1 (en) | 1993-07-09 | 1995-01-19 | Commonwealth Scientific And Industrial Research Organisation | Multiple image diffractive device |
US5412473A (en) | 1993-07-16 | 1995-05-02 | Therma-Wave, Inc. | Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices |
US5388909A (en) | 1993-09-16 | 1995-02-14 | Johnson; Shane R. | Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap |
US5355306A (en) | 1993-09-30 | 1994-10-11 | Motorola, Inc. | Alignment system and method of alignment by symmetrical and asymmetrical analysis |
JP2595885B2 (ja) | 1993-11-18 | 1997-04-02 | 日本電気株式会社 | 半導体装置およびその製造方法 |
TW256914B (en) | 1994-05-27 | 1995-09-11 | Ibm | Servo-writing system for use in a data recording disk drive |
US5883710A (en) | 1994-12-08 | 1999-03-16 | Kla-Tencor Corporation | Scanning system for inspecting anomalies on surfaces |
JPH08233555A (ja) | 1994-12-28 | 1996-09-13 | Matsushita Electric Ind Co Ltd | レジストパターンの測定方法及びレジストパターンの測定装置 |
US5608526A (en) | 1995-01-19 | 1997-03-04 | Tencor Instruments | Focused beam spectroscopic ellipsometry method and system |
US5923041A (en) | 1995-02-03 | 1999-07-13 | Us Commerce | Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means |
US5808742A (en) | 1995-05-31 | 1998-09-15 | Massachusetts Institute Of Technology | Optical alignment apparatus having multiple parallel alignment marks |
JP3859764B2 (ja) | 1995-06-27 | 2006-12-20 | 株式会社ルネサステクノロジ | 重ね合わせ精度測定マーク、そのマークの欠陥修正方法、および、そのマークを有するフォトマスク |
US5596413A (en) | 1995-08-17 | 1997-01-21 | Lucent Technologies Inc. | Sub-micron through-the-lens positioning utilizing out of phase segmented gratings |
US5712707A (en) | 1995-11-20 | 1998-01-27 | International Business Machines Corporation | Edge overlay measurement target for sub-0.5 micron ground rules |
US5801390A (en) | 1996-02-09 | 1998-09-01 | Nikon Corporation | Position-detection method and apparatus with a grating mark |
JPH09244222A (ja) | 1996-03-08 | 1997-09-19 | Mitsubishi Electric Corp | 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク |
US5805290A (en) * | 1996-05-02 | 1998-09-08 | International Business Machines Corporation | Method of optical metrology of unresolved pattern arrays |
EP0979398B1 (en) | 1996-06-04 | 2012-01-04 | KLA-Tencor Corporation | Optical scanning system for surface inspection |
US5700732A (en) | 1996-08-02 | 1997-12-23 | Micron Technology, Inc. | Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns |
EP0841594A3 (en) | 1996-11-07 | 1999-08-25 | Nikon Corporation | Mark for position detection, mark detecting method and apparatus, and exposure system |
US6013355A (en) | 1996-12-30 | 2000-01-11 | International Business Machines Corp. | Testing laminates with x-ray moire interferometry |
US5912983A (en) | 1997-01-24 | 1999-06-15 | Oki Electric Industry Co., Ltd | Overlay accuracy measuring method |
US5889593A (en) | 1997-02-26 | 1999-03-30 | Kla Instruments Corporation | Optical system and method for angle-dependent reflection or transmission measurement |
JP3527063B2 (ja) | 1997-06-04 | 2004-05-17 | 株式会社ルネサステクノロジ | 半導体装置の製造方法 |
JPH1186332A (ja) | 1997-09-01 | 1999-03-30 | Toshiba Corp | 光ヘッド装置 |
US6134011A (en) | 1997-09-22 | 2000-10-17 | Hdi Instrumentation | Optical measurement system using polarized light |
JPH11102851A (ja) | 1997-09-26 | 1999-04-13 | Mitsubishi Electric Corp | アライメント補正方法及び半導体装置の製造方法 |
JPH11241908A (ja) | 1997-12-03 | 1999-09-07 | Canon Inc | 位置検出装置及びそれを用いたデバイスの製造方法 |
US6160622A (en) | 1997-12-29 | 2000-12-12 | Asm Lithography, B.V. | Alignment device and lithographic apparatus comprising such a device |
US6483580B1 (en) | 1998-03-06 | 2002-11-19 | Kla-Tencor Technologies Corporation | Spectroscopic scatterometer system |
US6476920B1 (en) | 1998-03-18 | 2002-11-05 | Nova Measuring Instruments, Ltd. | Method and apparatus for measurements of patterned structures |
US6077756A (en) | 1998-04-24 | 2000-06-20 | Vanguard International Semiconductor | Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing |
EP0973069A3 (en) | 1998-07-14 | 2006-10-04 | Nova Measuring Instruments Limited | Monitoring apparatus and method particularly useful in photolithographically processing substrates |
US6128089A (en) | 1998-07-28 | 2000-10-03 | International Business Machines Corporation | Combined segmented and nonsegmented bar-in-bar targets |
EP1046192A1 (en) | 1998-10-20 | 2000-10-25 | Koninklijke Philips Electronics N.V. | Method of manufacturing a semiconductor device in a silicon body, a surface of said silicon body being provided with an alignment grating and an at least partially recessed oxide pattern |
US6184984B1 (en) | 1999-02-09 | 2001-02-06 | Kla-Tencor Corporation | System for measuring polarimetric spectrum and other properties of a sample |
JP2000323381A (ja) * | 1999-05-07 | 2000-11-24 | Nikon Corp | 露光方法及び装置 |
GB9914711D0 (en) | 1999-06-23 | 1999-08-25 | Leck Michael J | Electronic seal,methods and security system |
US7123358B2 (en) * | 1999-07-19 | 2006-10-17 | Chemimage Corporation | Method for Raman imaging of semiconductor materials |
TW579435B (en) * | 1999-08-02 | 2004-03-11 | Zetetic Inst | Scanning interferometric near-field confocal microscopy |
US6342735B1 (en) | 1999-09-01 | 2002-01-29 | International Business Machines Corporation | Dual use alignment aid |
US6420791B1 (en) | 1999-11-23 | 2002-07-16 | United Microelectronics Corp. | Alignment mark design |
US6350548B1 (en) | 2000-03-15 | 2002-02-26 | International Business Machines Corporation | Nested overlay measurement target |
JP2001272208A (ja) * | 2000-03-27 | 2001-10-05 | Toshiba Corp | 重ね合わせずれ検査装置、重ね合わせずれ検査用マークおよび重ね合わせずれ検査方法 |
US6689519B2 (en) | 2000-05-04 | 2004-02-10 | Kla-Tencor Technologies Corp. | Methods and systems for lithography process control |
US6462818B1 (en) | 2000-06-22 | 2002-10-08 | Kla-Tencor Corporation | Overlay alignment mark design |
US7317531B2 (en) | 2002-12-05 | 2008-01-08 | Kla-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
IL140179A (en) * | 2000-12-07 | 2004-09-27 | Nova Measuring Instr Ltd | Method and system for measuring in patterned structures |
US6900892B2 (en) * | 2000-12-19 | 2005-05-31 | Kla-Tencor Technologies Corporation | Parametric profiling using optical spectroscopic systems |
JP2002247283A (ja) * | 2001-02-16 | 2002-08-30 | Fuji Photo Film Co Ltd | 画像形成装置 |
US6699624B2 (en) | 2001-02-27 | 2004-03-02 | Timbre Technologies, Inc. | Grating test patterns and methods for overlay metrology |
US6856408B2 (en) | 2001-03-02 | 2005-02-15 | Accent Optical Technologies, Inc. | Line profile asymmetry measurement using scatterometry |
US6522406B1 (en) | 2001-04-20 | 2003-02-18 | Nanometrics Incorporated | Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer |
JP4348412B2 (ja) * | 2001-04-26 | 2009-10-21 | 東京エレクトロン株式会社 | 計測システムクラスター |
JP4342155B2 (ja) | 2001-05-23 | 2009-10-14 | エーエスエムエル ネザーランズ ビー.ブイ. | 位置決めマークを備えた基板、マスクを設計する方法、コンピュータ・プログラム、位置決めマークを露光するマスク、およびデバイス製造方法 |
US6730444B2 (en) * | 2001-06-05 | 2004-05-04 | Micron Technology, Inc. | Needle comb reticle pattern for critical dimension and registration measurements using a registration tool and methods for using same |
US20020192577A1 (en) | 2001-06-15 | 2002-12-19 | Bernard Fay | Automated overlay metrology system |
US6458605B1 (en) * | 2001-06-28 | 2002-10-01 | Advanced Micro Devices, Inc. | Method and apparatus for controlling photolithography overlay registration |
US6713753B1 (en) * | 2001-07-03 | 2004-03-30 | Nanometrics Incorporated | Combination of normal and oblique incidence polarimetry for the characterization of gratings |
DE10142316A1 (de) | 2001-08-30 | 2003-04-17 | Advanced Micro Devices Inc | Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler |
US7061615B1 (en) * | 2001-09-20 | 2006-06-13 | Nanometrics Incorporated | Spectroscopically measured overlay target |
US6867870B1 (en) | 2001-11-01 | 2005-03-15 | Therma-Wave, Inc. | Digital detector data communication in an optical metrology tool |
JP4938219B2 (ja) * | 2001-12-19 | 2012-05-23 | ケーエルエー−テンカー コーポレイション | 光学分光システムを使用するパラメトリック・プロフィーリング |
US6772084B2 (en) | 2002-01-31 | 2004-08-03 | Timbre Technologies, Inc. | Overlay measurements using periodic gratings |
US7373411B2 (en) * | 2002-02-01 | 2008-05-13 | Hewlett-Packard Development Company, L.P. | Configuration information generation system and method |
US6813034B2 (en) * | 2002-02-05 | 2004-11-02 | Therma-Wave, Inc. | Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements |
US7804994B2 (en) | 2002-02-15 | 2010-09-28 | Kla-Tencor Technologies Corporation | Overlay metrology and control method |
US6778275B2 (en) | 2002-02-20 | 2004-08-17 | Micron Technology, Inc. | Aberration mark and method for estimating overlay error and optical aberrations |
IL148566A (en) * | 2002-03-07 | 2007-06-17 | Nova Measuring Instr Ltd | Method and system for measuring overlap accuracy |
US7061627B2 (en) | 2002-03-13 | 2006-06-13 | Therma-Wave, Inc. | Optical scatterometry of asymmetric lines and structures |
US6949462B1 (en) * | 2002-04-04 | 2005-09-27 | Nanometrics Incorporated | Measuring an alignment target with multiple polarization states |
US6982793B1 (en) * | 2002-04-04 | 2006-01-03 | Nanometrics Incorporated | Method and apparatus for using an alignment target with designed in offset |
US7046361B1 (en) | 2002-04-04 | 2006-05-16 | Nanometrics Incorporated | Positioning two elements using an alignment target with a designed offset |
US6985229B2 (en) * | 2002-05-30 | 2006-01-10 | Agere Systems, Inc. | Overlay metrology using scatterometry profiling |
US7170604B2 (en) | 2002-07-03 | 2007-01-30 | Tokyo Electron Limited | Overlay metrology method and apparatus using more than one grating per measurement direction |
US7046376B2 (en) | 2002-07-05 | 2006-05-16 | Therma-Wave, Inc. | Overlay targets with isolated, critical-dimension features and apparatus to measure overlay |
US6919964B2 (en) | 2002-07-09 | 2005-07-19 | Therma-Wave, Inc. | CD metrology analysis using a finite difference method |
US20040066517A1 (en) * | 2002-09-05 | 2004-04-08 | Hsu-Ting Huang | Interferometry-based method and apparatus for overlay metrology |
EP1400855A3 (en) | 2002-09-20 | 2009-04-08 | ASML Netherlands B.V. | Device inspection |
US6992764B1 (en) * | 2002-09-30 | 2006-01-31 | Nanometrics Incorporated | Measuring an alignment target with a single polarization state |
US7193715B2 (en) * | 2002-11-14 | 2007-03-20 | Tokyo Electron Limited | Measurement of overlay using diffraction gratings when overlay exceeds the grating period |
US6815232B2 (en) * | 2002-11-26 | 2004-11-09 | Advanced Micro Devices, Inc. | Method and apparatus for overlay control using multiple targets |
EP1570232B1 (en) | 2002-12-05 | 2016-11-02 | KLA-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
US7352453B2 (en) * | 2003-01-17 | 2008-04-01 | Kla-Tencor Technologies Corporation | Method for process optimization and control by comparison between 2 or more measured scatterometry signals |
US6888632B2 (en) * | 2003-02-28 | 2005-05-03 | Therma-Wave, Inc. | Modulated scatterometry |
US7080330B1 (en) | 2003-03-05 | 2006-07-18 | Advanced Micro Devices, Inc. | Concurrent measurement of critical dimension and overlay in semiconductor manufacturing |
US7230703B2 (en) | 2003-07-17 | 2007-06-12 | Tokyo Electron Limited | Apparatus and method for measuring overlay by diffraction gratings |
US7061623B2 (en) * | 2003-08-25 | 2006-06-13 | Spectel Research Corporation | Interferometric back focal plane scatterometry with Koehler illumination |
WO2005069082A1 (en) * | 2003-12-19 | 2005-07-28 | International Business Machines Corporation | Differential critical dimension and overlay metrology apparatus and measurement method |
US7508976B1 (en) * | 2003-12-29 | 2009-03-24 | Nanometric Incorporated | Local process variation correction for overlay measurement |
US7065737B2 (en) * | 2004-03-01 | 2006-06-20 | Advanced Micro Devices, Inc | Multi-layer overlay measurement and correction technique for IC manufacturing |
WO2006023612A2 (en) * | 2004-08-19 | 2006-03-02 | Zetetic Institute | Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers |
-
2003
- 2003-12-05 US US10/729,838 patent/US7317531B2/en active Active
-
2004
- 2004-02-23 DE DE602004032117T patent/DE602004032117D1/de not_active Expired - Lifetime
- 2004-02-23 EP EP04713795A patent/EP1601931B1/en not_active Expired - Lifetime
- 2004-02-23 JP JP2006503834A patent/JP4789798B2/ja not_active Expired - Fee Related
- 2004-02-23 US US10/785,395 patent/US7298481B2/en active Active
- 2004-02-23 US US10/785,396 patent/US7385699B2/en active Active
- 2004-02-23 US US10/785,430 patent/US7242477B2/en active Active
- 2004-02-23 US US10/785,821 patent/US7301634B2/en active Active
- 2004-02-23 US US10/785,731 patent/US7379183B2/en active Active
- 2004-02-23 WO PCT/US2004/005419 patent/WO2004076963A2/en active Application Filing
- 2004-02-23 US US10/785,723 patent/US7280212B2/en active Active
- 2004-02-23 AT AT04713795T patent/ATE504862T1/de not_active IP Right Cessation
- 2004-02-23 US US10/785,732 patent/US7289213B2/en active Active
-
2007
- 2007-07-30 US US11/830,798 patent/US7433040B2/en not_active Expired - Lifetime
- 2007-10-29 US US11/926,603 patent/US7564557B2/en not_active Expired - Lifetime
- 2007-12-21 US US11/963,730 patent/US7663753B2/en not_active Expired - Lifetime
-
2009
- 2009-07-17 US US12/505,311 patent/US7876440B2/en not_active Expired - Lifetime
- 2009-12-18 US US12/641,663 patent/US7933016B2/en not_active Expired - Lifetime
-
2011
- 2011-05-02 JP JP2011103027A patent/JP4932949B2/ja not_active Expired - Lifetime
- 2011-11-09 JP JP2011245169A patent/JP5280507B2/ja not_active Expired - Lifetime
-
2012
- 2012-02-06 JP JP2012023385A patent/JP5469688B2/ja not_active Expired - Lifetime
-
2013
- 2013-11-14 JP JP2013235636A patent/JP5675936B2/ja not_active Expired - Lifetime
-
2014
- 2014-10-01 JP JP2014202945A patent/JP2015052602A/ja active Pending
-
2016
- 2016-03-01 JP JP2016038645A patent/JP2016106269A/ja active Pending
-
2017
- 2017-10-04 JP JP2017194325A patent/JP6553145B2/ja not_active Expired - Lifetime
-
2019
- 2019-03-11 JP JP2019043804A patent/JP6668533B2/ja not_active Expired - Lifetime
Patent Citations (21)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4408884A (en) * | 1981-06-29 | 1983-10-11 | Rca Corporation | Optical measurements of fine line parameters in integrated circuit processes |
JPS62190725A (ja) * | 1986-02-17 | 1987-08-20 | Tokyo Electron Ltd | 二重回折格子による位置合せ方法 |
JPS63260045A (ja) * | 1987-04-17 | 1988-10-27 | Hitachi Ltd | バ−ニアパタ−ン |
JPH02112709A (ja) * | 1988-10-21 | 1990-04-25 | Olympus Optical Co Ltd | 位置合せ方法および位置合せ装置 |
JPH033224A (ja) * | 1989-04-20 | 1991-01-09 | Asm Lithography Bv | マスクパターンを基板上に投影する装置 |
JPH0453220A (ja) * | 1990-06-20 | 1992-02-20 | Nikon Corp | 投影光学装置 |
US6023338A (en) * | 1996-07-12 | 2000-02-08 | Bareket; Noah | Overlay alignment measurement of wafers |
JPH11145047A (ja) * | 1997-11-14 | 1999-05-28 | Sony Corp | 電子線描画用精度測定方法 |
JP2001093822A (ja) * | 1999-09-24 | 2001-04-06 | Nikon Corp | マスクの位置合わせ方法およびそれを用いた露光装置 |
WO2002019415A1 (en) * | 2000-08-30 | 2002-03-07 | Kla-Tencor Corporation | Overlay marks, methods of overlay mark design and methods of overlay measurements |
WO2002018871A1 (en) * | 2000-09-01 | 2002-03-07 | Kla-Tencor Corporation | Improved overlay alignment measurement mark |
WO2002025723A2 (en) * | 2000-09-19 | 2002-03-28 | Nova Measuring Instruments Ltd. | Lateral shift measurement using an optical technique |
US20030190793A1 (en) * | 2000-09-19 | 2003-10-09 | Boaz Brill | Lateral shift measurement using an optical technique |
WO2002025708A2 (en) * | 2000-09-20 | 2002-03-28 | Kla-Tencor-Inc. | Methods and systems for semiconductor fabrication processes |
WO2002065545A2 (en) * | 2001-02-12 | 2002-08-22 | Sensys Instruments Corporation | Overlay alignment metrology using diffraction gratings |
US20020158193A1 (en) * | 2001-02-12 | 2002-10-31 | Abdurrahman Sezginer | Overlay alignment metrology using diffraction gratings |
WO2002084213A1 (en) * | 2001-04-10 | 2002-10-24 | Kla-Tencor Corporation | Periodic patterns and technique to control misalignment |
US20030002043A1 (en) * | 2001-04-10 | 2003-01-02 | Kla-Tencor Corporation | Periodic patterns and technique to control misalignment |
JP2004279405A (ja) * | 2002-09-20 | 2004-10-07 | Asml Netherlands Bv | デバイス検査 |
WO2004107415A1 (ja) * | 2003-05-28 | 2004-12-09 | Nikon Corporation | 位置情報計測方法及び装置、並びに露光方法及び装置 |
JP2008109104A (ja) * | 2006-09-25 | 2008-05-08 | Asml Netherlands Bv | 角度分解分光リソグラフィキャラクタライゼイション方法およびデバイス |
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6668533B2 (ja) | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 | |
US10451412B2 (en) | Apparatus and methods for detecting overlay errors using scatterometry | |
US9347879B2 (en) | Apparatus and methods for detecting overlay errors using scatterometry | |
JP4746987B2 (ja) | 散乱計測を用いてオーバレイ誤差を検出する装置および方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111208 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20111208 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130514 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130522 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 5280507 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |