JP2012032408A - 散乱計測を用いてオーバレイ誤差を検出する装置および方法 - Google Patents

散乱計測を用いてオーバレイ誤差を検出する装置および方法 Download PDF

Info

Publication number
JP2012032408A
JP2012032408A JP2011245169A JP2011245169A JP2012032408A JP 2012032408 A JP2012032408 A JP 2012032408A JP 2011245169 A JP2011245169 A JP 2011245169A JP 2011245169 A JP2011245169 A JP 2011245169A JP 2012032408 A JP2012032408 A JP 2012032408A
Authority
JP
Japan
Prior art keywords
overlay
target
scatterometry
layer
periodic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011245169A
Other languages
English (en)
Other versions
JP5280507B2 (ja
Inventor
Walter D Mieher
ミーハー・ウォルター・ディ.
Ady Lewi
レビー・アディ
Golovanevsky Boris
ゴロヴァネブスキイ・ボリス
Friedman Michael
フリードマン・マイケル
Smith Ian
スミス・イアン
Michael Adele
アデル・マイケル
Fabrikant Anatoly
ファブリカント・アナトリー
F Bevis Christopher
ベヴィス・クリストファー・エフ.
Fielden John
フィールデン・ジョン
Noah Beckett
ベアケット・ノア
P Gross Kenneth
グロス・ケネス・ピー.
Piotr Zariki
ザリッキ・ピオトル
Dang Whack
ワック・ダン
Dececco Paola
デセッコ・パオラ
Ginovker Mark
ギノブカー・マーク
Barouch Moshe
バルーシュ・モシェ
Knoll Noam
ノール・ノーム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of JP2012032408A publication Critical patent/JP2012032408A/ja
Application granted granted Critical
Publication of JP5280507B2 publication Critical patent/JP5280507B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7088Alignment mark detection, e.g. TTR, TTL, off-axis detection, array detector, video detection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7049Technique, e.g. interferometric
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7073Alignment marks and their environment
    • G03F9/7084Position of mark on substrate, i.e. position in (x, y, z) of mark, e.g. buried or resist covered mark, mark on rearside, at the substrate edge, in the circuit area, latent image mark, marks in plural levels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • G01N2021/213Spectrometric ellipsometry

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Or Analyzing Materials By The Use Of Ultrasonic Waves (AREA)
  • Measuring Arrangements Characterized By The Use Of Fluids (AREA)
  • Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)

Abstract

【課題】複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法を提供する。
【解決手段】試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、光学システムを用い、周期的ターゲットのそれぞれについて光学信号が計測される。第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて既定義されたオフセットに基づいて周期的ターゲットからの前記計測された光学信号を分析することによって第1および第2構造間のオーバレイ誤差が決定される。本光学システムは、反射計、偏光計、画像化、干渉計、および/または走査角システムのうちの任意の1つ以上を備える。
【選択図】図2(e)

Description

本発明は、単一または複数のレイヤ内に形成された構造間のオーバレイの決定に関する。より具体的にはそのような構造と相互作用する放射の回折に基づいてオーバレイを決定することに関する。
さまざまな製造および生産環境において、試料のさまざまなレイヤ間の、またはそのような試料の特定のレイヤ内でのアライメントを制御する要求がある。例えば半導体製造産業において、電子デバイスは、一連のレイヤを基板上に作ることによって生産されえ、このレイヤの一部または全てはさまざまな構造を含む。特定のレイヤ内における、および他のレイヤ内の構造に対しての、両方について、そのような構造の相対位置は、完成後の電子デバイスのパフォーマンスに関連するか、または重要ですらある。
そのような試料内の構造の相対位置は、オーバレイと呼ばれることもある。オーバレイを計測するさまざまな技術およびプロセスが開発され、成功の程度の差はあれ採用されてきた。最近では、オーバレイ計測のための基礎として放射散乱計測を利用することに対してさまざまな努力がなされている。
散乱計測測定値からオーバレイを決定するある既存のアプローチは、モデル形状プロファイル、オーバレイ、およびフィルムスタック、および材料光学特性(n,k分散曲線)に基づいて計測されたスペクトルを計算された理論的なスペクトルと比較すること、または較正ウェーハからのレファレンス信号と比較することに集中する。
既存のアプローチにはいくつかの付随する欠点がある。例えば、正確にオーバレイを決定するためには、比較的多くのパラメータがプロファイル、オーバレイ、およびフィルムモデリングに含まれなければならない。例えば、上部および下部レイヤプロファイルの両方に簡単な台形モデルを用いるいくつかのアプローチにおいては、含まれなければならないパターンパラメータの最小個数は、オーバレイを含んで7個である。もしフィルムの厚さのバラツキがモデル中に含まれるなら、パラメータの個数は対応して増える。多くのパラメータは、多くの処理リソースを要求しえ、対応するエラーを招きえ、結果を遅らせることによってスループットを減少させたり非効率さおよびコストを押し上げたりするかもしれない。例えば計測されたスペクトルを計算されたレファレンススペクトルと比較することは、ライブラリベースのアプローチが用いられようが、回帰アプローチが用いられようが、パラメータが多いほど時間が長くかかる。
散乱計測に基づくオーバレイの決定へのある種の既存のアプローチの他の欠点は、正確な理論上のスペクトルを決定し、計測されたスペクトルと比較するためには、フィルムスタック、フィルム材料、およびパターンエレメントプロファイルの詳細な知識が必要となりえることである。
散乱計測に基づくオーバレイの決定へのある種の既存のアプローチの他の欠点は、正確な理論上のスペクトルを決定し、計測されたスペクトルと比較するためには、散乱計測光学系の詳細な知識が必要となりえることである。
国際公開第02/065545号
したがって散乱計測に基づくオーバレイの決定への既存のアプローチの欠点を鑑みて、散乱計測に基づくオーバレイの決定のための改良されたシステムおよび方法に対するニーズが存在する。
したがって、改良された散乱計測オーバレイ技術を用いて試料の2つのレイヤ間のオーバレイ誤差を決定するためのメカニズムが提供される。ある実施形態において、散乱計測技術は、オーバレイを決定するリニアベースの技術を含み、これは較正データ(例えばモデルから、または較正テストウェーハから生成された)の利用なしに実行されえる。他の実現例において、散乱計測技術は、位相ベースのアプローチを用いて達成される。位相ベースのアプローチは、較正データを用いることなしに実施されえる。加えて、散乱計測技術(リニアまたは位相ベースの)を実現するいくつかの具体的な改良点が開示される。これらの改良された散乱計測技術の実現例は、任意のやり方で別個にまたは互いに統合して実行されえる。このようなオーバレイ誤差の使用も、散乱計測オーバレイ技術を用いてオーバレイを決定する新規なターゲットと共に、開示される。
ある実施形態において、複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法が開示される。画像化光学システムを用いて前記試料上の複数の周期的ターゲットから複数の計測光学信号を計測する。前記ターゲットはそれぞれ第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在する。前記画像化光学システムは、前記複数の計測光学信号について、0次回折オーダーだけ集められ、計測されるように選択された照射および/または集光開口数(NA)および/またはスペクトルバンドを有する。それから散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定する。
複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する他の方法実施形態において、第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有する複数の周期的ターゲットが提供され、前記第1および第2構造の間には既定義されたオフセットが存在する。この方法は、(a)複数の波長を有する光学入射ビームを発生する広帯域光源、前記入射ビームに応答して前記試料から計測信号を検出する検出器、および前記出力信号の特定の1つ以上の波長を前記検出器に選択的に通すフィルタを有する光学システムを用いて、少なくとも1つの放射ビームをそれぞれのターゲットに向けて導くことによって、複数の計測信号を前記周期的ターゲットから計測し、前記フィルタを調整することによって前記計測信号の特定の1つ以上の波長を前記フィルタを通して複数の濾過された信号のかたちで前記検出器へ渡すこと、および(b)散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定することを含む。
複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する他の方法実施形態において、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットのそれぞれについて、複数の入射角において複数の光学信号が計測される。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、較正動作を用いることなく、前記複数の入射角における前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差がそれから決定される。
さらに他の方法実施形態において、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットのそれぞれについて、第1光学信号が第1偏光計または第1反射計を用いて、および第2光学信号が第2偏光計または第2反射計を用いて、計測される。この実施形態においても前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記計測された第1および第2光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定される。
他の方法アプローチにおいて、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、干渉計を用いて広帯域光源の実質的に複数の波長が変調される。それから前記周期的ターゲットの1つ以上の画像が得られる。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記1つ以上の得られた画像を分析することによって前記第1および第2構造間のオーバレイ誤差が決定される。
他の散乱計測オーバレイ技術において、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、複数の設定においてチューニング可能なレーザを有する光学システムが用いられる。前記周期的ターゲットのそれぞれについて複数のチューニング可能なレーザ設定において複数の光学信号が計測される。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定される。
他の散乱計測オーバレイ実施形態において、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、前記試料から計測された光学信号を選択的に濾過する空間フィルタを有する光学システムが用いられ、前記周期的ターゲットのそれぞれから光学信号が計測され、前記計測された光学信号の少なくとも1つの少なくとも一部が空間的に濾過される。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定される。
他の散乱計測オーバレイ方法において、第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットが提供される。前記第1および第2構造の間には既定義されたオフセットが存在する。偏光変調器を有する偏光計を用いて前記周期的ターゲットから複数の計測信号が計測される。散乱計測オーバレイ技術を用いて前記周期的ターゲットの前記計測信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差が決定される。
他の実施形態において、複数のターゲット構成および/またはプロセス条件および/またはオーバレイ誤差について、モデルまたは較正されたデータを用いて前記複数のターゲット構成上の複数の理論上の散乱計測信号が発生される。前記複数の理論上の散乱計測信号およびそれに関連付けられたターゲット構成および/またはプロセス条件および/またはオーバレイ誤差が記憶される。前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の計測された周期的ターゲットのそれぞれについて、光学信号が計測される。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、較正動作を用いることなく、前記複数の入射角における前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定される。前記計測された散乱計測信号を前記記憶された計測された散乱計測信号と比較して、実質的に一致する理論上のオーバレイ値に基づいて、前記計測された周期的ターゲットの特性またはその計測された周期的ターゲットについてのプロセス条件が得られる。
ある散乱計測オーバレイ実施形態において、以下の装置のうちの任意の組み合わせが用いられて、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、光学システムを用いることによって前記周期的ターゲットのそれぞれからの光学信号が計測され、前記第1および第2構造の間には既定義されたオフセットが存在する。すなわち、画像化反射計、画像化分光反射計、偏光分光画像化反射計、走査反射計システム、並列データ獲得が可能な2つ以上の反射計を持つシステム、並列データ獲得が可能な2つ以上の分光反射計を持つシステム、並列データ獲得が可能な2つ以上の偏光分光反射計を持つシステム、ウェーハステージを移動させることなく、または任意の光学要素または反射計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光分光反射計を持つシステム、画像化分光計、波長フィルタを持つ画像化システム、ロングパス波長フィルタを持つ画像化システム、ショートパス波長フィルタを持つ画像化システム、波長フィルタを持たない画像化システム、干渉画像化システム、画像化偏光計、分光偏光計、音響弾性変調器を有するレーザ偏光計、画像化分光偏光計、走査偏光計システム、並列データ獲得が可能な2つ以上の偏光計を持つシステム、前記ウェーハステージを移動させることなく、または任意の光学要素または前記偏光計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光計を持つシステム、Michelson干渉計、およびMach-Zehnder干渉計、Sagnac干渉計、走査入射角システム、走査アジマス角システム、+/−1次差分反射計、+/−1次差分偏光反射計である。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差がそれから決定される。
他の実施形態において、分光偏光計が用いられて、前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、光学システムを用いることによって前記周期的ターゲットのそれぞれからの光学信号が計測される。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差がそれから決定される。他の局面においては、光学信号を計測するために代わりに音響弾性変調器を有するレーザ偏光計が用いられる。
さらに他の実現例において、光学システムを用いて前記試料上の複数の周期的ターゲットから複数の計測光学信号が計測される。前記周期的ターゲットはそれぞれ第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在する。前記周期的ターゲットは、同じxまたはy方向に配置される。散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差が決定される。
他の実現例において、オーバレイを決定する方法は、(a)光学システムを用いて、前記試料上の複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、前記複数の周期的ターゲットは、第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、前記光学信号は再フォーカシングすることなく、前記光学システムの同じフォーカス設定において計測される、および(b)散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定することを含む。他の実施形態において、計測された光学信号はそれぞれライン画像の形態であり、必ずしも同じフォーカス設定ではない。
散乱計測オーバレイ技術の他の実現例において、光学システムを用いて、前記試料上に第1レイヤから形成された第1構造および第2レイヤから形成された第2構造をそれぞれ有する複数の周期的ターゲットからの複数の計測された光学信号が計測される。それぞれのターゲットのそれぞれの第1および第2構造は、互いに対して既定義されたオフセットを有するよう設計される。散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測された光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間の第1オーバレイ誤差が決定される。モデルベースの技術が用いられて、前記周期的ターゲットの前記計測された光学信号を分析することによって、前記周期的ターゲットの前記第1および第2構造間の第2オーバレイ誤差が決定される。
他の実現例において、第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットを有する試料が提供される。前記第1および第2構造の間には既定義されたオフセットが存在する。散乱計測オーバレイ計測を用いて、前記試料上の前記第1ターゲットからの1つ以上の計測された光学信号に基づいて第1セットの前記周期的ターゲットから散乱計測オーバレイデータが得られる。画像化計測を用いて、前記試料上の前記第2ターゲットからの1つ以上の画像(群)に基づいて第2セットの前記周期的ターゲットから画像化オーバレイデータが得られる。
他の実施形態において、本発明は、インプリントリソグラフィマスクを半導体ウェーハとアラインさせる方法に関する。この方法は、(a)前記マスクの複数の周期的アライメントマークを前記ウェーハ上の複数のアライメントマークにアラインさせること、(b)光学システムを用いて、前記マスク上および前記ウェーハ上の複数の前記周期的アライメントマークから計測された複数の光学信号を計測することであって、前記周期的アライメントマークはそれぞれ、第1レイヤ内の第1構造および第2レイヤ内の第2構造を有し、前記第1および第2構造間には、既定義されたオフセットがあり、(c)散乱計測オーバレイ技術を用いて、前記周期的アライメントマークの前記計測された光学信号および前記周期的アライメントマークの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記マスクおよび前記ウェーハ間のオーバレイ誤差またはマスクレジストレーション誤差を決定すること、および(d)操作(a)から(c)を、前記オーバレイ誤差またはマスクレジストレーション誤差が所定のオフセットに等しいか、またはマスクレジストレーション値の所定の範囲内に入るまで反復することを含む。
他の実現例において、複合散乱計測マークが開示される。このマークは、CDまたはプロファイル情報を決定するために計測されえる散乱計測微小寸法(CD)またはプロファイルターゲット、および前記散乱計測CDまたはプロファイルターゲットにわたって配置された散乱計測オーバレイターゲットであって、前記散乱計測オーバレイターゲットは前記散乱計測CDまたはプロファイルターゲットと協働して、オーバレイを決定するために計測されえる散乱計測マークを形成する。他の局面において、本発明は、オーバレイを計測するよう構成された散乱計測オーバレイ計測システム、および微小寸法を計測するよう構成されたCD−SEMシステムを含む単一の計測ツールに関する。他の実施形態において、本発明は、統合された微小寸法およびオーバレイマークを作る方法に関する。試料の第1レイヤ内にCDターゲットが形成される。前記試料の第2レイヤ内にオーバレイターゲットを形成することであって、前記オーバレイターゲットは、前記CDターゲット上に形成されている。さらに他の実施形態において、本発明は、あるレイヤ内のCDおよび複数レイヤ試料内の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法に関する。この方法は、CDを決定するためにCDターゲット上で散乱計測を実行すること、およびオーバレイ誤差を決定するためにオーバレイマーク上で散乱計測を実行することであって、前記オーバレイマークは前記試料の第2レイヤ内に形成されたオーバレイターゲットおよび前記試料の前記第1レイヤ内に形成された前記CDターゲットを備え、前記オーバレイターゲットは前記CDターゲット上に配置される方法である。
さらに他の実現例において、オーバレイ誤差を決定するよう構成された散乱計測マークが開示される。このマークは、(a)第1および第2レイヤ上の構造をそれぞれ有する複数の周期的ターゲットであって、それぞれのターゲットからの複数の計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定されるように前記第1および第2構造間の既定義されたオフセットが存在し、(b)前記第1および第2レイヤの下にある第3レイヤ上に第3構造をそれぞれ有する複数のターゲットを含み、前記第3構造は前記第1および第2構造に垂直である。代替の実施形態において、第2周期的構造および第3周期的構造間のオーバレイを計測するためのターゲット構造が第1ライン格子の上に共に配置され、前記第1格子は第1方向に向き、前記第2および第3周期的構造は第2方向に向き、前記第2方向は前記第1方向と実質的に直交する。他の局面において、オーバレイ誤差を決定する方法は、上述のターゲット構造を散乱計測オーバレイ技術で計測することによって、前記第2および第3周期的構造の間のオーバレイ誤差を決定する。
本発明のこれらおよび他の特徴および利点は、本発明の以下の明細書および添付の図においてより詳細に示され、これらは本発明の原理を例示的に示す。
対応するレイヤ間パターン群(オーバレイターゲット)A、B、C、およびDのための本発明のある実施形態による設計されたオーバレイオフセットXa、Xb、Xc、およびXdの相対分布を示す図である。 本発明のある実施形態による、パターン付き下部レイヤL1から量+Fだけオフセットされているパターン付き上部レイヤL2の側面図である。 本発明のある実施形態による、パターン付き下部レイヤL1から量−Fだけオフセットされているパターン付き上部レイヤL2の側面図である。 本発明のある実施形態による、パターン付き下部レイヤL1から量+F+f0だけオフセットされているパターン付き上部レイヤL2の側面図である。 本発明のある実施形態による、パターン付き下部レイヤL1から量−F+f0だけオフセットされているパターン付き上部レイヤL2の側面図である。 本発明のある実施形態による、パターン付き下部レイヤL1から量+F+f0+Eだけオフセットされているパターン付き上部レイヤL2の側面図である。 本発明のある実施形態による、パターン付き下部レイヤL1から量−F+f0+Eだけオフセットされているパターン付き上部レイヤL2の側面図である。 本発明のある実施形態によるオーバレイを決定するプロシージャを示すフロー図である。 本発明の実施形態によるオーバレイを決定するアプローチの図式表現の図である。 従来顕微鏡画像化システムの概略図である。 本発明の第1実施形態による散乱特性のために最適化された開口数(NA)を有する顕微鏡画像化システムの概略図である。 本発明の第2実施形態による散乱特性のために最適化された開口数(NA)を有する顕微鏡画像化システムの概略図である。 本発明の第3実施形態による散乱特性のために最適化された開口数(NA)を有する顕微鏡画像化システムの概略図である。 本発明の第4実施形態による散乱特性のために最適化された開口数(NA)を有する顕微鏡画像化システムの概略図である。 本発明のある実施形態による画像化分光計、複数サイトの視野の例の上面図である。 本発明の第5実施形態による固定された離散チャネル光学システムの概略図である。 本発明のある実施形態による図5(f)の開口ミラーの概略図である。 開口要素を持つ複数のサイトの視野の例が分光計に送られる本発明のある実施形態による画像化分光計の上面図である。 本発明のある実施形態による1つ以上の波長範囲を選択するシステムの概略図である。 同時複数入射角偏光解析装置の概略図である。 本発明のある実施形態による分光散乱計システムの概略図である。 本発明の第1実施形態による、X方向またはY方向のいずれかに沿って実質的に同一直線上に配置された複数のターゲットを示し、この例ではターゲットの半分はオーバレイがx方向において計測されるよう配置され、ターゲットの半分はオーバレイがy方向において計測されるように配置される図である。 本発明の第2実施形態による、X方向に沿って同一直線上に配置された4つのターゲット、およびY方向に沿って同一直線上に配置された4つのターゲットを示す図である。 本発明のある実施形態による入射ラインを用いて散乱計測オーバレイ決定のための技術において用いられる例示的入射ラインおよび視野を示す図である。 第1の画像化および散乱計測ターゲットの組み合わせ実施形態の上面図である。 第2の画像化および散乱計測ターゲットの組み合わせ実施形態の上面図である。 第3の画像化および散乱計測ターゲットの組み合わせ実施形態の上面図である。 本発明の第1実施形態による複合形画像化および散乱計測システムを示す図である。 本発明の第2実施形態による複合形画像化および散乱計測システムを示す図である。 本発明の第3実施形態による複合形画像化および散乱計測システムを示す図である。 本発明のある実施形態による結合されたマークの図である。 本発明の実施形態による結合された計測ツールのバリエーションを示す図である。 本発明の実施形態による結合された計測ツールのバリエーションを示す図である。 本発明の実施形態による結合された計測ツールのバリエーションを示す図である。 本発明の実施形態による結合された計測ツールのバリエーションを示す図である。 本発明の実施形態による結合された計測ツールを用いたフロー図である。 本発明のある実施形態による下部ライン格子L0に垂直なL1およびL2ライン要素を持つオーバレイラインターゲットの透視概略図である。
本発明の具体的な実施形態が詳細に参照される。この実施形態の例は添付の図面に示される。本発明はこの具体的な実施形態について説明されるが、本発明を一つの実施形態に限定されるよう意図されてはいないことが理解されよう。むしろ添付の特許請求の範囲によって規定される本発明の精神および範囲内に含まれる代替物、改変物、および等価物をカバーするよう意図されている。以下の記載では多くの具体的な詳細が述べられているが、これは本発明の完全な理解を促すためである。本発明は、これら特定の詳細の一部または全てがなくても実施されえる。他の場合には、よく知られたプロセス操作は詳細には記載されていないが、これは本発明の趣旨を不必要にぼかさないためである。
一般に、本発明は、オーバレイを任意の個数の散乱計測オーバレイ(SCOL)ターゲットの散乱計測測定値に基づいて決定する技術を提供する。ここで「リニアアプローチ」と呼ばれる散乱計測技術のある実現例がまず記載される。「位相アプローチ」のような代替の散乱計測アプローチも記載される。最後に、多くの散乱計測ベースの改良もそれから記載される。これらの改良は主にリニア散乱計測アプローチに関して記載されるが、これら散乱計測の改良は、ここで記載される位相アプローチのような任意の他の適切な散乱計測技術またはアプローチを用いて実現されえる。さらに改良のある特定の組み合わせしかここでは併せて実現されると記載されていないが、ここで記載の任意の個数の改良は組み合わされ、共に実現されえる。
本発明のある局面は、半導体デバイスのような試料またはワークピース上に形成された4つ以上の散乱計測オーバレイターゲット(他の実施形態においては4つより多いかまたは少ないものも用いられえる)のセットを提供する。パターンは、「パターンまたは層間パターン」としても記述され、これら二つの語はたいていの場合は同義である。特定の実現例において、この試料は半導体デバイスの2つ以上のレイヤを有し、このターゲットは、デバイス中に設けられたさまざまな構造の位置付けの正確さの測定値を提供するのに利用される。一般に位置付け正確性は、半導体デバイスの2つの異なるレイヤ間のオーバレイ誤差の計測値によって特徴付けられる。より一般には、オーバレイ誤差は、異なるパターン露光ステップによって生成された2つの異なるパターン群間で計測されえる。
具体的な実施形態において、4つのターゲットのセットが提供され、それぞれのターゲットは、2セットの構造を、互いにオフセットされている2つの異なるレイヤ上に含む。具体的な実現例において、オフセットは、2つの別個の距離の和または差として定義されえる。すなわち、第1距離Fおよび第2距離f0で、Fがf0より大きい。4つのターゲットを「ターゲットA」、「ターゲットB」、「ターゲットC」および「ターゲットD」と記述すると、これらターゲットのそれぞれについての対応する所定のオフセットは特定のターゲット設計について以下のように定義されえる。
Xa=+F+f0(ターゲットAについて)、
Xb=−F+f0(ターゲットBについて)、
Xc=+F−f0(ターゲットCについて)、および
Xd=−F−f0(ターゲットDについて)。
XaからXdについてのこれらオフセットは、本発明の技術を実施してオーバレイを決定するための任意の適切な値でありえる。例えばXaおよびXbは、XcおよびXdとは異なるf0の値を持ちえる。
図1は、本発明の特定の実現例におけるx軸に沿ったオフセットXa、Xb、XcおよびXdの分布を示す。示されるようにオフセットXaおよびXcは共に正で、XaはXcより大きい。対照的にオフセットXbおよびXdは共に負で、XdはXbよりもより負である。オフセットは、第1構造の単位セルにおける位置から定義されえる。もし対称的な位置が単位セル内に存在するなら、オフセットを対称的な位置から定義するのが好ましい。代替としてオフセットは、第2構造の単位セル内の位置から定義されえるが、L1パターン(または第1露光パターン)の位置に対して計測されたL2パターン(または第2露光パターン)の位置として定義されているオーバレイ計測の慣習と一致するよう注意が払われなければならない。
ターゲットの個数およびそれらの対応するオフセットの大きさと符号は、任意の適切なやり方で選ばれることによって、本発明の技術がオーバレイ誤差を決定するために実施されえる。ターゲットの特定のセットおよびそれらの対応するオフセットは、図2(a)から2(f)に関連して以下に説明される。この技術を実施し、本発明のシステムを利用するのに用いられえるターゲットおよびオフセット値の多くの組み合わせが存在することが容易にわかるだろう。
図2(a)は、本発明のある実施形態による、パターン付きボトムレイヤL1から量Fだけオフセットされているパターン付きトップレイヤL2の側面図である。それぞれのレイヤL1およびL2は、構造群のセット(a set of structures)中にパターン付け(patterned)されている。構造は、ライン、トレンチ、またはコンタクトのような任意の適切なフィーチャを含みえる。構造は、半導体デバイスフィーチャと同様になるよう設計されえる。構造は、異なるフィーチャの組み合わせからも形成されえる。さらに構造は、例えば、試料のトップレイヤの上に、または試料の任意のレイヤの中に、または試料のレイヤの部分的に中にまたは完全に中に、のように、試料の任意のレイヤ上に位置付けられえる。図2(a)の示される実施形態において、レイヤL1は、完全な構造204a〜cを含み、一方、レイヤL2は完全な構造202a〜cを含む。散乱計測オーバレイターゲット構造の構築およびそれらの作製方法は、Abdulhalimらによる「PERIODIC PATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT」と題された2001年4月10日出願の米国特許出願第09/833,084号に記載されており、この出願はその全体がここで参照によって援用される。
示されるようにトップレイヤL2の構造は、量FだけボトムレイヤL1からオフセットされる。2つのオフセットレイヤの構造は、隣接するレイヤ内に位置しえ、2つのオフセットレイヤ間に配置された任意の適切な個数およびタイプのレイヤを有しえる。図2(a)はまた、パターン付きレイヤL1およびL2間の3つのフィルムT1、T2、およびT3およびそれらの対応する構造を示す。任意の他のレイヤが、この構造を有する2つのレイヤ間に存在する限り、これらの他のレイヤは、構造を有するレイヤ間で放射の伝搬を許すために、電磁放射について少なくとも最低限の透過の程度を示す。
図2(b)は、本発明のある実施形態による、パターン付きボトムレイヤL1から量−Fだけオフセットされているパターン付きトップレイヤL2の側面図である。図2(c)は、本発明のある実施形態による、パターン付きボトムレイヤL1から量+F+f0だけオフセットされているパターン付きトップレイヤL2の側面図である。図2(d)は、本発明のある実施形態による、パターン付きボトムレイヤL1から量−F+f0だけオフセットされているパターン付きトップレイヤL2の側面図である。図2(e)は、本発明のある実施形態による、パターン付きボトムレイヤL1から量+F+f0+Eだけオフセットされているパターン付きトップレイヤL2の側面図である。図2(f)は、本発明のある実施形態による、パターン付きボトムレイヤL1から量−F+f0+Eだけオフセットされているパターン付きトップレイヤL2の側面図である。
一般に、誤差オフセットEは、オフセットXaからXdのような2つのパターン付きレイヤ間でオフセットをそれぞれ有する少なくとも4つ以上のターゲットA、B、C、およびDから計測されたスペクトルを分析することによって決定されえる。この分析は、スペクトルのどれも既知またはレファレンススペクトルと比較することなく実行される。換言すれば本発明の誤差決定技術は、較正操作を必要としない。
図3(a)は、本発明のある実施形態によってオーバレイを決定するプロシージャ300を示すフロー図である。この例では、上述のようにオフセットXaからXdを有するように設計される4つのターゲットA、B、C、およびDが用いられる。すなわち、ターゲットAはオフセット+F+f0を持つように設計され、ターゲットBはオフセット−F+f0を持つように設計され、ターゲットCはオフセット+F−f0を持つように設計され、およびターゲットDはオフセット−F−f0を持つように設計される。
まず操作302aから302dにおいて、入射放射ビームが4つのターゲットA、B、C、およびDのそれぞれに向けて導かれ、4つのターゲットから4つのスペクトルSA、SB、SC、およびSDが計測される。操作302aから302dは、計測システムの能力に応じて順次に、または同時に実行されえる。入射ビームは、レーザ、発光ダイオード(LED)、または広帯域放射のような電磁放射の任意の適切な形態でありえる。
本発明の散乱計測技術は、複数のターゲットからの計測されたスペクトルを利用するとして記載されているが、オーバレイターゲットから得られた任意の適切なタイプの計測可能な信号が本発明の技術を実施するのに用いられえる。例示的信号は以下に限定されないが、任意のタイプの分光学的偏光計測または反射光計測信号を含み、これらはΨ、Δ、Rs(s偏光の複素反射率)、Rp(p偏光の複素反射率)、Rs(|rs2)、Rp(|rp2)、R(非偏光反射率)、α(分光学的偏光計測「アルファ」信号)、β(分光学的偏光計測「ベータ」信号)、およびtan(Ψ)、cos(Δ)、Rs、Rp、R、((Rs−Rp)/(Rs+Rp))などのようなこれらパラメータの関数を含む。これら信号は代替として、または追加で、入射角、検出角、偏光、入射のアジマス角、検出アジマス角、角分布、位相、または波長、またはこれらパラメータの1つより多いものの組み合わせの関数として計測されえる。信号は、上述の偏光計測および/または反射計測信号のタイプのうちの任意のものの複数の平均値のような、信号の組み合わせで特徴付けられる。信号は代替として、強度値(群)または強度値群の組み合わせ(例えば平均または和)のような、1つ以上の信号(群)の特徴を持つ形態を取りえる。他の実施形態は、単色またはレーザ光源を用いえ、その場合は信号の少なくとも1つは、複数の波長の代わりに単一の波長において獲得されえる。
オーバレイを決定するために散乱計測信号を計測する光学システムおよび方法の例は、(1)Lakkapragada, Sureshらによる「METHOD AND SYSTEMS FOR LITHOGRAPHY PROCESS CONTROL」と題された2001年5月4日出願の米国特許出願第09/849,622号、および(2)Abdulhalimらによる「PERIODIC PATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT」と題された2001年4月10日出願の米国特許出願第09/833,084号に見られる。これら出願はそれらの全体がここで参照によって援用される。オーバレイ誤差を決定する適切な計測システムのさらなる実施形態およびその使用がさらに以下に記載される。
それぞれのターゲットからスペクトルまたは信号が得られた後、スペクトルSB(−F+f0)はそれからスペクトルSA(+F+f0)から引かれ、スペクトルSD(−F−f0)はそれからスペクトルSC(+F−f0)から引かれて2つの差分スペクトルD1およびD2をそれぞれ操作304aおよび304bで形成する。次に操作306aおよび306bにおいてそれぞれ、差分スペクトル特性P1が差分スペクトルD1から得られ、差分スペクトル特性P2が差分スペクトルD2から得られる。差分スペクトル特性P1およびP2は、得られた差分スペクトルD1およびD2の任意の適切な特性から一般に得られる。差分スペクトル特性P1およびP2はまた、それぞれ、特定の波長におけるそれぞれの差分スペクトルD1またはD2上の単に点でありえる。他の例としては、差分スペクトル特性P1およびP2は、差分信号の平均の積分の結果でありえ、SEアルファ信号の平均と等しく、オーバレイに対する器具感度、ノイズまたは信号感度を表す重み付け平均に等しくありえる。
異なるスペクトル特性P1およびP2が得られた後で、操作308において直接、差分スペクトル特性P1およびP2からオーバレイ誤差Eがそれから計算されえる。ある実施形態において、異なるスペクトル特性P1およびP2に基づいて線形近似が実行されて、オーバレイ誤差Eが決定され、一方、他の技術においては異なるスペクトル特性P1およびP2は、オーバレイ誤差Eを決定するのにそれから用いられる、正弦波関数または他の周期関数を近似するのに用いられる。ある線形回帰技術が図3(b)について以下に示される。ある例では、オーバレイ結果は、複数の波長または複数の波長範囲の特性から得られるオーバレイ結果の統計的計算(例えば平均化または重み付け平均)によって得られうる。
この実現例の変化型において、もし全ての4つのターゲットが、オフセットを除いて、同じピッチP、薄膜特性、構造サイズおよび組成のような特性を有するなら、またXaおよびXbの符号が反対で、同じオーダーの大きさを有する(0.1<Xa/Xb<10)と仮定し、かつもし0.05<|Xa/P|<0.45および0.05<|Xb/P|<0.45、かつもしXaがXcと同じ符号で、XbがXdと同じ符号であるなら、層間ターゲット(interlayer targets)内の構造間に存在するオーバレイ誤差Eの推定値は、異なるスペクトル特性P1およびP2に基づく直線近似を用いて以下のように計算されえる。
Figure 2012032408
または
Figure 2012032408
であり、ここでオーバレイ誤差E<f0については、差分スペクトル特性P1およびP2は一般に符号が逆である。もし(Xa−Xb)=(Xc−Xd)およびE=0なら、P1=−1*P2である。
代替として、それぞれのターゲットオフセットXa、Xb、Xc、およびXdを設計するのに、もし同じ値がFおよびf0について用いられるなら、
Figure 2012032408
となる。
ターゲットは、少なくとも部分的に1つより多いレイヤ内に位置する構造のオーバレイを決定するために用いられえるが、実質的に単一のレイヤ内に位置する構造のオーバレイを決定ためにも用いられえる。
図3(b)は、本発明のある実施形態によってオーバレイ誤差Eを決定する直線的アプローチの図解表現である。示されるように、y軸の正の部分は、f0+Eの関数としての差分スペクトル特性P1における変化を示し、y軸の負の部分は、−f0+Eの関数としての差分スペクトルにおける変化を示す。上述のように、差分スペクトル特性P1およびP2は、差分スペクトルD1およびD2から得られる。
オーバレイ誤差Eは、2つの点(+f0+E,P1)および(−f0+E,P2)を分析することによって得られうる。オーバレイ誤差Eは、あるアプローチにおいては2つの得られた差分スペクトル特性P1およびP2について直線近似を実行することによって決定されえる。グラフ上にはEがゼロである2つの点があり、一方、グラフの他の部分はオーバレイ誤差Eおよびf0の関数であることに注意されたい。もしオフセットが直線領域にあるように注意深く選ばれるなら、グラフ(P1/(+f0+E))のグラフの正の部分の傾きは、グラフ(P2/(−f0+E))のグラフの負の部分の傾きと等しくならなければならない。よってオーバレイ誤差は、E=f0*(P1+P2)/(P1−P2)によって得られる。
本発明のある実現例によれば、もし大きさが同じで符号が反対のオフセット+Fおよび−Fを持ち、他のオーバレイ誤差を持たない2つのターゲットが存在するなら、0次の回折散乱計測SEまたは反射計測スペクトルは、これら2つのターゲットから実質的に同一(良好な近似)であり、+Fおよび−Fに対応するスペクトル間の差分信号はゼロである。もちろん差分信号の任意の特性もゼロである。もし追加のオフセット+f0を設計することによって意図的に対称性を破る(人工的にオーバレイ誤差を導く)なら、差分信号D1はもはやゼロではなく、任意の適切な差分スペクトル特性は、オーバレイ誤差Eと同じ関係に従う。同様にオーバレイターゲットの他のセットが追加オフセット−f0を持つように設計することもできる。よって、オーバレイ誤差は、差分信号D1(+F+f0,−F+f0)およびD2(+F−f0,−F−f0)の特性を用いて決定されえ、したがって別個の較正ステップは必要とされない。
オーバレイ誤差Eがスペクトル信号から計算されるとき、それは実際のオーバレイ誤差の推定値でありえることが理解されよう。この計算されたオーバレイ誤差Eは、オーバレイ誤差(E)、またはオーバレイ誤差の推定値(E’)と記される。
もし構造間のピッチが比較的大きいなら、上述の直線近似技術は一般にうまく機能する。しかしピッチが比較的小さいなら、オーバレイ計測の正確性を改善するために追加のターゲットが試料上に作製されえる。用いられるターゲットの個数および対応する散乱計測技術は、ターゲットの特定の材料、実現される散乱計測信号のタイプに他のファクタの中でも依存する。4つ以上のターゲットを用いるかどうかは実験的に、またはよく知られたモデリング方法によって決定されえる。ある実施形態において、2つの追加の層間ターゲット(ターゲット「H」および「J」と記される)が試料上に作製され、これらは対応するオフセットXhおよびXjを持つ。入射放射によって照射されると、ターゲットHおよびJは、対応する回折された要素を作り、これらは追加の差分信号D3および差分スペクトル特性P3の決定の基礎として機能しえる。この特性P3は、オーバレイEの決定を洗練させて、直線近似を用いることによって導入される誤差の非線形補正または計測を含ませるように、異なるスペクトル特性P1およびP2と関連して分析されえる。
あるターゲット実現例において、ターゲットA、B、C、およびDのそれぞれは、少なくとも部分的には第1レイヤ内に配置された間隔Ta1を持つ周期的構造を有する格子構造Ga1、および少なくとも部分的には第2レイヤ内に配置された間隔Ta2を持つ周期的構造を有する格子構造Ga2(例えば図2cまたは2dのターゲット)を備える。一般にターゲットは、多数回反復される特定のデバイスパターンのような任意の周期的構造でありえる。格子Ga1および/またはGa2の1つ以上は、デバイスのように(例えばデザインルールベースで)またはプロセスロバスト(例えば可変プロセス条件下でも低い変化率)で形成されえる。第1レイヤ間隔Ta1および第2レイヤ間隔Ta2は、同一でもよく異なってもよく(最も簡単な場合Ta1=n*Ta2またはTa2=n*Ta1であり、ここでnは整数である)、オフセットXa、Xb、Xc、およびXdは、格子構造Ga1の間隔Ta1を持つ構造を、格子構造Ga2の間隔Ta2の間隔を持つ構造について、第1距離Fおよび第2距離f0の和だけオフセットすることによってそれぞれ作られ、ここで第2距離f0は第1距離Fよりも小さい絶対値を有する。
他の実施形態において、Ga1およびGa2を備える複合周期的構造は間隔Taで周期的であり、Ga1およびGa2の両方を間隔Taによって記述することが可能であり、このときGa1はおそらくは複合構造(複数の要素を持つ複合単位セル)を有し、Ga2もおそらくは異なる複合構造(複数の要素を持つ複合単位セル)を有する。例えば、単位セルは、大きな平坦な領域に隣接する、近接して間隔の置かれたラインセグメントのセットを含みえる。この単位セルは、格子Ga1またはGa2のいずれかまたは両方を形成するように繰り返される。格子Ga1およびGa2は、同じまたは異なる単位セルを有しえる。さらにはGa1の単位セルは、格子Ga2の単位セルの有理数または整数の個数でありえ、その逆も成り立つ。格子Ga1および/またはGa2は、クリチカルデバイスフィーチャと同様に設計されえる。すなわちピッチ、線幅などのようなデバイス特性の1つ以上を共有しえる。デバイスフィーチャと同様に設計された散乱計測オーバレイターゲットは、デバイスフィーチャと同様に処理することによって、パターンー位置付け誤差のようなパターン依存のオーバレイ効果を反映することを含む利点を提供しえる。
上述の直線近似方法に対するある代替実施形態は、散乱計測オーバレイ信号を周期関数として扱い、位相検出方法を用いてオーバレイ誤差を決定することである(ここでは位相散乱計測アプローチと呼ばれる)。この実施形態は、散乱計測オーバレイターゲットピッチ、散乱計測オーバレイターゲット設計、散乱計測オーバレイ(SCOL)ターゲット材料、計測された散乱計測信号などを含みえる変数に依存して、ある条件下では好ましいかもしれない。
オーバレイ誤差は、プリプログラムされた追加ビルトインオーバレイオフセット(pre-programmed additional built-in overlay offsets)を持つ複数のSCOLターゲットを計測することから抽出されえる。(プリプログラムされたオフセットのある例は、図1において上述のXa、Xb、Xc、およびXdでありえる)。計測されるターゲットの個数は、2個、3個、4個、または4個より多くてもよく、または異なるオーバレイ計測位置間で変わりえる。位相方法については、周期をターゲットの個数で割ったものに対応する差で、オフセットが周期にわたって均一に分散されているのが有利でありえる(例えば、4ターゲットについて一方向にXa-Xc=Xc-Xb=Xb-Xd=Xd-Xa+P=P/4である)。代替としてオフセットは、周期にわたって不均一に分散されるよう設計されえ、これはある位相検出アルゴリズムと共に用いられるときには有利である。
散乱計測信号(例えば波長または入射角度の関数として)は、要求されるSCOLターゲットから得られる。この信号は、オフセットがL1またはL2パターンのうちの1つの対称的な位置から計測される場合については一般に周期的であり、オーバレイ誤差の偶関数である。位相検出(または位相読み出し、位相抽出、または位相決定)アルゴリズムはこれらの信号の特性を利用する。
計測された信号は、対応する個数の自由なパラメータを持つ(これら自由なパラメータのうちの1つはオーバレイ誤差そのものである)偶周期関数のセットによって表される。例えば、それぞれの計測された信号は、計測されるターゲットの個数と一貫した任意の項数を有するフーリエ級数展開によって表現されえる。項数は、計測されるターゲットの個数、散乱計測信号特性、ターゲット特性、および必要とされる情報に依存する。3つの項を有するフーリエ級数において、計測された信号は、
Figure 2012032408
によって表現されえ、ここでk、lは、第1高調波の振幅であり、mは第2高調波の振幅であり、Viは予め決められたオフセットを表し、Pは周期であり、Eはオーバレイ誤差である。計測されるターゲットの個数は、選ばれた関数中の自由な未知のパラメータの累積個数以上である。上の3つの項の例では、4つの未知数がある。すなわち、k、l、m、およびEであり、周期は360度つまり2πラジアンである。したがってオーバレイ誤差Eを含む4つの未知数についての解くために4つのターゲットが用いられえる。
いくつかの(2つ以上の)散乱計測オーバレイ(SCOL)ターゲット(異なるプリプログラムされたオフセットを持つ)が、互いの直近(例えば250ミクロン内に)に置かれるとき、オーバレイ誤差は、全てのこれらターゲットについて同じであると推定されえる。他の自由パラメータのそれぞれは、あるSCOLターゲット位置から別のものへと変わるとき(フィールド内で、および/またはウェーハにわたって)変わってもよく、変わらなくてもよい。(オーバレイは、異なるオーバレイ計測位置間で変わると推定される)。代替として、これら自由パラメータ(またはそれらの一部)は、X−およびY−SCOLターゲット方向間で変わってもよく、変わらなくてもよい。要求される情報、要求される計測正確性、およびいくつかの自由パラメータが位置ごとに、および/またはX−およびY−方向間で変わらないかに基づいて、オーバレイ計測位置あたりのSCOLターゲットの総個数、およびフィールドあたり、および/またはウェーハあたりに計測されるべきSCOLターゲットの総個数が決定される。
複数のターゲットからの散乱計測信号からオーバレイ誤差を決定する位相アルゴリズムアプローチの例は、散乱計測信号のオーバレイ誤差に対する従属性を周期関数として扱うことである。この場合、複数のターゲットのプログラムされたオフセットは、初期位相オフセットとして扱われ、オーバレイ誤差は、追加位相として扱われる。オーバレイ誤差は、それからよく知られる位相決定または位相回復方法を用いて決定されえる。直交、3バケット、および4バケット位相回復アルゴリズムを含みえるよく知られる位相回復方法が、オーバレイ誤差を決定するのに用いられえる。これら位相回復方法は、例として挙げられるだけで、本発明の範囲を限定するように意図はされない。位相検出方法は、よく知られており、2、3の例を挙げれば、通信、干渉法、核磁気共鳴、電子回路のようなさまざまな領域で広く用いられる。他の実施形態において、線形、非線型、および位相回復アルゴリズムの組み合わせがオーバレイ誤差を決定するために採用されえる。
上述の技術の実現については、ある種の条件が好ましくは満たされる。計測領域は、オフセット、例えば、+F+f0、−F+f0、+F−f0、および−F−f0である点を除いて、全ての局面において実質的に同一である。これはターゲットを互いの約100ミクロン以下の中に配置し、比較的プロセスにロバストな(すなわちそれらはデバイスフィーチャとしてプロセスのバラツキに対して同様の、またはより敏感ではない)ターゲットを選ぶことによっておそらくは達成される。実際には、製造ウェーハ上では、もし下部パターンレイヤ(群)および上部レイヤからのトポグラフィが、このトポグラフィと相互作用するのに応答して変化するなら、プロファイルは、異なるオフセットについて同一のものから偏位しえる。異なるオフセットを持つ2つのターゲット間の差分または誤差信号は、プロファイルが異なるターゲットに共通である限り、オーバレイターゲットセグメントのプロファイルのバラツキ、およびフィルムのバラツキに比較的、独立である。これは、プロファイルおよびフィルムおよび光学系によって決定される信号の一部のコモンモード除去と実質的に等価である。この技術はまた、典型的な製造プロセスで遭遇する、ある幅のプロセスバラツキに対して好ましくはロバストである。オーバレイ誤差による信号差も、近接した散乱計測オーバレイターゲット間の他のプロセスバラツキ(マスク誤差を含む)の原因による信号差よりも好ましくは大きい。
特定の実現例においてもしターゲットがラインの特性を示すようグループ化された構造を含むなら、ターゲット群の別個のセットがXおよびYオーバレイ計測について必要とされる。もしオーバレイターゲットが2次元構造から構成されるなら(上から下を見た図で見られるように)、XおよびYオーバレイ情報の両方を得るためにターゲット群の1つのセットを用いることが可能かもしれない。斜散乱計測(oblique scatterometry)について、具体的な実現例によれば、異なるXおよびYオーバレイ誤差を計測するために、ウェーハの向きを光学散乱平面について回転させることが有利でありえる。真の直入射については、ウェーハまたは光学系を回転させることなく、異なる偏波からXおよびYオーバレイ情報を得ることが可能でありえる。
デカルト座標系は、試料内でオーバレイを計測するのに便利な座標系を提供し、x−y平面は試料のレイヤ内、または実質的にそれに平行に位置し、z軸が試料のレイヤに実質的に垂直に位置する。デカルト座標系は、試料に対して固定されえ、または計測の複雑さを減らすために回転されえる。例えば、試料にわたって対角に起こるが、単一のレイヤ内で起こるオーバレイは、2次元x−yオーバレイとしてデカルト座標系で記述されえ、x−y軸は実質的に長方形の試料またはステッパフィールドの辺に平行である。しかし、この同じ対角オーバレイは、x−y軸を回転させて、x軸が対角のオーバレイの方向と平行であるようにすることによって、単一の軸に沿って計測されえる。
ある実施形態において、ターゲットは、おそらくは2つのレイヤ内に位置する構造の異なるセットの間に、またはおそらくは2つより多いレイヤ内に位置する構造の異なるセット間に、1より多い予め定義されたオフセットを含む。一般の場合、ターゲットは、無限個のレイヤを含みえ、これらレイヤの全てまたは一部は予め定義されたオフセットを作る構造を有する。具体的な実施形態において、ターゲットの1つ以上の下位(underlying)パターン付きレイヤ内の構造は、1つ以上の上部レイヤ(下位パターン付きレイヤ(群)の上に配置される)の形状またはトポグラフィに変化を生む。この実現例において、1つ以上の上部レイヤは、実質的にまたは部分的に不透明または吸収的であり、回折信号の少なくとも一部は、上部レイヤのトポグラフィから起こりえ、このトポグラフィは少なくとも部分的には下位パターン付きレイヤから起こる。
ある実施形態によれば、ターゲット内に含まれる構造は、例えば、ライン、グリッド、長方形、正方形、曲線、曲線のある形状、円形、円筒状形状、円錐形状、または前述のものの組み合わせを含む、さまざまな構成および形状で整理されえる。構造のこのような構成は、ターゲット内のさまざまな位置に配置されえ、ターゲットに入射する電磁放射入射に対してさまざまな角度を記述しえる。例えば、構造のセットは、ターゲット上に入射する放射線の平行にされたセット、またはビームの伝搬方向に垂直な平行なラインのセットとして整理されえる。他の場合においては、平行なラインのセットとして整理される構造は、入射放射に対して鋭角に、おそらくは45度に、配置されえる。このような構成は、xおよびy方向の両方においてオーバレイの決定を促進し、それによって追加のオーバレイパターンまたは計測値に対する必要を減らすことによって有利となりえる。
代替として、入射放射は、構造を含む、または構造を規定する平行線のうちの少なくとも一部に実質的に平行に向けられてもよい。この技術は、xおよびyオーバレイ計測を試料を回転せずに行うことを可能にする。
1.散乱計測システムの実施形態およびその使用
本発明のいくつかの技術は、ソフトウェアおよび/またはハードウェアシステムの任意の適切な組み合わせを用いて実現されえる。例えばこの技術は、オーバレイ計測ツール内で実現されえる。好ましくはそのような計測ツールは、本発明の操作の多くを実現するコンピュータシステムと統合される。そのような複合システムは好ましくは、オーバレイターゲットの散乱計測信号を得る散乱計測モジュール、および得られた散乱計測信号を分析することによってそのようなターゲット内でのオーバレイ誤差を決定するプロセッサを少なくとも含む。最低限、散乱計測モジュールは、(i)試料の特定された位置上に放射を導くよう方向付けられた照射源、および(ii)その試料によって散乱された散乱計測信号を検出するよう方向付けられた1つ以上の検出器をふつう含む。
本発明の技術の少なくとも一部は、また、オーバレイ計測システムにおいて、従来のボックスインボックスまたはフレームインフレームオーバレイターゲットまたは他の画像化タイプのオーバレイ計測構造について用いられるもののような画像分析に基づくオーバレイ計測システムまたはサブシステムを補足する追加オーバレイ計測機能として実現されえる。画像化ベースのオーバレイ計測および散乱計測ベースのオーバレイを結合する装置の例は、上で参照された仮出願第60/498,524号に記載され、これはここで参照によって援用される。そのような組み合わせシステムのいくつかの実施形態は図11dから11fについてさらに記載される。画像化オーバレイ計測および散乱計測オーバレイ計測からのオーバレイデータは、オーバレイ補正値を計算すること、他のオーバレイ補正値を計算すること、オーバレイ誤差をウェーハの他の位置において計算することを含むさまざまな使用のために結合されえる。画像化オーバレイ計測および散乱計測オーバレイ計測の組み合わせのさらなる使用例は上で参照された仮出願第60/498,524号に記載される。
システムの構成に関係なく、データ、汎用検査操作のためのプログラム命令および/またはここで記載の本発明の技術を記憶するよう構成される1つ以上のメモリまたはメモリモジュールを採用しえる。プログラム命令は、オペレーティングシステムおよび/または1つ以上のアプリケーションの動作を制御しえる。またメモリまたはメモリ群は、ターゲットおよびオーバレイ誤差結果から得られる散乱計測データ、およびオプションとして他のオーバレイ計測データを記憶するよう構成されえる。
このような情報およびプログラム命令は、ここで記載されるシステム/方法を実現するために採用されえるので、本発明の実施形態は、ここで記載されるさまざまな操作を実行するプログラム命令、状態情報などを含む機械で読み取り可能な媒体に関する。以下に限定されないが機械で読み取り可能な媒体の例は、ハードディスク、フレキシブルディスク、および磁気テープのような磁気媒体、CD−ROMのような光媒体、フロプティカルディスクのような光磁気媒体、読み出し専用メモリ(ROM)およびランダムアクセスメモリ(RAM)のようなプログラム命令を記憶し実行するために特別に構成されたハードウェアデバイスを含む。また本発明は、空間波、光学ライン、電気ラインなどのような適切な媒体上を伝搬する搬送波中でも実現されえる。プログラム命令の例は、コンパイラによって生成されるような機械語、およびインタープリタを用いてコンピュータによって実行されえるより高いレベルのコードを含むファイルの両方を含む。
以下に記載されるシステム実施形態のいくつかは、複数のターゲットからスペクトル(または他の計測可能な信号群)を得る散乱計測モジュールまたは要素について主に記載され図示されるが、プロセッサおよびメモリは示されない。さらに、システムのいくつかは、ここで上述のリニア散乱計測アプローチについて記載される。もちろん位相アプローチのような適切な散乱計測アプローチが利用されえる。
散乱構造の計測について開口数が最適化される画像化計測システム
図4は、顕微鏡画像化システムの概略図である。示されるように画像化システム400は、電磁放射の入射ビーム403を作るビーム発生器402、入射ビーム405を試料408に導くビームスプリッタ404を含む。典型的には、入射ビームは、対物レンズ406によって試料上にフォーカシングされる。出力ビーム409は、入射ビームに応答してそれから試料から放出され、ビームスプリッタ404を通り、リレーレンズ410を通してイメージャまたはカメラ412に伝わる。カメラ412は、出力ビーム409に基づいて試料の画像を発生する。
システム400はまた、ビーム発生器402、対物レンズ406、およびカメラ412のようなさまざまな要素を制御するよう構成されるプロセッサおよび1つ以上のメモリ414を含む。プロセッサおよびメモリはまた、上述のさまざまな散乱計測技術を用いて検出された出力ビームまたは画像を分析するよう構成される。
従来はこのような画像化システム(オーバレイのために用いられるもののような)は、画像解像度を最適化し、光学収差を最小化するために、選択された開口数(NA)を有する(例えば対物レンズを介して)。NAの選択は、画像の幾何学的特性から単一のターゲット(ボックスインボックスターゲットのような)にわたっての強度の変動からオーバレイ情報を導出するために典型的には実行される。
従来の画像化システムは、0.7から0.9のような高開口数(NA)に頼ってきたが、そうすることは、振動、焦点深度、および光学収差に敏感な高価な光学系システムにつながる。これら問題は、達成可能な正確性を減らし、「ツール誘発シフト」つまりTISとして呼ばれる計測誤差を生じる。
散乱計測システムは、xおよびyオーバレイの両方を計測するために、かつ膜厚のような他の試料パラメータにおけるバラツキによる効果をなくすために、複数のサイトで計測値を順次とりえる。この種の計測プロセスは、従来のオーバレイ技術に比べて散乱計測ツールの動作を大きく遅くすることにつながる。
本発明のある実施形態において、画像化光学システムの照射および画像化NAは、ゼロ次の回折次数だけが集められることを確実にすることによって、散乱構造に対する機械のパフォーマンスを最適化するように選ばれる。ゼロ次の回折だけが検出システムによって集められるときには、周期的構造に関するある種の計測法または検査タスクについてはパフォーマンスの優位性が存在するという事実を利用しえる。この条件下では、鏡面反射だけが集められる。鏡面からはずれて散乱される出力は集められず、非鏡面出力は収差により敏感でありえるので、鏡面出力だけを集めることは、光学収差によって生じる効果を最小化する傾向にある。この条件はまた、以下でさらに詳述される視野内の複数のサイトの相対測光計測のために最適化されるツールにつながる。従来の画像化システムに比較して、非常に低いTISが達成されえる。従来の散乱計測システムによるよりも、より高いスループットも達成されえる。
特定の画像化システムのための照射および画像化NAを選ぶことは、そのようなシステムの特定の構成に基づく。もし照射および集光の開口数NAが同じで、入射ビームが試料表面の法線方向である最も簡単な画像化システムを考えるなら、「ゼロ次の回折だけ」という条件は、
Figure 2012032408
なら満たされえ、ここでdは、画像化されるターゲットの構造のピッチである。これは、画像化システムの照射NAiの開口数および集光NAcの開口数によって以下のように書き直せる。
Figure 2012032408
この方程式は、もし照射システムの開口数を制約できるなら、集光光学系の開口数についての制約を緩和しえることを表し、これはある条件下では有利でありえる。よってスペクトル範囲は、ピッチおよびNAの積の2倍より大きい波長に制限されえる。現実的な条件下では、散乱された放射ビームは、照射ビームよりも広い(より発散している)。しかし現実的な条件下では、無限に周期的な格子は画像化されず、よって上の方程式は近似となり、回折された平面波はいくらか発散したものとなる。よって制約条件に安全マージンを含めるのが好ましく、以下が必要となりえる。
Figure 2012032408
例としてNA0.4の画像化システムについて、波長は、最も大きいピッチの0.8倍より大きい値に制限されえ、これは妥当ではない制約ではないだろう。デザインルール70nm以下のフィーチャを有する周期的構造について、200nmまで低いピッチを持つ最も密な構造は、約200nm以上の動作波長を持つ画像化システムのスペクトル範囲を制約せず、一方、500nmほど大きいピッチを持つより疎らなフィーチャは好ましくは400nmより大きい波長で計測される。
計測および検査の応用例のための画像化分光計を設計するときは、これら制約条件を満たすことが好ましい。画像化システムの空間解像度の限界はシステムの開口数である。計測構造のサイズを最小限まで小さくし、貴重なウェーハの面積を節約できるようにするために最高の空間解像度を達成することが有利である。換言すればこれは、画像化分光計の視野内の隣接フィーチャ間での近接効果つまり「クロストーク」の最小化を可能にする。したがって最高の可能なNAが達成されつつ、一方で、ゼロ次回折が検出システムによって集められるという制約を満たす。
この制約の他の興味深い結果は、最高の可能なオーバレイ空間解像度は、試験下のフィーチャを分解することなく達成されえるということである。これは、問題になるエイリアシング現象が画像化システム内で避けられることを確実にしなければならないので、さらなる優位性を有しえる。好ましい実施形態において、試験下のフィーチャ中の最大ピッチに基づいて計測システムまたはアルゴリズムによってスペクトルバンドパスが容易に変更または選択されえるアーキテクチャが提供される(後で詳述される図5Aから5Dにおけるシステムのような)。代替として、照射または集光のいずれかのNAが、試験下のフィーチャ中の最大ピッチに依存して容易に変更されえる。代替として、これら実施形態の全ては、単一のシステム内で実現されえる。
図5Aから5Eは、散乱特性に最適化された開口数(NA)を有する顕微鏡画像化システムの4つの実施形態を示す。図5Aに示されるように、システム500は、図4のシステムの同じように名前が付けられた要素と同様に動作する要素を有しえる。システム500は、特定の波長を選択する波長選択装置520を含む。波長選択装置は、照射する放射のうちの一部または部分を選択的に伝達することによって、または選択的に反射することによってスペクトルバンドの変更を可能にする。スペクトルバンドを変更するためによく知られた顕微鏡フィルタリング技術のさまざまなものが採用されえ、これには、いくつか例を挙げると、バンドパス干渉フィルタのセットから選択すること、連続的にバンドパス干渉フィルタを変化させること、格子ベースの分光計、音響光学波長可変フィルタが含まれる。波長選択装置520は、入射ビームパス内に配置される。システム500はまた、入射ビームが特定の偏光状態にあるようにする偏光子制御装置522、および集められたビームの偏光成分を分析または分離する偏光分析器524を含みえる。
図5Bのシステム530は、波長変調デバイス532が波長選択デバイスの代わりに用いられることを除いては図5Aのシステム500と同様である。図5Cのシステム540は、波長選択デバイス542が出力ビームパス内に配置されることを除いては図5Aのシステム500と同様である。図5Dのシステム550は、波長変調デバイス532が波長選択デバイスの代わりに用いられることを除いては図5Cのシステム500と同様である。波長変調デバイスは、異なる正弦周波数のような異なる時間的パターンにおける異なる波長の強度を変調することによって動作する。そのようなデバイスの最もよくある例は、波長変調デバイス532それ自身(例えば、Michelson、 Fabry-Perot、またはSagnac干渉計のような干渉計システム)の中の1つ以上の光路長を変化させることによって制御されえる干渉計である。スペクトル情報は、例えばフーリエ変換またはアダマール変換のような変換分析を用いて、結果として生じる信号から導き出されえる。
図5Eは、本発明のある実施形態によるマルチサイト視野の例による画像化分光計の上面図である。ある実施形態において、それぞれのドット付きボックス(dotted box)中の画素からのスペクトルは、平均されて4つの計測ターゲットのそれぞれについてのスペクトルを作る。代替としてそれぞれのドット付きボックスの中心領域中に位置する画素からのスペクトルが合わせて平均される。図示されるターゲット中のラインのサイズおよび間隔は強調するために誇張されている。ターゲットの少なくとも一部について、レイヤ2のラインがレイヤ1のライン上に配置される領域が存在する。この領域の信号は、散乱計測オーバレイ信号として検出される。ターゲットの他の例は図11aに示される。
図5(f)は、本発明の第5実施形態による固定された、離散チャネル光学システムの概略図である。この実施形態において、システムは、分光開口562を有するミラーを含む。すなわち、試料からの光を特定の空間的部分へと通す複数の開口を除いて、ミラーが反射される。図5(g)は、本発明のある実施形態による図5(f)の開口ミラーの概略図である。示されるように、ミラー574は、4つのエッチングされた開口574を含む。開口574は、鏡面反射基板572内でエッチングされている。ある実現例において、それぞれのターゲットのそれぞれの中心部分に対応する光は、ミラーを通って別個の検出器群、例えば分光計へのファイバピックオフへ伝わる。ターゲット画像の残りの部分は、それぞれのターゲットについて中央画像部分を除いて、ミラー562によってカメラへと反射される。図5(h)は、本発明のある実施形態による、欠けた開口要素を持つ複数のサイト視野(分光計へと送られる)の例を示す画像化分光計の上面図である。示されるようにターゲットのカメラ画像は、信号がカメラの代わりに分光計に送られる欠けた部分582を含む。
上述のシステムのNAは、適切な方法でゼロ次の回折次数が集められることを確実にするよう選択されえる。ある提案される動作実施形態においては、
1.異なる特性の2つ以上のサイトが画像化システムの視野内に配置される。
2.1つ以上のスペクトル範囲にわたって画像がキャプチャされる。
3.その視野内のそれぞれの計測サイトについて、そのサイト内にあると決定された全てまたは一部の画素が加算され、または結合されて、そのスペクトル範囲における、そのサイトの測光特性を特徴付ける。
4.ステップ3がそれぞれのスペクトル範囲について反復される。
5.その試料の特性を決定するために、それぞれのスペクトル範囲にわたる、それぞれのサイトについての結果が処理される。例えば、上述のスペクトル分析技術(すなわちF+f0)は、それぞれのターゲットについて得られたスペクトルに対して用いられる。
6.ウェーハにわたって所望の複数の計測サイトについて、ステップ1から5が反復される。
この例示的技術は、異なるスペクトル領域にわたって画像群を順次キャプチャすることを記述するが、これは、波長依存のビームスプリッタ、フィルタ、および/またはミラーのシステムを用いて同時に達成されえる。代替として同じことは、異なる光路差において複数の画像をキャプチャするSagnac干渉計のようなデバイスを用いて実現されえ、これら画像は異なるスペクトル範囲にわたって取られた画像群と等価な情報を導き出すのに用いられる。
フィルタを用いる散乱オーバレイ
従来の画像化オーバレイツールは、高倍率および狭視野を有している。総パターニング欠陥(gross patterning defects)のための検査は、顕微鏡で手動で、または別個のマクロ検査ツールで自動でのいずれかでなされる。低倍率オーバレイツールは残念ながら複数のステップまたはツールを必要とし、そのうちのいくつかは手動である。
ある実施形態において、1つ以上の波長範囲を選択するメカニズムを持つ低倍率顕微鏡が提供される。また、このツールは好ましくは、フィルタ群を持つ1つ以上の広帯域光源(broadband sources)を用いるか、複数の光源が異なる波長範囲をカバーするか、可変フィルタを持つなどする。図6は、本発明のある実施形態による1つ以上の波長範囲を選択するシステム600の概略図である。示されるようにシステム600は、試料606に向けて複数波長入射光ビーム604を発生する広帯域光源602を含む。複数波長出力ビーム608は、入射ビーム604に応答して試料606から散乱される。システム600は、波長に基づいて出力ビーム611の一部をカメラ612に選択的に透過させるフィルタ610も含む。ある実現例において、フィルタは、赤、緑、青、または黄のような特定の色を透過するよう構成される。カメラは、フィルタリングされた出力ビーム611に基づいて画像を生成するよう動作可能である。
オーバレイの計測値は、ターゲットセット内の1つ以上のターゲットが顕微鏡の視野内にある、試料上の位置へ移動することによって測られる。画像が獲得され、それぞれの個別のターゲットを含む、画像中の一部または全ての画素からの強度は、平均化または加算されて、フィルタの特定の設定におけるそのターゲットについての強度値を与える。ある実施形態において、フィルタは、ターゲット間で最大の差を与えるように調整される。これは、レファレンス表面について加算された画素の数へと後で正規化されえ、または視野内の照射均一性のマップによって補正されえる。それから試料または光学系は、ターゲットセット内の必要なターゲットの全てが計測されるまで移動されえる。リニアアプローチのような上述の上に散乱計測技術の任意のものにおける強度値を用いて、オーバレイ値がそれから決定され例えば以下のようになる。
Figure 2012032408
このプロセスは、正確さ、精度、およびロバスト性を改善するために複数の波長範囲にわたって反復されえ、ここで最もよいコントラストを生む波長が散乱分析のために用いられる。
典型的な画像化オーバレイツールに比較して倍率が低く視野が大きいので、また試料の当該領域の画像が集められるので、従来の反射率計または偏光解析装置とは異なり、画像の分析は、画像を分析することによって処理の問題のうち他のタイプのものを検出するのにも用いられえる。例えばもし誤ったレチクルが1つ以上の処理ステップについて用いられたなら、画像は大きく異なるだろう。もしレジストの厚さが正しくなかったなら、画像の明るさまたはコントラストは影響されるだろう。もしレジストストリーキング(resist streaking)が存在したなら、明るさまたはコントラストのバラツキがその画像にわたって検出されえる。CMP(化学機械研磨)プロセスにおいて、オーバポリッシュ、アンダーポリッシュなどのような処理誤差が同様に検出されえる。
この実施形態において、複数の散乱計測ターゲットが同時に計測されえ、これにより計測速度が増す。加えて、オーバレイ以外の処理条件における処理誤差または変化が、別個の検査ツールの必要なしに検出されえる。
同時マルチアングル散乱計測
散乱計測の計測値を得る技術は、シータまたは2シータアプローチを含みえ、ここで格子または他の繰り返し構造からの散乱強度は、複数の順次計測を行うことによって、複数の角度において計測される。試料がシータの角度で回転するとき、検出器は一般に2シータ回転される。代替として入射ビームおよび検出器システムの角度は同時に変化されえる。2シータアプローチの使用は非常に遅いが、これは複数の計測値が典型的には作られるからである。入射システムの走査アングルのようなマルチアングル走査散乱計測の使用は、角度の精密な範囲にわたって正確に走査できる機構を必要とする。
本発明の具体的な実施形態において、同時複数角散乱計測(simultaneous, multi-angle scatterometry)のための技術および装置が提供される。2シータアプローチと異なり、計測値は、散乱強度が同時に多くの角度について決定されることを可能にする装置によって作られる。この技術は2シータアプローチよりもずっと速い。
このアプローチを実現するために、Spanierによる米国特許第5,166,752号に示されるようなオプションの装置が用いられえる。この特許は、その全体がここで参照によって援用される。この特許において、マルチアングルの偏光解析装置が、Spanierによる特許の例えば図3および4に示される。図7は、入射偏光解析装置700の同時複数角の概略図である。示されるように偏光解析装置は、偏光された光を試料714の表面上に導くソース発生器(例えば要素702、706、708、710、および712)、試料から放射された出力ビームの取り扱いおよび検出をする検出光学系(例えば要素718から724)、および試料から反射された光の偏光状態を分析する分析器726を含む。ソース発生器は、光源702、偏光器708、可変開口を持つ補償器710、および光源からの光の単一のビームから偏光された光を試料の表面上に異なる入射角度において同時に導くフォーカシングレンズシステム712を含む。ソース発生器は、オプションの光学狭帯域フィルタを含みえる。
レンズシステム712は、少なくとも1つ以上の角度の角度範囲にわたって変化する入射角度で試料714上に光をフォーカシングする有効口径対焦点比を有する。具体的な実施形態において、入射角度の範囲は30度である。光線を試料714に導くのに、より大きい角度も採用されえる。
フォーカシングレンズシステム712は、例えばHe−Neレーザからでありえる偏光された光を、試料714上の単一の小さなスポットまたは点へとフォーカシングする。異なる入射光線は、試料714上の単一の小さなスポット上でフォーカシングされる、大きく変化する入射角度を有しえる。よって、試料714上の小さなスポット上に導かれた光は、フォーカシングレンズを通して中央の光線の入射角度の上および下の多くの入射角度の光線を含む。入ってくる光線群のそれぞれは、その反射によって変えられる光線のそれぞれの偏光状態を持つその入射角度に等しい角度において反射される。検出器アレイ726は、異なる、狭い範囲の入射角度にわたって個別に試料714から反射される複数の光線群を検出することによって、複数の入射角度におけるデータを簡単かつ迅速に得るために採用される。
試料714から放射される出力ビームは、出力レンズ716、交換可能開口718、偏光分析器720、およびオプションの代替フィルタ724を通して検出器アレイ726上に導かれる。レンズ712および716の直径dは、それらの有効直径に対応する。図示された実施形態において、レンズ712および716はそれぞれ18mmの直径dおよび34mmの焦点距離lを有する。好ましくは少なくとも30度の入射角度の範囲が提供される限り、他の有効レンズ直径および焦点距離も採用されえる。レンズ直径および焦点距離は、試料714に当たる光ビームの入射角度の数を最大化するような観点で選ばれる。代替の実施形態において、光は、試料の表面から反射されるのではなく、試料を通して透過される。
再フォーカシングレンズまたはレンズ群716は、反射された(透過された)光を検出器アレイ726に向けて導く。しかし再フォーカシングレンズは採用されなくてもよく、これは反射された(透過された)光が直接に検出器アレイ上に入射するようにされえるからである。レンズ712および716、それら自身は光の偏光状態を変えないことが好ましい。
検出器アレイ726は、直線の複数の要素の検出器でありえ、ここで検出器要素群のそれぞれは、試料を照らす光線群のうち、狭い範囲の入射角度のものを検出できる。開示された実施形態において、アレイ726は、固体感光検出器アレイであり、ここで別個の検出器要素群が全て回路チップ上に集積されている。具体的には、検出器要素群は、フォトダイオードのリニアアレイを備える。単一の回路チップ上に集積されているが、個別のフォトダイオードは、別個の検出器として機能しえる。開示された実施形態のリニアアレイは、一列に配置された128個の検出器要素を備え、アレイ全体が反射された(透過された)光によって照射されるとき、128の異なる入射角度についてのデータを提供する。個別検出器要素の数は、開示された実施形態のそれより多くても少なくてもよく、検出器要素は、単一のチップ上に集積されていなくてもよく、個別の検出器群であってもよい。複数の検出器要素を用いることによって、異なる入射角度のそれぞれについて表面から反射された(または試料を通して透過された)光を同時に検出することが可能である。また本発明では、検出のために反射された(透過された)光線群を機械的にスキャンするよう順次移動される、より少ない数の検出器要素を採用することもできるが、この技術は、より多くの時間を必要とし、位置決め正確性に依存して、より不正確になりえる。
検出器要素のそれぞれの物理的大きさは、それぞれの要素が照射側で入射角度のある狭い範囲だけを検出するように、好ましくは反射された光線の広がりより小さい。検出器のそれぞれの出力は、入射角度のこれら狭い範囲群のそれぞれについてのΔおよびΨについてのデータを生成するために、リアルタイムのコンピュータ技術(例えば分析器720を介して)のような従来のやりかたで用いられる。このデータはそれから従来のやりかたで解釈される。一般にどの方向にリニアアレイが走っているかが重要であり、リニアアレイは好ましくは光学系の平面内で走っている。開示された実施形態において、リニア検出器アレイ726の長軸は、中央光線の入射平面内にあり、入射角度の最大数を検出するために中央光線に直角である。代替として、補償器710は、試料714の前に配置される代わりに、またはそれに加えて、試料714の後で、分析器720の前に配置されえる。
このような偏光解析装置は、ある範囲の角度にわたって同時に散乱計測ターゲットを照射するのに用いられえ、散乱された光の強度が、アレイ検出器などである範囲の角度にわたって同時に計測される。同時マルチアングルシステムで得られた信号は、上述のリニアまたは位相ベースの方法のような自己較正マルチターゲット方法で分析されえる。
これらの角度で計測された強度からのデータを集めることによって、格子または他のターゲットのパラメータが決定されえる。例えば、データは、2003年7月8日に発行された「SPECTROSCOPIC SCATTEROMETER SYSTEM」と題されたXuらによる米国特許第6,690,656号によって記載されるもののような技術から導出されたデータの理論的モデルに対して比較されえ、この特許は、その全体がここで参照によって援用される。このデータは、2001年4月10日に出願された「PERIODIC PATTERNS AND TECHNIQUE TO CONTROL MISALIGNMENT」と題されたAbdulhalimらによる米国特許出願第09/833,084号によって記載されるもののような技術から導出された理論的モデルと比較されえ、この出願は、その全体がここで参照によって援用される。この比較は、そのような比較に基づくデータベースから構造またはターゲットパラメータを抽出するのにそれから用いられえる。
このモデルは、そのような比較に基づいても調整されえる。例えば計測されたデータが理論データと大きく異なるとき、理論データを作るのに用いられたモデルはそれから調整されて、より正確な値を生成するようにする。
データは、予め生成され、ライブラリに記憶されえ、または分析のあいだにリアルタイムで生成される。散乱計測オーバレイのような技術については、さまざまなターゲットに関連付けられた計測されたスペクトルを直接に比較することも可能である。このような差分計測値は、それからオーバレイ位置ずれを決定するのに用いられえる。
この技術を、1991年3月12日に発行された「METHOD AND APPARATUS FOR MEASURING THICKNESS OF THIN FILMS」と題されたGoldらによる米国特許第4,999,014号に記載されたもののようなビームプロファイル反射率計とともに実行することも可能であり、この特許はその全体がここで参照によって援用される。
オーバレイについての散乱計測信号を計測するのに適する同時マルチアングル光学装置の代替の実施形態は、ObeinらによるSPIE Vol. 4299, pp279-290, (2001)に記載される光学フーリエ変換装置であり、これはその全体がここで参照によって援用されるる。この光学的概念の実現例は、フランスHerouville Saint ClairのELDIMによるEZ-Contrastである。入射ビームの偏光を制御するために偏光器が用いられえる。偏光要素は、散乱された放射の偏光を、それが検出器またはCCDに到達する前に分析するのに用いられえる。結果として生じる散乱計測信号は、ここで記載されるリニアアルゴリズムまたは位相検出アルゴリズムで分析されえる。光学フーリエ変換装置は、単一の波長、並列または直列で動作する複数の波長、または入射放射に対するフーリエ変換変調と共に動作するよう構成されえる。
同時偏光解析法および反射光解析法
オーバレイの散乱計測の計測値の正確さを改善するために、偏光解析装置および反射率計の組み合わせたものが採用されえる。ある実施形態において、オーバレイを計測するために2つ以上の偏光解析装置が利用される。これら偏光解析装置の1つ以上のものは、分光偏光解析装置でありえる。他の実施形態において、オーバレイを計測するために2つ以上の反射率計が散乱計測装置として利用される。これらの反射率計のうちの1つ以上は偏光反射率計でありえる。代替として、1つ以上の偏光解析装置および1つ以上の反射率計の組み合わせがオーバレイを計測するために利用される。
計測は順次(それぞれのツールが異なる時刻に計測を実行する)に、並行して(全てのツールが計測を実質的に同時に実行する)、または任意の他の構成において(例えばツール群のうちの全てのよりは少ない、少なくとも2つのツールが実質的に同時に計測を実行する)実行されえる。
ここで記載される任意の実現例において、さまざまなツールは、ほぼ法線方向および斜め方向、または法線および斜め方向の両方を含む異なる入射角度において計測を実行しえる。すなわち以下のシステムの2つ以上は、ほぼ法線入射の角および1つ以上の斜め方向の角の両方を達成するために共に用いられえる。これらのシステムは、分光・近法線入射反射計、分光・近法線入射偏光反射計、分光・近法線入射偏光差分反射計、斜入射分光偏光計、および分光斜入射偏光差分反射計である。
具体的な実施形態において、少なくとも2つのツールは、散乱計測の計測を実質的に同じ入射角度において、異なる方向から実行する。例えば、第1ツールは、x方向における散乱計測(scatterometric measurements)のために用いられ、第2ツールはy方向における散乱計測のために用いられる。そのようなシステムは、ある種の共通した散乱された信号を除去しえ、それに対応して計測の正確さが増し、対称的な構成を提供する。
オーバレイの散乱計測による決定においてこのようなツール群の組み合わせを採用することの優位性は、計測の正確さが増されえることである。1つより多いツールを使用し、1つより多い入射角度(またはポイント)において計測を実行することの他の優位性は、対象となる媒体に影響を与える効果(例えばフィルム効果)をオーバレイから分離するのに役立つことである。例えば、法線または近法線入射において動作する偏光計信号または偏光依存信号は、入射の角度がより斜めであるよりも膜厚に対してより低い感度を有し、散乱計測オーバレイターゲットのオーバレイには大きな感度を有する。さらなる優位性は、偏光解析装置および反射率計の組み合わせが既に現在の検査ツール中に存在することである。散乱計測を実質的に並行に異なるターゲットまたは異なるターゲット部分に対して実行するよう構成される散乱計測装置群の組み合わせを採用する他の優位性は、計測に必要とされる総時間を短くしえることである。並行計測システムの他の優位性は、それぞれの散乱計測オーバレイターゲットについての信号獲得時間が増しえ、計測の正確さが改善しえることである。
FT処理を用いる散乱計測オーバレイ決定
フーリエ変換(FT)処理を用いるオーバレイの散乱計測のためのシステムも利用されえる。ある実施形態において、広帯域ソースの実質的に全ての波長を変調するために干渉計が採用され、散乱された放射はCCDカメラで検出される。変調バンドの実質的に全ての波長は、それぞれの画素について、または画素のグループについて記録される。干渉計が変調バンドにわたって段階的に進むにつれ、散乱された信号のスペクトル画像が作られる。
結果として生じるスペクトル画像は、比較的大きい視野を有しえる。例えば、画像は、いくつかの複数のターゲットを含みえる。スペクトル画像は、オーバレイを正確に決定しつつ、一方で不要な効果(例えばフィルム効果)を除去するために、画素ごとに処理されえる。代替として、処理は、速度を改善し、処理リソースを減らすために画素のグループを用いて実行されえる。例えば、上述の散乱計測プロセスでそれぞれのターゲットからの画素のグループが分析されえる。ある実現例において、それぞれの対応するペアのターゲットについての画像群は、差分画像D1およびD2を得るために差が取られる。平均強度のようなそれぞれの差分信号の特性がそれから得られて、P1およびP2になり、これらはそれからオーバレイ誤差を決定するのに用いられる。
具体的な実現例において、波長変調バンドを通してステップ状にスキャンする(step through)ためにMichelson干渉計が用いられる。代替として、Linnik干渉計、または他の干渉計が採用されえる。ミラーのそれぞれの位置について、CCDカメラは、カメラの視界内においてインターセプトされた散乱された信号を記録する。検出された信号はそれから、ディジタイズされ、画素ごとに、または画素のグループとして記憶されえる。ステップの大きさは、一般にオーバレイ計測の正確さに比例する。カメラの速度(例えばカメラがキャプチャできる秒当たりのフィールド数)は典型的には計測の速度に比例する。いったん変調バンドがカバーされると(spanned)、それぞれの画素(または画素のグループ)について記録された信号は、離散フーリエ変換(つまりDFT)のための基礎として使用されえる。DFTは、それぞれの画素(または画素のグループ)についてスペクトルプロファイルを与える。代替として、高速フーリエ変換(FFT)、アダマール変換、または他の既知の変換方法が適用されえる。同様に、スペクトルプロファイルを決定するためには畳み込みまたは他の数学的方法が用いられえる。それぞれのターゲットについてのこのスペクトルプロファイルは、それから前のパラグラフで記述された散乱計測オーバレイ技術で用いられえる。オーバレイ決定は、正確さが向上してそれから実行されえる。
マルチチューナブルレーザ
チューナブルレーザ群の組み合わせを有するシステムは、さまざまな構成の偏光解析装置および反射率計によって実行される計測と組み合わせて、オーバレイの散乱計測の正確さを改善するのに用いられえる。チューナブルレーザは、対象となる表面上に入射する放射を提供する。ある実施形態において、散乱計測オーバレイの測定は、考慮されているデザインの少なくとも1つのレイヤにおいて配置されたターゲットを用いて実行され、チューナブルレーザはそのターゲット上に複数のレーザ設定で(例えば複数の波長において)入射する放射ビームを提供する。
計測された信号は、処理の前または後にそれから合わせて平均されえる。ある一例のリニア散乱計測アプローチにおいて、計測された放射ビームは、ターゲットA、B、C、およびDから得られる。ターゲットのそれぞれのペアからの2つの差分信号D1およびD2は、それから、複数のチューナブルレーザ設定において得られる。それぞれのチューナブルレーザ設定についてのそれぞれのターゲットから計測される信号は、差分信号D1およびD2を得る前に、合わせて平均されえる。代替として、D1およびD2についての差分信号のそれぞれのセットは、単一の平均差分信号D1およびD2を得るために、合わせて平均されえる。差分信号D1およびD2の特性P1およびP2(例えば積分)がそれから得られる。代替の実施形態において、複数の特性P1およびP2は、チューナブルレーザの異なる構成について得られ(計測された信号または差分信号D1およびD2を平均することなく)、その結果は、それぞれの信号P1およびP2について平均される。オーバレイ誤差は、それから、この信号P1およびP2に基づいて上述のように得られえる。代替として、複数のターゲットから複数の波長において計測された信号を得ることによって、位相散乱計測アプローチが用いられえる。
同様に、1つ以上の波長範囲をカバーする1つ以上の発光ダイオードが用いられえる。
空間フィルタリングを用いた散乱計測オーバレイ決定
ある実施形態は、FT処理を用いる散乱計測オーバレイ決定についての上述の実施形態へと拡張する。
空間フィルタリングと関連したFT処理を用いたオーバレイの散乱計測のためのシステムが提供される。より具体的には、少なくとも1つの散乱計測ターゲットによって反射された信号は、選択的に空間的にフィルタリングされ、特定の信号要素だけを処理する。
FT処理を用いたオーバレイの散乱計測のための上述の実施形態において、干渉計は、広帯域ソースの実質的に全ての波長を変調するために採用され、散乱された放射は、CCDカメラのような干渉計で検出される。それから実質的に全ての波長は、それぞれの画素について、または画素のグループについて記録されえる。干渉計が変調バンドを通して段階的に進むとき、散乱された信号の任意の空間的部分の分光学的画像が作られる。この例では、完全な画像(または画像の一部)に対応する散乱された信号が集められると、画素群の単一のラインに対応するその信号の一部だけが保持される。代替として、全体の画像よりは小さい、複数の画素ライン群に対応するその信号の一部が集められる。散乱信号のこのような選択的な収集は、検出器またはCCDカメラ内の画素の列に対応する、水平、垂直または斜めのストライプだけを保持するように空間的に信号をフィルタリングすることによって達成されえる。代替として、散乱された信号のより完全な部分がCCDカメラにおいて集められえるが、画素の不要な列に対応する情報(例えばターゲットのエッジ、または2つのターゲット間の境界)は、収集の後に廃棄されえる。
保持された信号に対応する分光画像はそれから、不要な効果(例えばフィルム効果)を除去しつつ、オーバレイを決定するために画素単位で処理されえる。例えば、散乱計測放射の特定の空間部分が阻止されて、特定の周波数および/または位相情報を除去する。代替として、処理は、速度を改善し、処理リソースを減らすために画素のグループを用いて実行されえる。この実施形態は、従来の処理方法よりも高いSNR(信号対雑音)を提供する。
本発明のある実施形態において、FT処理を用いた散乱計測オーバレイ決定の実施形態においてオーバレイを決定するための上述の技術が用いられえる。
FT処理を用いた散乱計測オーバレイ決定のための実施形態と比較して、空間フィルタリングを用いた散乱計測オーバレイ決定の実施形態の局面は、速度およびスループットを改善しつつ、一方で、処理リソースを減らしえる。
分光偏光解析装置および分光反射率計の例
図8は、本発明のある実施形態による分光反射率計システム800の概略図である。このシステム800は、分光偏光解析装置802および分光反射率計804の特徴を結びつけ、これらのそれぞれは、基板またはウェーハ808上に配置された格子構造806のオーバレイを計測するのに用いられえる。図にいくらか簡略化された形で示される格子構造806は、大きく変えられえる。格子構造806は、例えば、ここで記載される格子構造の任意のものに対応する。分光偏光解析装置802および分光反射率計804の両方は、水平のxy方向と共に垂直のz方向にも基板808を移動するのに用いられるステージ810を利用しえる。このステージは、基板を回転または傾けることも行ってよい。動作のあいだ、ステージ810は、格子構造806が分光偏光解析装置802および/または分光反射率計804によって計測されるように基板808を移動する。
分光偏光解析装置802および分光反射率計804は、1つ以上の広帯域放射源812も利用する。例として、光源812は、少なくとも230から800nmの範囲の波長を有する電磁波放射を供給しえる。広帯域光源の例には、重水素放電ランプ、キセノンアークランプ、タングステンフィラメントランプ、石英ハロゲンランプ、および発光ダイオード(LED)が含まれる。代替として、広帯域光源の代わりに、または広帯域光源と組み合わせて1つ以上のレーザ放射源が用いられえる。信号が1つまたは2,3の波長においてだけ集められる場合、システムは、分光偏光計とは考えられないが、単一波長(または複数波長)偏光計と呼ばれえる。
分光反射率計804において、レンズ814は、ソース812からの放射をビームスプリッタ816へ集めて導き、ビームスプリッタ816は、入射ビームの一部を反射し、フォーカスレンズ818に向けて反射し、このフォーカスレンズは、放射を格子構造806の近傍にある基板808上にフォーカシングする。基板808によって反射された光は、レンズ818によって集められ、ビームスプリッタ816を通って分光計820へ届く。
スペクトル要素が検出され、そのような要素を表す信号がコンピュータ822に供給され、このコンピュータがオーバレイを上述のように計算する。
分光偏光解析装置802において、光源812は、光を光ファイバーケーブル824を通して供給し、このケーブルは偏光をランダム化し、基板808を照射するための均一光源を作る。ファイバ824から現れると、放射は、スリット開口およびフォーカシングレンズ(不図示)を含みえる光照射器826を通って透過する。照射器826から現れる光は、偏光子828によって偏光され、基板808を照射する偏光されたサンプリングビーム830を作る。サンプリングビーム830から現れる照射は、基板808で反射され、分析器832を通って分光計834へ達する。反射された放射のスペクトル要素が検出され、そのような要素を表す信号は、コンピュータ822に供給され、このコンピュータがオーバレイを上述の任意のやり方で計算する。
分光偏光解析装置802において、偏光子828または分析器832のいずれか、またはそれら両方は、補償板または遅延板(不図示)としても知られる波長板を含みえる。波長板は、2つの偏光間の相対位相を変化させることによって、直線偏光された光を楕円偏光された光に、またはその逆に変える。
入射偏光830の試料との相互作用に関するより多くの情報を集めるために、光の偏光状態を変調すること、または分析器の偏光感度を変調すること、またはそれらの両方が望ましいかもしれない。典型的にはこれは、光学要素を偏光子および/または分析器内で回転することによってなされる。偏光子または分析器内の偏光要素は回転されえ、またはそれら部品のうちの少なくとも1つは、波長板を含み、その波長板は回転されえる。この回転は、当業者に知られるようにコンピュータ822によって制御されえる。回転要素の使用はうまく機能しえるが、これはシステム802を制限しえる。理解されるように、回転要素の使用は低速でありえ、可動部品があるために信頼性が低くなりがちである。
したがってある実施形態によれば、高速かつ高信頼性の分光偏光解析装置(spectroscopic ellipsometer)を作るために、偏光子828は、光弾性変調器(PEM)のような偏光変調器836を含むように構成される。偏光変調器は、回転する波長板の代わりになる。偏光変調器836は、回転する波長板と同じ機能を実現する光学要素であるが、高くつく速度および信頼性の問題がない。偏光変調器836は、光学要素を機械的に回転することなく、光の位相の電気的変調を可能にする。変調周波数は100kHzに達するほど高いものが容易に得られる。
代替実施形態において、分析器832は、電気的に変調されえるPEM(光弾性変調器)のような偏光変調器を含むように構成される。さらに他の実施形態において、偏光子および分析器は、異なる周波数群において変調されるPEM群のような偏光変調器群を含む。
偏光変調器836は高い周波数において変調しえるので、偏光変調器836は、そうでなければ遅すぎてしまう、さまざまな技術を実行するのに用いられえる。例えば、2つの構造の偏光された反射率間の差が得られうる。これをするには、PEMが音響光学変調器(AOM)と結合されえ、ここでこのAOMは、2つの構造間で高速に移動し、一方で、偏光状態を異なる(ただし倍数または分周のような関連した)周波数において変調する。PEMおよびAOM変調周波数の和および差における信号は有用な情報を含み、同期検波によって高い信号対雑音比で検出されえる。代替として、入射ビームに対してAOMは、PEMと組み合わせて分析器の中で用いられえる。
示されていないが、例えば偏光に敏感な反射率計のような他の散乱計測システムにおいては、回転する波長板は、偏光変調器によって置き換えもされえる。
散乱計測オーバレイ計測に用いられえる他の光学システムは、上で参照されたAbdulhalimらによる米国特許出願第09/833,084号に記載されるように、+/−1の回折次数を検出する差分反射計または差分偏光計であり、これはここで参照によって援用される。分析されえる信号のうちの1つは、差分強度DS=(R+1−R-1)/(R+1+R-1)である。この信号は上述のように、複数の散乱計測オーバレイターゲットからさまざまなオフセットで計測されえる。結果として生じる散乱計測信号は、オーバレイを決定するためにここで記載されるリニアアルゴリズムまたは位相検出アルゴリズムで分析されえる。
散乱計測オーバレイデータベース
本発明のある局面は、散乱計測オーバレイ決定のために利用されえる散乱計測オーバレイ情報のデータベースを提供する。
ある実施形態において、オーバレイ情報の1つ以上のライブラリを含む1つ以上のデータベースが提供される。それからこのデータベース情報は、オーバレイ計測において用いられる。
ある実施形態において、ライブラリは、人工的に誘発されたオーバレイを持つ所定のテストパターンを用いて編集される。代替として、ライブラリは、ステッパにプログラミングされたレイヤミスレジストレーションを用いて作られる。他の実施形態において、誘発またはプログラミングされているオーバレイは、特定の範囲内で変化する、漸進的特性を有する。
データベースに記憶される情報は、テストパターンを介して、またはステッパによって誘発されるような、ウェーハ上にプリントされる実際のオーバレイに関するオーバレイデータを含みえる。代替としてまたは加えて、この情報は、試料上で実際に計測されたオーバレイから得られる。データベースは、オーバレイデータに関連付けられた散乱計測記録をさらに記憶しえる。このような散乱計測の記録は、オーバレイデータの実際の散乱計測を実行することによって得られえる。またデータベースは、材料、プロセス条件、光学パラメータ、および他の関係するデータも含みえる。データベース情報は、補間および他の前処理によって、さらに高められえる。
散乱計測データベース情報は、実際の計測のあいだに記録された特定の散乱計測およびプロセス条件に関連付けられた散乱計測データを読み出すことによって、オーバレイ計測の正確さおよび速度を改善するために利用されえる。ある実施形態において、モデルを用いて生成される理論オーバレイデータおよびさまざまなターゲットおよび光学的構成がデータベース内に記録される。オーバレイが特定のセットのターゲット上で計測されるとき、計測されたオーバレイは、それから特定の理論オーバレイ値とマッチされえる。例えば一致する理論値と関連付けられたターゲット特性がそれから獲得されえる。
計測アルゴリズムまたは方法の動的な選択もデータベースルックアップに基づいて提供されえる。さらなる実現例は、生産ラインの計測の前またはそのあいだに、散乱計測オーバレイの計測ツールを較正するためにデータベースを利用する。
散乱計測を実行する代替システム
本発明のある実施形態によれば、スペクトルAからD(およびもし存在すればさらなるスペクトル)の獲得は、以下の任意のもの、または以下の装置の任意の組み合わせを備えうる光学装置を用いて実行される。すなわち、画像化反射率計、画像化分光反射率計、偏光分光画像化反射率計、走査型反射率計システム、パラレルデータ獲得が可能な2つ以上の反射率計を持つシステム、パラレルデータ獲得が可能な2つ以上の分光反射率計を持つシステム、パラレルデータ獲得が可能な2つ以上の偏光分光反射率計を持つシステム、ウェーハステージを移動させることなく、または他の光学要素または反射率計ステージを移動させることなくシリアルデータ獲得が可能な2つ以上の偏光分光反射率計を持つシステム、画像化分光計、波長フィルタを持つ画像化システム、ロングパス波長フィルタを持つ画像化システム、ショートパス波長フィルタを持つ画像化システム、波長フィルタを持たない画像化システム、干渉計測画像化システム(例えばLinnik顕微鏡、例えばカリフォルニア州、サンノゼのKLA−Tencorから入手可能なKLA−Tencorオーバレイ計測ツールモデル5100、5200、5300、Archer10などにおいて実現されるようなLinnik顕微鏡)、画像化偏光解析装置、画像化分光偏光解析装置、走査型偏光解析装置システム、パラレルデータ獲得が可能な2つ以上の偏光解析装置を持つシステム、ウェーハステージを移動させることなく、または他の光学要素または反射率計ステージを移動させることなくシリアルデータ獲得が可能な2つ以上の偏光解析装置を持つシステム、Michelson干渉計、Mach-Zehnder干渉計、またはSagnac干渉計、走査入射角システム、走査アジマス角システムである。
加えて、上述の複数の光学モジュールシステムのうちの任意の光学モジュールは、1つ以上の光学要素を共有しえる。例えば、並列データ獲得が可能な2つ以上の偏光分光反射計を持つシステムは、少なくとも1つの光学要素を共有しえ、別個の分光計または検出器が異なるターゲットから散乱される放射について用いられえる(例えばターゲットAxおよびCx、またはAxおよびAy)。同様に、並列データ獲得が可能な2つ以上の分光偏光計を持つシステムは、少なくとも1つの光学要素を共有しえ、別個の分光計または検出器が異なるターゲットから散乱される放射について用いられえる(例えばターゲットAxおよびCx、またはAxおよびAy)。他の例として、並列データ獲得が可能な2つ以上の偏光計を持つシステムは、少なくとも1つの光学要素を共有しえ、別個の分光計または検出器が異なるターゲットから散乱される放射について用いられえる(例えばターゲットAxおよびCx、またはAxおよびAy)。
「プッシュブルーム」アプローチに基づくフィルタのような他の画像化分光計のタイプと同様、干渉計ベースのいくつかの実施形態は、Cabibらによる「METHOD AND APPARATUS FOR SPECTRAL ANALYSIS OF IMAGES」と題された1998年11月10日に発行された米国特許第5,835,214号に記載される。スペクトル画像化と共に膜厚マッピングのためのシステムおよび方法は、Cabibらによる「FILM THICKNESS MAPPING USING INTERFEROMETRIC SPECTRAL IMAGING」と題された1999年1月5日に発行された米国特許第5,856,871号に記載される。LED照射に基づくスペクトル画像化のための代替のアーキテクチャは、Adelらによる「SPECTRAL IMAGING USING ILLUMINATION OF PRESELECTED SPECTRAL CONTENT」と題された2000年11月7日に発行された米国特許第6,142,629号に記載される。これらの特許は、その全体が全ての目的のためにここで参照によって援用される。
本発明のある実施形態による4つのターゲットからスペクトルAからD(およびもし存在すればさらなるスペクトル)を獲得するために用いられる画像化分光計または反射率計は、当業者によく理解されるように、フーリエ変換画像化分光計型でありえる。フーリエ変換画像化分光計の画像化システムは、異なるターゲット群(または複雑な散乱計測オーバレイターゲットの部分群)から反射または散乱された光信号を分離(解像)できなければならない。代替として、散乱計測オーバレイ信号の獲得のための画像化分光計または反射率計は、ある軸が異なる散乱計測オーバレイターゲット群(または複雑な散乱計測オーバレイターゲットの部分群)からの空間情報を含み、他の検出器軸が、プリズムシステムまたは回折格子システム、または例えばプリズムおよび格子の組み合わせであるシステムで分光学的に分離された光からスペクトル的に解像される情報を含む2次元検出器を用いえる。照射放射は、ターゲット上に入射する前に選択される波長でありえる。
画像化分光計、画像化反射率計、または本発明のさまざまな実施形態と関連して上述の任意の他の光学システムにおいて検出される4つのターゲットから得られたスペクトルAからD(およびもし存在すればさらなるスペクトル)は、非偏光であってもよく、または選択的に偏光されていてもよい。ターゲットから反射または散乱された光の1つ以上の非偏光光または1つ以上の偏光成分は画像化分光計または画像化反射率計で検出されえる。
さまざまな実施形態において、以下の1つ以上の光信号を別個にまたは同時に記録するために別個の検出システムが用いられえる。すなわち、非偏光の反射された光、散乱計測オーバレイターゲットの一つのレイヤの1つの主対称軸に実質的に平行な電界を持つ偏光された光、散乱計測オーバレイターゲットの一つのレイヤの1つの主対称軸に実質的に垂直な電界を持つ偏光された光、散乱計測オーバレイターゲットの一つのレイヤの1つの主対称軸にある角度をなす電界を持つ偏光された光、右回り円偏波の放射、左回り円偏波の放射、および/または前に挙げられた偏光状態の2つ以上の組み合わせである。光ノイズモニタリング、および/または光レベル制御、および/または光ノイズ減算または正規化の目的のための光源の一部からの信号を同時に記録するために、別個の検出器システムが用いられえる。
本発明のさまざまな実施形態のさまざまな可能な実現例は、Walter D. Mieherらによる「METHOD AND SYSTEM FOR DETERMINING OVERLAY ERROR BASED ON SCATTEROMETRY SIGNALS ACQUIRED FROM MULTIPLE OVERLAY MEASUREMENT PATTERNS」と題された2003年2月22日に出願された同時係属中の米国特許仮出願第60/449,496号に示される。この仮出願はその全体がここで参照によって援用される。
ある実施形態において、4つのターゲットのそれぞれは、光学システムによって作られる放射によって照射される。光学システムは、特に、光源、レンズシステム、フォーカシングシステム、ビーム整形システム、および/または方向付けシステムの形をとりえる。ある実施形態において、ターゲット群のうちの少なくとも1つへの放射照射は、比較的狭いビーム断面を持つ放射ビームとして整形される。具体的な実現例において、ビームはレーザビームである。ターゲットを照射する放射は、ターゲット内に存在する構造と相互作用し、それぞれのターゲットに対応する回折された放射成分を作り、これらはSA、SB、SC、およびSDと記される。ある実施形態において、照射ビームは、分光偏光解析装置でふつうに用いられる広いスペクトル範囲を備える広帯域の偏光されたビームである。ある実現例において、フォーカシングシステムは1つ以上のフォーカシングミラーを含みえる。
2.散乱計測オーバレイ技術の代替物
いくつかの関連する技術は、上の関連する同時係属米国特許仮出願に記載される。これらの関連する技術は、容易にここで記載される技術と統合されえる。
本発明のある実施形態において、上述のように異なるプログラムされたオフセット+/−Fおよび+/−f0を持つターゲット群(または複合散乱計測ターゲットの部分群)、または他の同様なターゲットの組み合わせは、同時信号獲得を可能にするために一緒にグループ化される。ある実現例において、ターゲットは、散乱計測オーバレイターゲットのアレイに沿う一つの方向内においてウェーハまたは一部または全ての光学系を走査しながらデータ獲得を可能にするために一列に構成される。リニアアレイにおいてターゲットを構成することは、画像化分光計または反射率計の使用も可能にしえ、ここで一つの検出器軸は、異なるターゲット群(ターゲット部分群)から信号を分離し、他の検出器軸は、スペクトル情報を検出する。この場合、画像化システムは、リニアターゲットアレイの直線または円筒画像をプリズムまたは格子システムに画像化する。画像化分光計または画像化反射率計は、異なるターゲット群またはターゲット部分群から反射または散乱された光を分離して方向付けるために、2つ以上のレンズのアレイ(当業者にはレンズレットアレイとして知られる)を含みえる。
ある実施形態において、主オフセットFは、オーバレイ誤差に対するより大きい、または最大感度を提供するよう最適化される。例えば、ターゲットのピッチの1/4に等しいオフセットFは高いオーバレイ感度を提供するが、これは、オーバレイ誤差感度が最小となる2つの対称点の中間だからである。副オフセットf0は、仕様限界と等しいかまたはそれより外のようなf0がオーバレイ計測の対象の領域の外であるように選ばれえるが、仕様外の計測値が仕様内であるかのような誤差を可能にするオーバレイ計測の不確実性を生じてはならない。しかしこれはf0の範囲の制限ではない。大きいf0は、−f0および+f0の間のオーバレイ誤差Eについてのオーバレイ計測の正確さを低減しえる。|f0|より大きいオーバレイ誤差Eについて、領域−f0から+f0を超えた補外のために、オーバレイ計測の正確さは低減されえ、線形近似の正確さも低減されえる。
オーバレイ計測は、半導体製造プロセス中、ウェーハ当たり5から25フィールドにおいて、ステッパフィールドの4つの角またはその近傍において(場合によってはフィールドの中央付近のさらなる計測と共に)最もふつうになされる。本発明のある実施形態によるx方向におけるオーバレイを決定するのに用いられる4つのターゲット、およびy方向におけるオーバレイを決定するのに用いられる4つのターゲットのシステムについて、通常のオーバレイ計測サンプリングプランのためには、散乱計測オーバレイターゲットの合計8×4×5=160の計測値が2次元オーバレイを決定するために用いられえる。より詳細なサンプリングプランのために、より多くの計測が行われえる。
本発明の他の実施形態によれば、試料の2次元オーバレイを決定するために合計で6つのターゲット(例えばxについて3つ、yについて3つ)が用いられえる。これは、オーバレイ計測プロセスのさらなる簡略化、処理リソースの削減、および計測プロセスに用いられる時間の短縮を促進しえる。さらに他の実施形態において、追加のターゲットまたは追加のターゲットペアが試料上に作られえ、散乱計測に基づいてオーバレイの決定をするためにここで記載されたのと実質的に同様に用いられえるが、この場合は増やされたターゲット数および対応する回折放射要素の数について調整されえる。オーバレイ誤差Eの式におけるさらに高次の近似項を例えば表すことによって、このような追加のターゲットまたは追加のペアによって提供される増やされた情報の利用可能性を活用するために、オーバレイ誤差Eの決定のための数学的方法も同様に調整されえる。
制限された再フォーカシングによる散乱計測オーバレイ決定
散乱計測オーバレイ決定の正確さを改善するために、1回より多い計測が好ましくは実行される。ある実現例は、複数の散乱計測オーバレイターゲットを利用し、それぞれのターゲットについてシステムは、オーバレイの1回の散乱計測を行う。他の実現例は、単一の散乱計測ターゲット、または複数のターゲットサブ領域を備える単一の散乱計測ターゲット領域を利用し、1回より多い散乱計測オーバレイ計測がそのターゲットまたはターゲット領域について実行される。さらに他の実施形態において、複数のターゲットまたはターゲット領域が用いられ、ターゲット群またはターゲット領域群の一部または全てについて1回より多い計測が実行される。
従来は、光学系は、それぞれの個別の計測ごとに再フォーカスされる。しかしこれは多くの時間を費やしえ、よってシステムの処理速度を低下する。例えばそれぞれのフォーカスシーケンスは0.01から1秒かかりえて、それぞれのウェーハは30から70サイト含みえて、それぞれのサイトは8ターゲットからなる。これらの数字を用いると、再フォーカシングは、それぞれのウェーハについて560秒もかかりえることになる。典型的にはウェーハが検査されるために100秒から1000秒かかることを考慮すれば、この数字は完全に許容できないレベルにまでさらに増加しえる。
したがって本発明のある実施形態によれば、複数の散乱計測オーバレイ計測は、処理速度およびシステムのスループットを増すために制限された光学再フォーカシングで実行される。制限された光学再フォーカシングとは一般に、少なくともいくつかの新しい計測が光学系の再フォーカシングなしで実行される、すなわち複数の計測が同じフォーカス設定で行われることを意味する。例えば、光学系は、これから実行されるべき複数の散乱計測について最適化されるフォーカス設定で初期化されえ、これら個別の散乱計測のあいだこれ以上の再フォーカシングは実施されない。最適化されたフォーカス設定は、ウェーハ全体について一度見いだされえ、または周期的に見いだされえる。周期的であるとき、フォーカス設定は、ターゲットの特定の特徴(例えば同様のライン幅および間隔)について、ウェーハの特定の位置(例えばウェーハの2×2cm2ごとに)について、検査のあいだ所定の増分(例えば30秒ごと)においてなどのように確立されえる。
ある実施形態において、ウェーハは複数のフォーカスゾーンを含む。フォーカスゾーンのそれぞれは、フォーカスゾーン内で実行されるべき全ての散乱計測について最適化されるフォーカス設定で初期化される。再フォーカシングは、フォーカスゾーン内部での個々の散乱計測のあいだでは起こらない。よってフォーカスゾーン内のそれぞれのターゲットは、同じ最適化されたフォーカス設定で計測される。任意の数のフォーカスゾーンが用いられえる。
フォーカスゾーンの構成は、大きく変えられえる。ある実現例では、フォーカスゾーンはウェーハの一部に対応する。例として、ウェーハは、ウェーハの中心から発して外側に向かう複数の半径方向フォーカスゾーンに、またはウェーハを複数の扇形に分割する複数の中心角方向フォーカスゾーンに分割されえる。他の実施形態において、フォーカスゾーンは、例えばそれぞれの半導体デバイスの角におけるターゲットのような、特定のセットのターゲットに対応する。他の実施形態において、フォーカスゾーンは、複数のターゲットを含む特定のターゲット領域(例えば図9Aを参照)に対応する。他の実現例において、フォーカスゾーンは、ターゲット領域内の特定のターゲットサブ領域に対応する(例えば図9Bに示されるxまたはy方向のグループのターゲットのように)。さらに他の実現例において、フォーカスゾーンは、そのターゲットそのものの中の特定のサブ領域に対応する。
オーバレイを決定する方法がこれから記載される。この方法は一般に第1ゾーンのフォーカス設定を最適化することを含む。この方法は、また、第1ゾーン内の複数のターゲット上で第1セットの計測群を実行することを含む。第1ゾーン内のターゲットのそれぞれは、第1ゾーンの最適化されたフォーカス設定を用いて計測される。すなわち、第1ターゲットが計測され、その後、第2ターゲットは、光学系を再フォーカシングすることなく計測される。任意の個数のターゲットがこのように計測されえる。この方法はさらに、第2ゾーンのフォーカス設定を最適化することを含む。加えてこの方法は、第2ゾーン内の複数のターゲットに対して第2セットの計測群を実行することを含む。第2ゾーン内のターゲットのそれぞれは、第2ゾーンの最適化されたフォーカス設定を用いて計測される。すなわち、第1ターゲットが計測され、その後、第2ターゲットは、光学系を再フォーカシングすることなく計測される。任意の個数のターゲットがこのように計測されえる。
この方法のある例において、第1および第2ゾーンは、複数のターゲットを含む異なるターゲット領域を表しえる(図9A参照)。この例では、ターゲットのそれぞれは、互いにごく近傍に位置し、したがってあるターゲットから次へとフォーカスする変動は最小であると考えられえる。この方法は一般に、ターゲット領域においてフォーカス設定を最適化し、その後、ターゲット領域内のターゲット群のそれぞれを最適化されたフォーカス設定で計測することを含む。例えば、第1ターゲットが計測され、その後、近接するターゲットが計測されるというように光学系を再フォーカシングすることなく続けられる。第1ターゲット領域が計測されるとき、システムは、これらのステップを、例えばそのデバイスの、異なる角に配置されるターゲット領域のような第2ターゲット領域に対して反復しえる。
この方法の他の例において、第1および第2ゾーンは、複数のターゲットを含むターゲット領域を持つサブ領域群を表しえる。このサブ領域群は、例えば、異なるターゲットの方向を表しえる(図9B参照)。この方法は一般に、第1サブ領域群(例えばx軸に沿うターゲット群)におけるフォーカス設定を最適化し、その後、最適化されたフォーカス設定でそのサブ領域内のターゲット群のそれぞれを計測することを含む。例えば、第1ターゲットが計測され、その後、近接ターゲットが計測されるというように光学系を再フォーカシングすることなく続けられる。第1サブ領域が計測されるとき、この方法は、第2サブ領域(例えばy軸に沿うターゲット群)内のフォーカス設定を最適化することによって継続し、その後、最適化されたフォーカス設定でそのサブ領域内のターゲット群のそれぞれを計測する。例えば、第1ターゲットが計測され、その後、近接ターゲットが計測されるというように光学系を再フォーカシングすることなく続けられる。他の例では、xy散乱計測オーバレイターゲットグループ内の第1散乱計測オーバレイターゲットに対する計測の前に、システムは再フォーカスされる。xyオーバレイターゲットグループ内の第1ターゲットについての散乱計測信号が計測された後、ターゲットの残りは再フォーカシングなしで計測されえる。例えば、xyオーバレイターゲットグループは、x方向におけるオーバレイ誤差決定のための4つの散乱計測オーバレイターゲット、およびy方向におけるオーバレイ誤差決定のための4つの散乱計測オーバレイターゲットを備える。
ライン画像を用いた散乱計測オーバレイ決定
1次元ライン画像を用いたオーバレイの散乱計測のためのシステムも実現されえる。この実施形態は、ターゲット領域よりも大きい領域を包含する2次元視野を利用する技術より効率的な集光を可能にする。加えて光学系は、試料に入射する光の1次元プロファイルを提供するために入射ビームのパス内において用いられえる。
図10は、本発明のある実施形態による複数のターゲット1008のライン画像を得るシステム1000の概略上面図である。示されるように、光源1002は、ターゲット1008の1次元(1D)入射ライン1006を照射する円筒光学系1004に向けてビームを導く。光源および入射光学系は、1D入射ラインが少なくとも4つのターゲットの全てのうちの一部に当たるように構成される。例えば、1Dラインは、4つのターゲットの中心を通して直線上に入射する。
光はそれから入射ライン1006に応答してターゲットから散乱または反射され、反射された光の一部は光学スリット1010を通って、1Dラインプロファイル1012を有する1D出力ビームを形成する。この1D出力ラインは、それからプリズムまたは回折格子のような出力ビームを発散または分離する分散要素1014によって受け取られる。換言すれば、分散要素1014は、出力ビームを、異なる波長範囲または値に対応する別個の検出器要素上に空間的に解像するように働く。分離された出力ビームはそれからそれぞれ2D検出器アレイ1016の検出器要素によって受け取られる。この実現例は、効率的な光の伝達および集光メカニズムを示すが、それは光が対象となる狭い幅にだけ導かれ、集められた光がこの同じ狭い対象となるバンドから分析されるからである。
ある実現例において、検出器アレイは、CCDカメラのような2Dアレイに構成される複数の検出器要素から形成される。検出器のある次元(例えばx方向)は、異なる波長を有する分離された出力ビームを受け取りえ、一方、第2次元(例えばy方向)は、ターゲット上で異なる位置を有する分散された出力ビームを受け取りえる。例えば、アレイ1016の特定のy方向カラムのそれぞれの要素は、特定の波長を有する、画像化されるターゲット上の異なる位置に対応する分離された出力ビームを受け取り、一方、特定のx方向のロウのそれぞれの要素は、異なる波長を有する、同じターゲット位置の分離された出力ビームを受け取る。
代替として、分散要素1014は省略されえ、それぞれ異なるターゲット位置に対応する複数の1D検出器要素において出力ビームを受け取るために1D検出器がそれから用いられえる。この実施形態において、それぞれの検出器要素は、異なる波長および同じターゲット位置にわたって平均または積分する。いずれの場合も、異なるセットの検出器要素が特定のターゲットに対応するとして共にグループにされえる。例えば、y方向の要素は、4つのグループに分割されえ、それぞれのグループは、4つのターゲットの特定の1つに対応しえる。単一の入射ラインを照射する代替法は、より大きな領域を照射しつつ、しかし検出ラインに沿って散乱された放射だけをキャプチャすることである。他の実現例において、円筒光学系1004が入射パスから除去され、入射画像は2次元である。出力ビームは、それから円筒光学系を通して伝わり、それにより検出器のための1Dライン画像を形成する。2D検出器アレイを持つ分散要素は、上述のように用いられえる。もちろん、1D検出器アレイもこの実現例において実現されえる。
検出器またはカメラによってキャプチャされた画像はそれから、おそらくはここで開示されたFTアプローチを用いて、画素レベルで処理されてオーバレイを決定する。いったんオーバレイが特定の入射ラインに沿って計測されると、異なる方向におけるオーバレイを計測するために、ウェーハは90度(または任意の角度だけ)回転されえる。本発明の優位性は、単一の光学系を用いてオーバレイが1つより多い方向において計測されえることである。
アルゴリズム
オーバレイを決定するさまざまなアルゴリズムおよび方法が、結果を改良しクロスチェックする目的で組み合わせられえる。また、既存の情報(例えばCDまたはプロファイルデータ)はこれらの技術の中で有用に統合されえる。
組み合わせアプローチの第1例示的実現例において、第1技術(差分法のような)によってオーバレイの第1計算が実行される。それから第2技術(モデルベースの回帰法のような)によってオーバレイの第2計算が実行される。それからこれら結果は、2つの計算から組み合わされる。これら結果はさまざまなやりかたで結合されえる。例えば、ある計算は、他とクロスチェックするために用いられえる。またはある計算は、他の計算を加速するための初期値を与えるために用いられえる。他の組み合わせも用いられえる。
第2組み合わせ例において、オーバレイ計測の速度および/または正確さは、他の計測されたデータを利用することによって向上される。例えば、ターゲットを構成するレイヤからの膜厚データがアルゴリズムに提供されえる。膜厚またはCDデータを入力としてオーバレイのためのモデルベースの回帰プログラムに提供することは、自由パラメータの個数を減らし、回帰において1つ以上の自由パラメータについてより良い初期推定を提供し、それにより結果までの時間を高速化する。このような膜厚データは、偏光解析装置または反射率計のような適切なツールを用いて計測されえる。代替として(または加えて)、CDデータがSCD計測(散乱計測微小寸法または散乱計測プロファイル計測)から提供されえ、散乱計測計算を加速または改善するために用いられえる。高さまたは3次元プロファイル情報のような散乱計測プロファイル計測からの他のデータが同様に用いられえる。CD SEMのようなCDデータの他のソースも用いられえる。
特定の実現例において、オーバレイの計算は、第1手法(差分リニア法または位相検出法のような)によって実行される。ターゲットの構造の第2計算は、第1方法のオーバレイ結果を用いて第2手法(モデルベースの回帰のような)によってそれから実行される。例えば、差分または位相検出法のオーバレイ結果は、第2モデルベースの回帰法において用いられるモデルを調整するのに用いられ、モデルの正確性を改善しえる。例えば、もしモデルベースの回帰法からのオーバレイ誤差および差分または位相検出法からのオーバレイの間の差が大きい(例えば所定の値より大きい)なら、そのモデルは修正される。この方法は、例えばターゲット構造計算を高速化またはその質を改善し、例えばレイヤ2構造の線幅のような有用なターゲット構造情報を決定するのに有利でありえる。他の組み合わせも用いられえる。
さらに他の実施形態において、差分信号(群)がまず計算され、それから第2方法(モデルベースの回帰のような)によってオーバレイの計算が実行される。差分信号(群)は、第2方法において用いられえ、例えばモデルは異なる信号(群)パラメータを含む。差分信号は一般にオーバレイに対してはより感度が高く、膜厚またはフィーチャプロファイルのようなターゲットの他の非オーバレイ特性に対してはより感度が低い。
結合された散乱計測および画像化ターゲット群および結合された散乱計測および画像化データ
代替の実現例において、ターゲットは、上述の散乱計測分析のためと共に、画像化ベースのオーバレイ計測の応用例のために設計される。換言すれば、散乱計測および画像化ターゲット構造が密接に統合されることによって、散乱計測が画像ベースのオーバレイ計測と併せて実行されえる。好ましくは散乱計測ターゲットペアは、視野の中心について対称的に配置される。もし画像化システムの照射および集光チャネルにおいて対称性が保存されるなら、ツールによって誘導されるシフトは最小化される。例として、XaおよびXa’はx方向において双子(同じ大きさだが符号が反対のオフセット)のターゲットである。(ここでXaおよびXa’は、図1のターゲットXaおよびXdに対応しえる。)同様に、XbおよびXb’は反対である。(ここでXbおよびXb’は、図1のターゲットXbおよびXcに対応しえる。)y方向において、ターゲットYaおよびYa’は反対であり、一方、YbおよびYb’は反対である。
図11aは、第1コンビネーション画像化および散乱計測ターゲット実施形態の上面図である。この例では、ターゲット構成は、散乱計測を用いてオーバレイを決定する4つのx方向ターゲットのセット、および散乱計測を用いてオーバレイを決定する4つのy方向ターゲットのセットを含む。ターゲットは、近接するターゲット(オーバレイ計測方向について)が反対のオフセットを有するようにレイアウトされる。図示される例では、ターゲットXaは、Xa’と反対のオフセットを有し、ターゲットXbはターゲットXb’と反対のオフセットを有する。同様に、ターゲットYaおよびYa’は反対のオフセットを有し、ターゲットYbおよびYb’は反対のオフセットを有する。この例ではターゲットは、画像ベースのオーバレイ決定のための構造として用いられえる構造も含む。
図示された例では、ターゲット構成は、第1レイヤ上にブラックボーダ構造1104を、第2レイヤ上にグレイ十字構造1102を含む。オーバレイ誤差(もしあるなら)を決定するために、画像解析方法を用いて、それからブラック構造1104の中心がグレイ構造1102の中心と比較されえる。
ターゲットのこのセットは、y方向よりx方向においてより長く伸びる全体的な四角形の形状を有するが、もちろんターゲットは他の形状(例えば正方形または任意の対称的な多角形)を有しえ、および/またはx以外の方向により長く伸びてもよい。
他のコンビネーションターゲット構成において、画像化構造は、散乱計測ターゲットの対称的に構成されたセットの中心にレイアウトされる。図11bは、第2コンビネーション画像化および散乱計測ターゲット実施形態の上面図である。示されるように、散乱計測ターゲットは、中央画像タイプターゲット152の周りに対称的に構成される。この例では、画像タイプターゲット1152は、ラインセグメントの象限から形成され、それぞれの象限はxまたはy方向のいずれかである。適切な画像タイプターゲットおよび同ターゲットによるオーバレイを決定する技術は、以下の米国特許および出願に記載される。すなわち、(1)Bareketによる「OVERLAY ALIGNMENT MARK DESIGN」と題された2002年10月8日発行の米国特許第6,462,818号、(2)Bareketによる「OVERLAY ALIGNMENT MEASUREMENT OF WAFER」と題された2000年2月8日発行の米国特許第6,023,338号、(3)Ghinovkerらによる「OVERLAY MARKS, METHODS OF OVERLAY MARK DESIGN AND METHODS OF OVERLAY MEASUREMENTS」と題された2001年6月27日出願の米国特許出願第09/894,987号、および(4)Levyらによる「OVERLAY ALIGNMENT MEASUREMENT MARK」と題された2002年11月26日発行の米国特許第6,486,954号に記載される。これら特許および出願は全て、その全体がここで参照によって援用される。
図11cは、第3コンビネーション画像化および散乱計測ターゲット実施形態の上面図である。このターゲット構成は、ボックスインボックスタイプターゲット1154の周りに対称的に構成された散乱計測ターゲットを有する。ボックスインボックスターゲットは一般に、第2レイヤ内に形成される第2外側ボックスによって囲まれる第1レイヤから形成される第1内側ボックスを含む。オーバレイ誤差(もしあれば)を決定するために、内側ボックス構造の中心が外側ボックス構造の中心と比較されえる。
上記ターゲットは、オーバレイを決定するために任意の適切なやりかたで(例えばBareket、Ghinovkerら、およびLevyらによる前述の特許および出願に記載されるように)画像化されえる。ターゲット構成は、散乱計測技術を用いてオーバレイを決定するために、ここで記載されるような任意の望ましい光学ツールで同時にまたは順次に計測されえる。代替の実施形態において、散乱計測ターゲットは、画像化タイプのターゲット構造と共に同時に画像化されえる。結果として生じる画像は、別個の散乱計測ターゲット群に再分割されえ、それから散乱計測技術がそれぞれのターゲットについて画像信号に適用されえる(例えば強度)。
画像は、散乱計測オーバレイ計測と同時に、またはその前後に得られうる。画像化システムは、カリフォルニア州、サンノゼのKLA−Tencorから入手可能なKLA−Tencor5300またはArcherオーバレイ計測システムにおけるシステムのような高解像度顕微鏡でありえる。代替として、画像化システムは、ウェーハアライメントまたはパターン認識を含みえる他の目的のために用いられる、より低解像度の画像化システムでありえる。
他の使用例は、オーバレイ計測値を分析し、ここで試料(例えばウェーハまたはウェーハロット)上のオーバレイ計測値の一部は画像化オーバレイ計測技術で得られ、オーバレイ計測値の一部は散乱計測オーバレイ計測技術で得られ、これらは同じまたは異なるサンプリングプランに従いえる。この一般的な使用の場合において、画像化オーバレイデータは、同じツール上で一緒に、または散乱計測オーバレイデータとして異なるオーバレイツール上で得られうる。
同じウェーハまたはロットで画像化および散乱計測オーバレイの両方を計測および分析することの一つの利点は、両方の技術の利点の利用である。例えば、画像化オーバレイは、現在、現在の散乱計測オーバレイ技術よりもより小さいターゲット上で用いられえる。散乱計測オーバレイ計測は、画像化オーバレイ計測よりも、より良い精密性およびおそらくはより良い正確性のようなよりよいパフォーマンスを持つ傾向にある。散乱計測オーバレイ計測は、関連するツールに起因するシフト(TIS)を持たない傾向にあり、一方、画像化オーバレイ計測はTISに関連付けられる。画像化オーバレイデータの獲得時間は、より大きな相対サイズを有する散乱計測ターゲットおよび散乱計測アプローチにおける複数のターゲットの使用のために、散乱計測オーバレイの獲得よりも短い傾向がある。
画像化オーバレイ計測は、ウェーハの特定のターゲットについて選択されえ、散乱計測オーバレイ計測は、他の特定のターゲットについて任意の適切な基準を用いて選択されえる。以下に概略が記された基準の任意の組み合わせが、特定のターゲットについて散乱計測および/または画像化計測を選ぶのに用いられえる。ある実施形態において、散乱法計測は、よりタイトなオーバレイバジェットを有するレイヤに用いられる。すなわち散乱計測は、ポリレイヤへのシャロートレンチアイソレーションのようなオーバレイ誤差についてより低い許容度を有するレイヤからのターゲットについて用いられる。画像化計測は、クリチカルではない、またはより緩いオーバレイバジェットまたは制約を有するレイヤにそれから用いられえる。
加えて画像化法または散乱法計測は、特定のターゲットについてパフォーマンス対スループットまたはウェーハの面積間でのトレードオフを分析することに基づいて選択されえる。例えばより小さいターゲットは、インチップのようなよりタイトなスペースで用いられえ、一方、より大きなターゲットは、フィールドまたはダイ間にそれぞれ位置するスクライブラインまたはストリート内のような大きなスペースで用いられる。ある実現例において、より大きなターゲットは、スクライブライン内でリソグラフィツールのフィールドにわたって分散され、一方、より小さいターゲットは、1つ以上のダイ内でフィールドにわたって配置される。散乱計測オーバレイは、より大きなターゲット、例えばスクライブラインまたはストリート内で用いられえ、一方、画像化オーバレイは、より小さいターゲットについて、例えばインチップで、または1つ以上のダイ内に配置されるターゲットについて用いられる。ある実施形態において、散乱計測は、スクライブライン(および/またはストリート)内のターゲットについて用いられ、画像化計測は、他の位置における全ての他のターゲットについて用いられる。ターゲットをフィールドにわたって、インチップまたはストリートまたはスクライブラインのいずれかに配置するいくつかの実施形態は、Mark GhinovkerらによるAPPARATUS AND METHODS FOR DETERMINING OVERLAY AND USES OF SAMEと題された2004年2月20日出願の米国特許仮出願第 号(弁護士整理番号KLA1P122X1P2)に記載され、この出願はその全体が全ての目的のためにここで参照によって援用される。他の実現例において、オーバレイは、この仮出願において記載されるように2つのレイヤおよび同時タイプのターゲット上で測定されえる。ある実現例において、散乱計測は、同時の、または単一のレイヤターゲットについて用いられえ、一方、画像化計測は2つのレイヤターゲット、またはその逆に用いられる。
散乱計測は、画像化オーバレイツールの較正を促進するように特定のターゲット上でも実行されえる。すなわち、散乱計測は、特定のサイトから得られえ、一方、画像化オーバレイは他のサイトから得られる。散乱計測オーバレイが画像化オーバレイから大きく異なる(所定の値より大きい)とき、画像化ツールの動作パラメータは、それから調整されえ、散乱計測および画像化オーバレイデータが大きくは異ならない(異なる量が所定の値よりも小さい)ようになるまで散乱計測および画像化オーバレイは再び得られる。
散乱計測は、限られたダイナミックレンジに関連付けられ、したがって、より大きいオーバレイ誤差は、散乱計測では見逃される。よって特定のセットのターゲットについてのオーバレイが、散乱計測のダイナミックレンジの限界を超えると予想されるとき(またはその逆)、画像化計測がそのようなターゲットについて用いられえる(またはその逆)。加えて、散乱計測は、より密のピッチパターンまたはターゲットに対して、特にポリレイヤにおいて問題を有しえる。このシナリオにおいて、画像化計測は、散乱計測に問題を起こす高度に密なターゲットについて用いられえ、一方、散乱計測はより疎らな(それほど密ではない)フィーチャについて用いられる。代替として、画像化オーバレイ計測は、密度について問題があると見いだされえる。この場合、散乱計測が非常に密なフィーチャについて用いられえ、一方、画像化はアイソレートされたフィーチャ上に用いられる。
将来には、ターゲットは、電子ビーム直接書き込みリソグラフィツールまたはナノインプリントリソグラフィツールのような画像化フォトリソグラフィツール以外のツールでインプリントされえる。これら異なるツールは、異なるサンプリング要件または種類を持ちえる。例えば、あるツールは、関連付けられたフィールドおよび対応するサンプリングを持ちえない。一例として、電子ビームは直接に小さいターゲットを100個「書き込み
」える。この場合、画像化計測ツールが用いられえるが、一方、散乱計測は画像化リソグラフィから形成されたターゲットについて用いられる。このシナリオにおいて、画像化および散乱法計測の両方を組み込むシステムが好ましく、それにより異なるツールの仕様について適切な計測法を素速く選びえる。
要するに、散乱計測および画像化オーバレイデータの両方が、ウェーハまたはウェーハロットのような単一の試料上で有用に集められえる。結合された散乱計測および画像化オーバレイデータは、さまざまな応用例において用いられえる。ある応用例において、散乱計測および画像化オーバレイデータは、共にロット成膜に用いられる。散乱計測および/または画像化オーバレイデータが仕様外である(例えばオーバレイ誤差が所定のスレッショルドよりも高い)とき、現在のロットは仕様外であり、おそらくは実際のデバイス故障につながるだろうことが決定されえる。この場合、そのロットはリワークされるか、または廃棄される。
プロセスエクスカーションの使用において、散乱計測および画像化オーバレイデータは、フォトリソグラフィツールまたはプロセスが仕様から外れてきているかを決定するのに用いられえる。換言すれば、散乱計測および画像化オーバレイデータは、ツールまたはプロセスに何か異常があるかを決定するのに用いられる。このように用いる場合、プロセスまたはツールをチェックするために特別なテストウェーハが用いられえる。加えて他のプロセスおよびそれらのそれぞれのツールは、散乱計測および画像化オーバレイデータの分析を通して評価されえる。散乱計測および画像化オーバレイデータが仕様外である(例えば所定のスレッショルドより大きい)とき、プロセスまたはツールにおいて問題が発生したと決定されえ、根本原因が調査されえる。例として、リソグラフィの問題は、以下のもののうちの1つ以上を含みえる。すなわち、レジスト厚問題、スキャナまたはステージアライメント問題、レンズ移動アライメント問題、フォーカスまたは露光量問題、およびレンズの収差である。
散乱計測および画像化オーバレイデータは、特定のリソグラフィツール(例えばステッパまたはスキャナツール)のための修正値を生成するのにも用いられえる。ある実現例では、散乱計測および画像化オーバレイデータは、オーバレイ誤差および位置(例えばフィールドにわたっての)間の依存性を決定するために用いられる。この依存性は、リソグラフィツールを修正するための平行移動、拡大率、および回転のようなパラメータに翻訳されえる。
適切なツールまたはツール群の組み合わせが用いられて、画像化および散乱計測オーバレイの両方を実行しえる。図11dは、本発明の第1実施形態による組み合わせられた画像化および散乱計測システム1160を示す。この実現例において、画像化光学アセンブリ1162は、散乱計測光学アセンブリ1164から分離されている。換言すれば、画像化アセンブリ1162は、散乱計測アセンブリ1164から空間的に分離されており、両方のアセンブリはスタンドアローンの要素である。この実現例においては、アセンブリ1162および1164は、光学要素を共有はしないが、互いに相補的で協働するよう設計される。例えば、オーバレイデータは、1つ以上の上述の技術をいずれかのアセンブリまたは別個のプロセッサ(不図示)上で実現するために2つのデバイス間で渡されえる。
複合システム1160はまた、試料をその上に保持するステージ116を含む。このステージおよび光学アセンブリは、互いに関連して移動し、それによりステージが第1位置においては画像化光学アセンブリ1162の下にあり、第2位置においては散乱計測光学アセンブリ1164の下にあるようにする。ステージおよび/または光学アセンブリ1162および1164は、平行移動モータに結合されえる。単一のアイソレーションチャンバおよびステージ116がシステム1160について示されているが、画像化および散乱計測アセンブリはそれぞれ自身のステージおよび別個のアイソレーションチャンバを有しえる。
散乱計測および画像化オーバレイデータを得るために上述のシステムの任意の組み合わせも用いられえる。
図11eは、本発明の第2実施形態による組み合わせられた画像化および散乱計測システム1170を示す。この実現例において、画像化および散乱計測光学アセンブリは互いに統合される。画像化および散乱計測光学アセンブリは、1つ以上の要素を共用してもよい。例えば、画像化および散乱計測アセンブリは、同じ光源を共用しえる。示されるように、組み合わせシステム1170は、オーバレイ計測を画像化するよう構成される画像化顕微鏡1172および任意の形態の光学ビームをステージ1178上の試料に向けて導く光源1174および入射光学ビームに応答して結果として生じる信号を計測する検出器1176を含む。例えば、画像化および散乱計測アセンブリは、同じ光源を共用しえる。画像化および散乱計測アセンブリは、データを共用するようにも構成されえ、このデータはいずれかのアセンブリにおいて、または独立のプロセッサ(不図示)によって分析されえる。
図11fは、本発明の第3実施形態による組み合わせられた画像化および散乱計測システム1180を示す図である。このシステム1180は、クラスタツールの形態である。示されるように、システム1180は、1つ以上の散乱計測信号を得て分析する散乱計測モジュール1182、およびオーバレイ計測画像化を実行する画像化モジュール1186を含む。システム1180はまた、2つのモジュール1182および1186間で試料を移動させる試料ハンドリング要素1190を含む。画像化および散乱計測アセンブリは、データを共用するようにも構成されえ、このデータはいずれかのアセンブリにおいて、または独立のプロセッサ(不図示)によって分析されえる。
上述のシステムは、画像化および散乱計測オーバレイ決定を実行するための任意の適切な要素を含みえる。例えば画像化光学要素は、カリフォルニア州サンノゼのKLA−TencorからのArcherシステムの要素と同様でありえる。散乱計測光学要素は、ここで記載された任意の散乱計測システム要素と同様に構成されえる。
インプリントリソグラフィのあいだのマスクアライメント
マスクおよび試料はナノインプリントリソグラフィのあいだ典型的には直近にある(重合されるべき液体によって分離されている)ので、マスクのパターン付けされた表面、液体、およびアラインされるべきパターン付けされた試料は、散乱計測オーバレイターゲットと機能的に等価であると考えられる。マスクは、ターゲットの形状に構成された凹部またはくぼみを含み(他の構造と共に)それによりマスクが流体に押しつけられるとき、マスクターゲット形状(および他の構造)に対応するインプレッションが下にある流体中に形成される。また、放射が透過して流体へと届き、それにより液体およびマスクによって形成されたそのインプレッションを固化する。
散乱計測オーバレイのために規定される全ての方法、技術およびターゲットは、アライメントプロシージャに適用可能である。ある実施形態において、計測器具は、放射(好ましくは光)をマスクを通して、1つ以上の散乱計測オーバレイターゲットを含むマスクおよびウェーハのエリア上に投影する。例えばウェーハは、1つ以上のターゲットを第1レイヤ上に含みえ、一方、第2レイヤとして用いられるマスクは1つ以上のターゲットを含む。放射は、マスクターゲットに向かって導かれ、マスクの一部を通してウェーハターゲットに届く。
散乱または回折による反射された光の特性の変化がそれから用いられて、マスク上のパターンおよびウェーハ上のパターン間のオフセットが決定される。ウェーハはそれからマスクに対して移動され(またはその逆)、所望のオフセットを達成する。直接画像化またはモアレ技術のような従来のアライメント技術によるよりも、より正確なアライメントが達成されえる。この器具は、反射率計、偏光反射率計、分光計、画像化反射率計、画像化干渉計、またはここでまたは前述の仮出願内で記載されたような他の器具でありえる。
散乱計測オーバレイターゲットの配置
散乱計測オーバレイシステムの正確さは、対象となる表面にわたって位置する複数のターゲットにおいて計測を行うことによって改善されえる。ある実現例において、散乱計測オーバレイシステムは、対象となる表面にわたるさまざまな位置における複数の散乱計測ターゲットを利用しえ、それぞれのターゲットについてシステムは、オーバレイの1回の散乱計測の測定を行いえる。他の実現例において、散乱計測オーバレイシステムは、対象となる表面にわたるさまざまな位置における複数の散乱計測ターゲット領域を利用しえる。散乱計測ターゲット領域は、複数のターゲットを備え、それらのそれぞれは、散乱計測オーバレイシステムによって計測されえる。例として、散乱計測ターゲット群または散乱計測ターゲット領域群は、ウェーハ上に形成されている1つ以上のデバイスの角に配置されえる。加えて散乱計測ターゲットは一般に、格子構造を含みえ、これは散乱計測オーバレイシステムによって計測可能である。
ターゲットの個数は一般に、対象となる表面上の利用可能なスペースに依存する。たいていの場合、ターゲットは、ウェーハ上のデバイス間のスクライブライン内に配置される。スクライブラインは、ソーイングまたはダイシングを介してウェーハがダイに分割されるウェーハ上の場所であり、回路そのものはそこにパターン化されない。このような場合、ターゲットの数は、少なくとも部分的にはスクライブラインの狭さによって制限されえる。理解されるようにスクライブラインは、ウェーハ上のデバイスの量を最大化するよう、狭くされる傾向にある。
本発明のある実施形態によれば、ターゲットは、スペースの制約を克服しつつターゲットの数を増すために、対象となる表面上に戦略的に配置される。ある実現例において、少なくとも2つのターゲットが第1方向において実質的に同一直線上に配置される。例えば、これらはx方向またはy方向に同一直線上に配置されえる。この構成は、スクライブラインのように狭いスペースと直面するときに有用でありえる。他の実現例において、複数のターゲットが複数の方向において配置される。例えば、複数のターゲットはx方向およびy方向の両方において同一直線上に配置されえる。この構成は、二つのスクライブラインの交点におけるような、デバイスの角において有用でありえる。
ここで挙げられる例は、対象となる表面上で定義されるデカルト座標系において記載されるが、座標系は対象となる表面上で、xおよびy軸が回転されたり、または場合によっては交換されたり恣意的に方向づけられえることに注意されたい。代替として、またはデカルト座標系と組み合わせて、例えば、極座標系のような任意の他の座標系が用いられえる。
図9Aは、本発明のある実施形態による1つ以上のターゲット902を有する散乱計測ターゲット領域900の上面図である。散乱計測ターゲット902は一般に、基板の2つ以上の連続するレイヤ間の、または基板の単一のレイヤ上の2つ以上の別個に生成されたパターン間の相対シフトを決定するために提供される。例として、散乱計測ターゲットは、どの程度正確に第1レイヤがその上または下に配置される第2レイヤに対してアラインするか、またはどの程度正確に第1パターンが同じレイヤ上に配置される先行または後続する第2パターンに対してアラインするかを決定するために用いられえる。
図9Aに示されるように、散乱計測ターゲット領域900は、少なくとも2つの実質的に同一直線上のターゲット902を含む。同一直線上とは、一般に、それぞれのターゲット902のそれぞれについての対称の中心が同じ軸904上に存在することを意味する。例として、軸904は、従来の座標系(デカルト、極など)またはそれらの変化形とアラインしえる。ターゲット902を同一直線上に配置することによって、散乱計測ターゲット領域900は、それほど幅Wを占有せず、したがってウェーハのスクライブライン内のような制約を受ける場所に配置されえる。
ターゲット902は一般に、軸904に沿って互いに並置される。たいていの場合、並置されたターゲット902は、隣接するターゲット902の部分とオーバラップしないように、空間的に互いに離される。したがってターゲット902のそれぞれは別々であり、すなわち基板上の異なる領域を表す。これは典型的には、ターゲット902のそれぞれが適切に計測されることを確実にするためになされる。ターゲット902間の間隔906は、光学信号における歪みを作り、したがってオーバレイ計算からは除外される。間隔906のサイズは、オーバレイの計測のためになるべく多くの情報を提供するように、典型的にはターゲット902のサイズとバランスがとられる。すなわち、一般にはより大きなターゲット902、およびそれらの間のより小さな間隔906を有することが望ましい。ターゲット902間の間隔906は、除外領域(exclusion zone)と呼ばれることもある。
ターゲット902は大きく変更されえ、一般には散乱計測を介して計測されえるオーバレイターゲットの任意のものに対応しえる。例として、ターゲット902は、一般に、平行なセグメント化されたライン(segmented lines)910を有する1つ以上の格子構造908を含みえる。必要条件ではないが、同一直線上のターゲット群902についてのセグメント化されたライン群910は、同じ方向に一般に配置され、これは軸904に平行または軸904を横切る。たいていの場合、xおよびyにおけるオーバレイ計測を可能にするために、セグメント化されたライン910のいくつかは軸904に垂直であり、いくつかは軸904に平行である。さらにターゲット902は同一の構成を有しえ、またはそれらは異なる構成を有しえる。例えば構成は、ターゲット902の全体的な形状およびサイズ、またはおそらくはターゲット902内に含まれる格子構造908に関連付けられたセグメント化されたライン910のライン幅および間隔を含みえる。好ましくは、特定の方向、例えばx方向におけるオーバレイ計測のために用いられるターゲットは、プログラムされた、または設計されたオーバレイオフセットを除いて同じ構成を有するよう設計される。
ターゲットの個数も大きく変更されえる。理解されるように、ターゲットの個数を増すことは、データ収集点の個数を増し、したがって計測の正確さを増す。ターゲット902の個数は、ターゲット902の全体的なサイズおよび軸904の方向における空間的制約に一般に依存する。図示された実施形態において、8個の横に並んだターゲット902が散乱計測ターゲット領域900内に配置される。散乱計測ターゲット領域は、上述のxy散乱計測オーバレイターゲットグループと等価でありえる。
上述のターゲット902を用いて、散乱計測オーバレイ計測は、オーバレイを計測しつつ、一方で、膜厚のような他の試料パラメータにおけるバラツキによる効果を除去するために、一度に一つのターゲットずつ、順次に行われえる。これは、散乱計測ターゲット領域(例えばターゲットおよびそれらの間の間隔を含む)を連続的にスキャンすることを介して、またはターゲットのそれぞれにステップ状に移動することによって達成されえる。代替として計測は、スループットを増すために、2個のターゲット、2個より多いターゲット、または全てのターゲットについて2つ以上の散乱計測信号ビームを用いて実質的に同時に起こりえる。複数の散乱計測信号ビームは、1つより多い実質的に独立な散乱計測光学系から到来しえ、またはそれらビームは、例えば同じ光源、同じビーム方向付け光学系、または同じ検出器システムを共用するように、多くの光学系を共用しえる。
上述の方法は、ターゲットのそれぞれについての対称の中心を実質的に同一直線上に配置することを含むが、ターゲットの計測可能な部分が同じ軸上にある限り、対称の中心は軸からオフセットされえることに注意されたい。
さらに上述の方法は、同様の向きのターゲットを同じ軸に沿って配置することを含むが、ターゲットのいくつかは異なる向きに配置されえることに注意されたい。例えば、ターゲット902の第1グループは、x次元内に配置されたセグメント化されたライン群を有しえ、ターゲット902の第2グループは、y次元内に配置されたセグメント化されたライン群を有しえる。
さらに、ターゲット902は、単一の軸904に沿って配置されるよう示されるだけであるが、ターゲットは複数の軸上に配置されえることに注意されたい。例えば図9Bに示されるように、ターゲット902Aの第1グループは、第1軸904Aに沿って同一直線上に配置されえ、ターゲット902Bの第2グループは、第2軸904Bに沿って同一直線上に配置されえる。この実現例は、少なくとも2つの方向におけるオーバレイの独立した計測を許す。第1および第2軸は、典型的には互いに横切られ、より具体的には互いに直角をなす。図示された実施形態において、第1軸904AはX次元に対応し、一方、第2軸904BはY次元に対応する。さらにそれぞれのグループは、4つのターゲット902からなる。この実現例は、XおよびY方向におけるオーバレイの独立した計測を許す。
さらに、ターゲットは、実質的に一つの方向におけるフィーチャ(例えばセグメント化されたライン)を有するとして記載されてきたが、ターゲットは一つより多い方向におけるフィーチャを含みえることに注意されたい。例えばある実現例において、同一直線上に配置された1つ以上のターゲットは、第1および第2方向における散乱計測のオーバレイ計測を許すフィーチャを含む。例として、セグメント化されたラインのようなフィーチャは、XおよびY次元の両方に配置されえる。この場合、図9Bに示されるように1つより多い軸に沿ってターゲットを配置する必要が減るか、またはなくなる。すなわち、もしそれぞれのターゲットが2次元散乱計測測定を可能にするフィーチャを有するなら、オーバレイは、単一の軸に沿って実質的に同一直線上に配置されたターゲット群の単一セットを用いて、XおよびY軸の両方に沿って決定されえる。代替として1つ以上のターゲットが、1つ以上のサブターゲットを含みえる。もしサブターゲットが、2次元散乱計測の測定を許すフィーチャを有するなら、計測正確性の特定の度合いのために望ましいターゲットの個数は低減されえ、ターゲットは単一のラインに沿って配置されえる。
加えて、1つ以上の軸に沿って配置されるターゲットは、1つ以上のパラメータの計測について用いられえる。例えば、ターゲット群の第1セットは、X軸に沿った波長の散乱計測の測定に用いられえ、ターゲット群の第2セットは、Y軸に沿った空間的解像度の散乱計測の測定に用いられえる。代替実施形態において、空間的解像度の散乱計測の測定は、X軸に沿って実行されえ、一方、スペクトルの測定は、Y軸に沿って実行されえる。
結合されたCDおよびオーバレイマーク
散乱計測測定ターゲットは、CDおよびオーバレイの両方の計測のためにウェーハの大きな面積を消費する。このウェーハ領域は、デザインルールが縮小するにしたがい、非常に貴重になる。現在、散乱計測オーバレイマークは、35×70μmより大きいスペースをウェーハ上のそれぞれのxy散乱計測オーバレイターゲットグループまたはマークのために消費しえる。これらは、オーバレイ計測だけのために用いられ、したがって製造者は、ウェーハスペースのロスを望ましくないと考える。したがって、計測ターゲットまたは計測フィーチャのために必要な総ウェーハ面積を小さくすることが望ましい。より小さいターゲット上の計測を可能にするための光学系設計の変更は、光学系の複雑さを増し、潜在的には計測パフォーマンスを妥協することになりえる。ここで記載される散乱計測オーバレイ測定において、ターゲット領域は、それぞれの軸(XおよびY)について典型的には4つの格子からなる。これら格子のそれぞれは典型的には15×15μmより大きく、従来の技術を用いるならさらに縮小する可能性は限られている。それぞれの格子は、第1レイヤ格子(例えばSTIまたはシャロートレンチアイソレーション)および上部レイヤ格子(例えばゲートレジスト)で構成される。2つのレイヤの1つは、プログラムされたオフセットを有し、これは典型的には上部格子のピッチよりも小さい。多くの場合、上部レイヤは、フォトレジストである。オーバレイ計測は、これら格子のそれぞれからの反射された光のスペクトルを分析することによって達成される。
散乱計測微小寸法(CD)測定において、ターゲット領域は、典型的には単一の格子からなり、これはいずれかの軸(XまたはY)に沿って配置されえる。場合によっては、ターゲット領域は、複数の格子を軸(XまたはY)についてそれぞれ含みえる。これらの格子のそれぞれは典型的には50×50μmである。計測は典型的には、L1パターニングステップの完了に続いて、その下にパターンがない、単一のプロセスレイヤターゲット上で実行される。この計測は典型的には、リソグラフィパターニングプロセス中のレジスト現像ステップに続いて、または製造の他のモジュールにおけるエッチングまたはCMPプロセスに続いてフォトレジストパターン上でなされる。CD計測は、上述のXuらによる米国特許第6,590,656号で記載されるように格子(群)から反射された光を分析することによって達成される。
本発明のある実施形態によれば、散乱計測CDマークおよび散乱計測オーバレイマークは、製造でウェーハスペースを節約できるように、またウェーハスクライブラインに影響を与えることなく、より大きな散乱計測オーバレイマークをプリントできるように結合される。結合されたマークは、第1レイヤとして散乱計測CDターゲット(4つの散乱計測オーバレイ格子の領域をとる1つの連続的な格子である)を、上部レイヤとして散乱計測オーバレイターゲットパターン(第1レイヤについて対応するズレを持って)を持つように構成される。この結果、散乱計測オーバレイに割り当てられるゼロまたは最小の追加スクライブラインスペースが実現できる。
図12は、本発明のある実施形態による結合されたマーク1200の図である。結合されたマーク1200は、散乱計測CD計測および散乱計測オーバレイ測定(scatterometry overlay measurement)をウェーハ製造プロセスの異なるステップにおいて提供する。結合されたマーク1200は、ウェーハの少なくとも2つのレイヤ上で形成され、特に第1レイヤL1および上部レイヤ(top layer)L2上で形成される。第1レイヤL1は、散乱計測CD/プロファイルターゲット1202を含み、上部レイヤL2は散乱計測オーバレイターゲット1204を含む。別個のレイヤとして図では示されるが、散乱計測オーバレイターゲット1204は散乱計測CDプロファイルターゲット1202上に(を覆うように)作られることに注意されたい。散乱計測CD/プロファイルターゲット1202は、L1散乱計測CDマークを形成し、これは、L1パターンの形成またはプロセスの後にCDを決定するのに計測されえる。散乱計測オーバレイターゲット1204は、散乱計測CD/プロファイルターゲット1202と協働して、L2−L1散乱計測オーバレイマークを形成し、これらはL2パターンの形成(これはL1パターン形成の後に来る)の後にレイヤ間のオーバレイを決定するために計測されえる。明らかなように、この方法は、レイヤ2L2散乱計測CD/プロファイルターゲット(群)を作ってから、L3−L2散乱計測オーバレイマークまたはターゲット領域を作るために、レイヤ3L3パターンに続くよう、反復されえる。
散乱計測CD/プロファイルターゲット1202および散乱計測オーバレイターゲット1204の構成は、大きく変更されえる。図示された実施形態において、L1上に配置された散乱計測CD/プロファイルターゲット1202は、第1方向に向けられた第1格子1206、および第2方向に向けられた第2格子1208を含む。第1方向は第2方向に直交しえる。例として、第1格子1206は、垂直ラインを含みえ、一方、第2格子1208は、水平ラインを含みえる。加えて、L2上に配置された散乱計測オーバレイターゲット1204は、格子1210の第1グループおよび格子1212の第2グループを含む。格子1210、1212の第1および第2グループの両方は、1つ以上の格子1214を含む。格子1214の個数は大きく変更されえる。ある実現例においては、第1および第2グループ1210および1212の両方は、4つの格子1214を含む。第1グループ1210中の格子1214Aは、第1方向に向けられ、第2グループ1212中の格子1214Bは、第2方向に向けられる。例として、第1グループ1210内の格子1214Aは、垂直ラインを含みえ、一方、第2グループ1212内の格子1214Bは、水平ラインを含みえる。
L2−L1オーバレイマークを作るために、格子1210の第1グループは、CD/プロファイルターゲット1202の第1格子1206上に配置され、格子1212の第2グループは、CD/プロファイルターゲット1202の第2格子1208上に配置される。これは格子を、同じように向けられたライン群を併せるように配置する。すなわち垂直ラインは垂直ライン同士で、水平ラインは水平ライン同士で配置する。格子1210の第1グループは、CD/プロファイルターゲット1202の第1格子1206と協働し、格子1212の第2グループは、CD/プロファイルターゲット1202の第2格子1208と協働する。レイヤ間のアライメントは、これら協働する構造の対応するライン間で作られたシフトによって決定される。垂直ラインは、例えばXオーバレイを決定するのに用いられえ、水平ラインは、例えばYオーバレイを決定するのに用いられえる。代替の実施形態において、L1またはL2パターンは、ラインセグメント、円筒状ホールまたはフィーチャ(例えばレジスト中のコンタクトまたはバイアホールまたは埋められたコンタクト)、デバイスのような構造などを備える周期的構造でありえる。
CDマークの第1および第2格子1206および1208は一緒に示されるが、これらは離れて配置されえることに注意されたい。離れて実現されるとき、格子1210の第1グループおよび格子1212の第2グループも離れて配置され、すなわち、格子1210の第1グループは第1格子1206と一致し、格子1212の第2グループは、第2格子1208と一致する。
オーバレイおよびCDマークを組み合わせる利点は多い。異なる実施形態または実現例は、以下の利点の1つ以上を有しえる。マークを組み合わせることの一つの利点は、散乱計測オーバレイターゲットのための追加のウェーハスペースの必要を減らすことができることにある。他の利点は、もしそれらがそれほど大きな追加のスクライブラインスペースを必要としないなら、より大きな散乱計測オーバレイターゲットが許されえることである。大きな散乱計測オーバレイターゲットは、小さな散乱計測オーバレイターゲットよりも、光学設計または光学製造をより簡単にしえ、より良いオーバレイ計測パフォーマンスを提供しえる。
散乱計測オーバレイおよびCDSEM
この実施形態の目的は、半導体ウェーハ上における電子顕微鏡(CD−SEM)による微小寸法の計測、および同じ計測システム上で散乱計測を用いた、またはロボットウェーハハンドリングシステムの少なくとも一部を共用するリンクされた計測システムを用いたオーバレイの計測を可能にすることである。微小寸法およびオーバレイを計測する確立された方法は、別個の計測システム群のスケジューリングおよび操作を一般に必要とする。別個の計測システム群上で微小寸法計測値およびオーバレイを測定する確立された方法の一つの欠点は、別個の計測ツール上で別個の操作をスケジューリングし走らせるためにさらなる時間が必要とされることである。他の欠点は、共通部分の冗長性であり、それに関連付けられたコストである。
これら欠点を克服するために、散乱計測オーバレイおよびCDSEMを結合する計測システムが提供されえる。ある実施形態において、散乱計測オーバレイ測定(scatterometry overlay measurement、SCOL)システムは、CDSEMおよびSCOLシステムがロボットウェーハハンドリングシステムおよび/またはデータシステムの少なくとも一部を共用するように、CDSEMシステムと統合される。代替として、CDSEMおよび散乱計測オーバレイシステムは、独立した操作が可能な別個のシステムでありえるが、それらがロボットウェーハハンドリングシステムの少なくとも一部を共用するようにリンクされえる。
動作において、ウェーハ、ウェーハ群のグループ、または複数のウェーハのバッチは、この結合された計測システム専用のロボットウェーハハンドリングシステム上にウェーハコンテナをロードすることによって、結合された計測システム中に導入されえる。計測レシピは、ウェーハの一部または全てについてのCDSEM計測値を、およびウェーハの一部または全てについてのオーバレイ計測値を特定することによって選択されえる。CDSEM計測値およびSCOL計測値は、1つ以上のレシピにおいて一緒に特定されえるか、あるいは別個のレシピにおいて特定されえる。CDSEMおよびSCOL計測は、同じウェーハ上で、または異なるウェーハ上で、または一部は同じウェーハで一部は異なるウェーハ上でなされえる。CDSEMおよびSCOLシステムは、並列に、または直列に動作しえる。
結合された計測システムの一例は、散乱計測オーバレイ測定(scatterometry overlay measurements)が可能な散乱計測システム(分光偏光解析装置、分光偏光反射率計、または+/−1次回折散乱計のような)を、カリフォルニア州、サンノゼのKLA−Tencorによって製造される任意のCD−SEMのようなCD−SEMの中に統合することである。結合された計測システムの他の例は、散乱計測オーバレイシステム、カリフォルニア州、サンノゼのKLA−Tencorによって製造される任意のCD−SEMのようなCD−SEM、ロボットハンドラ、およびウェーハスケジューリングシステムを備えるリンクされたシステムである。工場オートメーションおよび/または工場情報への通信、および/または工場プロセス制御システムは、別個の通信またはオートメーションシステムを通じてなされえ、または少なくとも一部または完全に共用されえる。
結合されたCDSEMおよびSCOL計測システムの一つの利点は、CDSEMおよび散乱計測オーバレイ測定をスケジューリングおよび/または実行することを完了するために必要な全体的な時間の削減である。少なくとも1つのキュー遅延時間は、除去されえる。CDSEMおよびオーバレイ測定を並列に実行することは、別個の計測動作のために必要とされる少なくとも一部の時間を節約できる。
図13A〜13Dは、本発明のある実施形態による結合された計測ツール1300のバリエーションを示す。全ての図において、結合された計測ツール1300は、ロボットウェーハハンドリングシステム1302、微小寸法スキャニング電子顕微鏡(CD−SEM)1304、散乱計測オーバレイ(SCOL)測定器具1306、ウェーハロード位置A1308およびウェーハロード位置Bおよび1310をそれぞれ含む。ロボットウェーハハンドリングシステム1302は、ウェーハをCD−SEM1304およびSCOL計測器具1306へ、およびそれらから、またウェーハロード位置AおよびB1308および1310へ、およびそれらから搬送するよう構成される。微小寸法走査顕微鏡1304は、例えば線幅、上部線幅、ビア直径、側壁角、およびプロファイルを含みえる微小寸法を計測するよう構成される。散乱計測オーバレイ測定器具1306は、例えばウェーハ上に配置された2つのレイヤ間のオーバレイを計測するよう構成される。ウェーハロード位置Aおよびウェーハロード位置Bは、1つ以上のウェーハを保持するよう構成される。たいていの場合、これらは複数のウェーハを保持する。ウェーハは、同じロットからでもよく、異なるロットからでもよい。
図13AおよびDにおいて、CD−SEM1304およびSCOL計測器具1306は、ロボットウェーハハンドリングシステム1302を介して統合される別個のシステムである。図13Bにおいて、SCOL計測器具1306は、CDSEM1304に統合される。図13Cにおいて、SCOL計測器具1306は、ロボットウェーハハンドリングシステム1302に統合される。
ある動作において、ウェーハロード位置Aおよび/またはBからのウェーハの一部は、CD−SEMにおいて微小寸法が計測され、その後、オーバレイが散乱計測オーバレイ測定器具において計測される。ウェーハは、システムから取り除かれることなく両方のプロセスによって計測されえる。すなわち、ウェーハハンドリングやそれに関連するスループットの問題が少なくされる。他の動作において、ウェーハロード位置Aおよび/またはBからのウェーハの一部は、CD−SEMにおいて微小寸法が計測され、ウェーハロード位置Aおよび/またはBからのウェーハのその他は、SCOL計測器具においてオーバレイが計測される。これら動作のいずれにおいても、CDSEMおよびSCOL計測器具は、独立して同時に進みえる。
図14は、本発明のある実施形態による結合された計測ツールを用いたフロー図1400である。この方法は一般に、ステップ1402を含み、ここでウェーハのグループが計測ツールによって受け取られる。例としてウェーハは、図13の位置Aにおいてロードされるウェーハロットでありえる。ステップ1402に続いて、プロセスフロー1400は、ステップ1404に進み、ここでウェーハのグループからのウェーハの微小寸法が計測される。例として、微小寸法計測は、例えば図13に示されるCDSEMのようなCDSEMによって実行されえる。プロセスフロー1400は、またステップ1406に進み、ここでウェーハのグループからのウェーハのオーバレイが、例えば図13に示される器具のようなSCOL計測器具によって実行される。ステップ1404および1406は、同時に異なるウェーハに対して実行されえる。ステップ1404および1406は、例えばCDからオーバレイへ、またはオーバレイからCDへと一連の動作において実行されえる。ウェーハの搬送は、図13に示されるロボットシステムによって例えば実行されえる。全ての計測が実行されるとき、プロセスフローは、ステップ1408に進み、ここでウェーハのグループは、計測ツールから解放される。
散乱計測オーバレイデータの使用
リニア差分方法および位相検出アルゴリズムを含む、ここで記載された散乱計測オーバレイ技術で得られるオーバレイ結果は、オーバレイ誤差を最小化するためにステッパ設定への補正値を計算するのに用いられえる。リソグラフィステッパまたはスキャナのためのこれら計算された補正値は、「ステッパ補正値」と共通して呼ばれる。散乱計測オーバレイ計測値から得られたステッパ補正値は、後続のウェーハ処理のためにオーバレイ誤差を最小化するためのステッパへの入力として用いられえる。散乱計測オーバレイから得られたオーバレイ誤差またはステッパ補正値は、自動化されたプロセス制御システムに入力されえ、これがそれからステッパへの入力へのステッパ補正値のセットを計算して、後続のウェーハ処理のためにオーバレイ誤差を最小化する。散乱計測オーバレイで得られたオーバレイ誤差、ステッパ補正値、または計算されたウェーハ上の最悪のオーバレイ誤差は、ウェーハがリワークを必要とするか、またはさらなるウェーハ処理のためにオーバレイ要件を満たすかを決定するために、製品ウェーハを判定するのに用いられえる。
散乱計測オーバレイおよび他の計測または検査方法の組み合わせ
散乱計測オーバレイは、散乱計測プロファイルまたは散乱計測微小寸法システム、または他の半導体計測または検査システムと組み合わせされえる。散乱計測オーバレイは、例えばリソグラフィレジストプロセスツール(レジストトラックとしても知られる)のような半導体プロセスツールと統合されえる。計測システムのプロセスシステムとの統合、および計測システム群の組み合わせは、(1)Lakkapragada, Sureshらによる「METHOD AND SYSTEMS FOR LITHOGRAPHY PROCESS CONTROL」と題された2001年5月4日出願の米国特許出願第09/849,622号、および(2)Nikoonahadらによる「METHODS AND SYSTEMS FOR DETERMINING CRITICAL DIMENSION AND A THIN FILM CHARACTERISTIC OF A SPECIMAN」と題された2003年10月14日に発行された米国特許第6,633,831号に記載され、これら出願はそれらの全体がここで参照によって援用される。
交差格子による散乱計測オーバレイ
散乱計測オーバレイラインターゲットは、下にあるライン格子L0(または任意の個数の下にある格子)に垂直なL1およびL2ライン要素を持つ。この場合、散乱計測オーバレイ信号は、L0に対するL1およびL2の位置に敏感ではない。ある利点は、以前のプロセスレイヤ内で既に散乱計測プロファイルターゲットのために用いられたウェーハ領域を再利用できることである。例えば、2つの異なるセットのレイヤにおけるオーバレイを決定するためのターゲットは互いに積み重ねられえる。
他の実施形態において、下にあるライン格子L0に垂直なL1およびL2ライン要素を持つ散乱計測オーバレイラインターゲットであって、ここでL0が1つ以上の材料(群)(例えば銅ダマシン構造)であり、ここでL0ピッチおよび線幅が、散乱信号がL0の下の構造(例えば膜厚または他の構造)に対しては、L0が存在しない場合よりも実質的に感度が低い(スペクトルまたは信号条件の少なくとも一部について)ように構成されるものが用いられる。これら特性を有するL0構造のある例は、200nmピッチ、100nm線幅、および500nm高を持つ銅ダマシンライン格子である。ピッチ、線幅などを適切に選ぶことによって、下にある構造を遮蔽し、少なくともある信号波長、偏光などについては下部フィーチャにより感度が低い信号を作るL0構造を作りえる。ピッチおよび線幅を含め好ましいL0特性を決定するために光学シミュレーションが用いられえる。
図15は、本発明のある実施形態による下部ライン格子L0に垂直なL1およびL2ライン要素を持つオーバレイラインターゲットの透視概略図である。示されるように、オーバレイターゲット構造は、レイヤ2(L2)1502内に形成され、これらL2構造1502は、レイヤ1(L1)1504内に形成されるオーバレイターゲット構造の上に配置される。膜1506は、L1構造1502およびL2構造1504の間に配置される。L1構造は、レイヤ0(L0)1508内の下部構造の上にもL1およびL0構造間に配置された膜1510を持って形成される。
ある実現例において、L0構造1508は、L1構造1510に垂直であり、それによって構造L1またはL2の計測に実質的に影響を与えない。すなわち、入射放射1512がL1およびL2構造1504および1502に入射するとき、散乱された放射1514は、L0の上のL2/L1オーバレイターゲット構造の配置によっては大きくは影響されない。加えて、L0構造1508は、下部レイヤおよび構造の上へバリアまたは遮蔽を形成する1つ以上の材料(群)から形成されえる。例えば、下部構造1516(および膜1518)は、信号スペクトルの少なくとも一部または光学信号の少なくとも1つについては散乱された放射1514に大きくは影響を与えない。膜1518はまた、典型的にはL0構造および下部構造1516の間に配置される。
前述の本発明は、理解の明瞭さのためにある程度、詳細に記載されてきたが、添付の特許請求の範囲の範囲内である種の変更および改変が実施されえることは明らかだろう。例えば、ウェーハまたは試料という語が全体で用いられたが(例えば半導体)、基板、フォトマスク、フラットパネルディスプレイ、電子光学デバイスのような、他のタイプの製造と関連付けられた任意のタイプのワークピースが利用されえることに注意されたい。半導体業界および関連業界で用いられているか、または開発中である、リソグラフィシステムを一般に表現するための一例として「ステッパ」という語が全体で用いられたが、リソグラフィシステムに対する限定ではなく、これはステッパ、スキャナ、インプリントリソグラフィシステム、電子ベースのリソグラフィパターニングシステム、EUVベースのリソグラフィパターニングシステムなどを含みえる。したがって記載された実施形態は例示的であると考えられなければならず、限定的ではなく、本発明はここに与えられた詳細には限定されず、以下の特許請求の範囲およびその等価物の範囲全体によって規定されるべきである。

Claims (54)

  1. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、光学システムを用いることによって前記周期的ターゲットのそれぞれからの光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法であって、
    前記光学システムは、
    画像化反射計、画像化分光反射計、偏光分光画像化反射計、走査反射計システム、並列データ獲得が可能な2つ以上の反射計を持つシステム、並列データ獲得が可能な2つ以上の分光反射計を持つシステム、並列データ獲得が可能な2つ以上の偏光分光反射計を持つシステム、ウェーハステージを移動させることなく、または任意の光学要素または反射計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光分光反射計を持つシステム、画像化分光計、波長フィルタを持つ画像化システム、ロングパス波長フィルタを持つ画像化システム、ショートパス波長フィルタを持つ画像化システム、波長フィルタを持たない画像化システム、干渉画像化システム、画像化偏光計、分光偏光計、音響弾性変調器を有するレーザ偏光計、画像化分光偏光計、走査偏光計システム、並列データ獲得が可能な2つ以上の偏光計を持つシステム、前記ウェーハステージを移動させることなく、または任意の光学要素または前記偏光計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光計を持つシステム、Michelson干渉計、およびMach-Zehnder干渉計、Sagnac干渉計、走査入射角システム、走査アジマス角システム、+/−1次差分反射計、+/−1次差分偏光反射計
    の装置のうち任意の1つ以上を備える方法。
  2. 請求項1に記載の方法であって、前記光学システムは、+/−次差分反射計である方法。
  3. 請求項1に記載の方法であって、前記光学システムは、+/−次差分偏光反射計である方法。
  4. 請求項1に記載の方法であって、前記光学システムは、分光偏光計である方法。
  5. 請求項4に記載の方法であって、前記分光偏光計は、少なくとも1つの反射フォーカシング要素を備える方法。
  6. 請求項4に記載の方法であって、前記分光偏光計は、少なくとも1つの反射集光要素を備える方法。
  7. 請求項1に記載の方法であって、それぞれの第1構造は第1対称中心を有し、それぞれの第2構造は第2対称中心を有し、それぞれのターゲットについての前記第1対称中心および前記第2対称中心は、互いに対して前記既定義のオフセット群のうち選択されたものだけオフセットされている方法。
  8. 請求項1に記載の方法であって、前記オーバレイ誤差は、前記計測された光学信号を比較してデータを較正することなく決定される方法。
  9. 請求項1に記載の方法であって、前記散乱計測オーバレイ技術は、リニアベースの技術である方法。
  10. 請求項1に記載の方法であって、前記散乱計測オーバレイ技術は、位相ベースの技術である方法。
  11. 請求項1に記載の方法であって、前記光学システムは、音響弾性変調器を有するレーザ偏光計である方法。
  12. 請求項11に記載の方法であって、それぞれの第1構造は第1対称中心を有し、それぞれの第2構造は第2対称中心を有し、それぞれのターゲットについての前記第1対称中心および前記第2対称中心は、互いに対して前記既定義のオフセット群のうち選択されたものだけオフセットされている方法。
  13. 請求項1に記載の方法であって、前記光学システムは、画像化反射計である方法。
  14. 請求項1に記載の方法であって、前記光学システムは、画像化分光反射計である方法。
  15. 請求項1に記載の方法であって、前記光学システムは、偏光分光画像化反射計である方法。
  16. 請求項1に記載の方法であって、前記光学システムは、走査反射計システムである方法。
  17. 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の反射計を持つシステムである方法。
  18. 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の分光反射計を持つシステムである方法。
  19. 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の偏光分光反射計を持つシステムである方法。
  20. 請求項1に記載の方法であって、前記光学システムは、ウェーハステージを移動させることなく、または任意の光学要素または反射計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光分光反射計を持つシステムである方法。
  21. 請求項1に記載の方法であって、前記光学システムは、画像化分光計である方法。
  22. 請求項1に記載の方法であって、前記光学システムは、波長フィルタを持つ画像化システムである方法。
  23. 請求項1に記載の方法であって、前記光学システムは、干渉画像化システムである方法。
  24. 請求項1に記載の方法であって、前記光学システムは、画像化偏光計である方法。
  25. 請求項1に記載の方法であって、前記光学システムは、画像化分光偏光計である方法。
  26. 請求項1に記載の方法であって、前記光学システムは、走査偏光計システムである方法。
  27. 請求項1に記載の方法であって、前記光学システムは、並列データ獲得が可能な2つ以上の偏光計を持つシステムである方法。
  28. 請求項1に記載の方法であって、前記光学システムは、前記ウェーハステージを移動させることなく、または任意の光学要素または前記偏光計ステージを移動させることなく、直列データ獲得が可能な2つ以上の偏光計を持つシステムである方法。
  29. 請求項1に記載の方法であって、前記光学システムは、Michelson干渉計である方法。
  30. 請求項1に記載の方法であって、前記光学システムは、Mach-Zehnder干渉計である方法。
  31. 請求項1に記載の方法であって、前記光学システムは、Sagnac干渉計である方法。
  32. 請求項1に記載の方法であって、前記光学システムは、走査入射角システムである方法。
  33. 請求項1に記載の方法であって、前記光学システムは、走査アジマス角システムである方法。
  34. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、複数の設定においてチューニング可能なレーザを有する光学システムを用い、前記周期的ターゲットのそれぞれについて複数のチューニング可能なレーザ設定において複数の光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  35. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットを提供することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
    偏光変調器を有する偏光計を用いて前記周期的ターゲットから複数の計測信号を計測すること、および
    散乱計測オーバレイ技術を用いて前記周期的ターゲットの前記計測信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  36. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    画像化光学システムを用いて前記試料上の複数の周期的ターゲットから複数の計測光学信号を計測することであって、前記ターゲットはそれぞれ第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、
    前記画像化光学システムは、前記複数の計測光学信号について、0次回折オーダーだけ集められ、計測されるように選択された照射および/または集光開口数(NA)および/またはスペクトルバンドを有し、および
    散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  37. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有する複数の周期的ターゲットを提供することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
    (a)複数の波長を有する光学入射ビームを発生する広帯域光源、前記入射ビームに応答して前記試料から計測信号を検出する検出器、および前記出力信号の特定の1つ以上の波長を前記検出器に選択的に通すフィルタを有する光学システムを用いて、少なくとも1つの放射ビームをそれぞれのターゲットに向けて導くことによって、複数の計測信号を前記周期的ターゲットから計測し、前記フィルタを調整することによって前記計測信号の特定の1つ以上の波長を前記フィルタを通して複数の濾過された信号のかたちで前記検出器へ渡すこと、および
    (b)散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  38. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットのそれぞれについて、複数の入射角において複数の光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、較正動作を用いることなく、前記複数の入射角における前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  39. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットのそれぞれについて、第1光学信号を第1偏光計または第1反射計を用いて、および第2光学信号を第2偏光計または第2反射計を用いて、計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記計測された第1および第2光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  40. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、干渉計を用いて広帯域光源の実質的に複数の波長を変調し、それから前記周期的ターゲットの1つ以上の画像を得ることであって、前記第1および第2構造の間には既定義されたオフセットが存在し、および
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記1つ以上の得られた画像を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  41. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットについて、前記試料から計測された光学信号を選択的に濾過する空間フィルタを有する光学システムを用いることによって、前記周期的ターゲットのそれぞれから光学信号を計測し、前記計測された光学信号の少なくとも1つの少なくとも一部を空間的に濾過し、前記第1および第2構造の間には既定義されたオフセットが存在し、および
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  42. 複数レイヤ試料の2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    複数のターゲット構成および/またはプロセス条件および/またはオーバレイ誤差について、モデルまたは較正されたデータを用いて前記複数のターゲット構成上の複数の理論上の散乱計測信号を発生すること、
    前記複数の理論上の散乱計測信号およびそれに関連付けられたターゲット構成および/またはプロセス条件および/またはオーバレイ誤差を記憶すること、
    前記試料の第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の計測された周期的ターゲットのそれぞれについて、光学信号を計測することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
    散乱計測オーバレイ技術を用いて前記既定義されたオフセットに基づいて、較正動作を用いることなく、前記複数の入射角における前記周期的ターゲットからの前記計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差を決定すること、および
    前記計測された散乱計測信号を前記記憶された計測された散乱計測信号と比較して、実質的に一致する理論上のオーバレイ値に基づいて、前記計測された周期的ターゲットの特性またはその計測された周期的ターゲットについてのプロセス条件を得ること
    を含む方法。
  43. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    光学システムを用いて前記試料上の複数の周期的ターゲットから複数の計測光学信号を計測することであって、前記周期的ターゲットはそれぞれ第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、
    前記周期的ターゲットは、同じxまたはy方向に配置され、および
    散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  44. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    (a)光学システムを用いて、前記試料上の複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、前記複数の周期的ターゲットは、第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、前記光学信号は再フォーカシングすることなく、前記光学システムの同じフォーカス設定において計測される、および
    (b)散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  45. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    光学システムを用いて、前記試料上の複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、前記複数の周期的ターゲットは、第1レイヤ内の第1構造および第2レイヤ内の第2構造をそれぞれ有し、前記第1および第2構造の間には既定義されたオフセットが存在し、前記計測された光学信号はそれぞれライン画像の形態をとり、および
    散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記濾過された信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間のオーバレイ誤差を決定すること
    を含む方法。
  46. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    光学システムを用いて、前記試料上に第1レイヤから形成された第1構造および第2レイヤから形成された第2構造をそれぞれ有する複数の周期的ターゲットからの複数の計測された光学信号を計測することであって、それぞれのターゲットのそれぞれの第1および第2構造は、互いに対して既定義されたオフセットを有するよう設計され、
    散乱計測オーバレイ技術を用いて、前記周期的ターゲットの前記計測された光学信号および前記周期的ターゲットの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記周期的ターゲットの前記第1および第2構造間の第1オーバレイ誤差を決定すること、および
    モデルベースの技術を用いて、前記周期的ターゲットの前記計測された光学信号を分析することによって、前記周期的ターゲットの前記第1および第2構造間の第2オーバレイ誤差を決定すること
    を含む方法。
  47. 複数レイヤ試料の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    第1レイヤから形成される第1構造および第2レイヤから形成される第2構造をそれぞれ有する複数の周期的ターゲットを有する試料を提供することであって、前記第1および第2構造の間には既定義されたオフセットが存在し、
    散乱計測オーバレイ計測を用いて、前記試料上の前記第1ターゲットからの1つ以上の計測された光学信号に基づいて第1セットの前記周期的ターゲットから散乱計測オーバレイデータを得ること、および
    画像化計測を用いて、前記試料上の前記第2ターゲットからの1つ以上の画像(群)に基づいて第2セットの前記周期的ターゲットから画像化オーバレイデータを得ること
    を含む方法。
  48. インプリントリソグラフィマスクを半導体ウェーハとアラインさせる方法であって、
    (a)前記マスクの複数の周期的アライメントマークを前記ウェーハ上の複数のアライメントマークにアラインさせること、
    (b)光学システムを用いて、前記マスク上および前記ウェーハ上の複数の前記周期的アライメントマークから計測された複数の光学信号を計測することであって、前記周期的アライメントマークはそれぞれ、第1レイヤ内の第1構造および第2レイヤ内の第2構造を有し、前記第1および第2構造間には、既定義されたオフセットがあり、
    (c)散乱計測オーバレイ技術を用いて、前記周期的アライメントマークの前記計測された光学信号および前記周期的アライメントマークの前記第1および第2構造の前記既定義されたオフセットを分析することによって、前記マスクおよび前記ウェーハ間のオーバレイ誤差またはマスクレジストレーション誤差を決定すること、および
    (d)操作(a)から(c)を、前記オーバレイ誤差またはマスクレジストレーション誤差が所定のオフセットに等しいか、またはマスクレジストレーション値の所定の範囲内に入るまで反復すること
    を含む方法。
  49. 複合散乱計測マークであって、
    CDまたはプロファイル情報を決定するために計測されえる散乱計測微小寸法(CD)またはプロファイルターゲット、および
    前記散乱計測CDまたはプロファイルターゲットにわたって配置された散乱計測オーバレイターゲットであって、前記散乱計測オーバレイターゲットは前記散乱計測CDまたはプロファイルターゲットと協働して、オーバレイを決定するために計測されえる散乱計測マークを形成する
    複合散乱計測マーク。
  50. 単一の計測ツールであって、
    オーバレイを計測するよう構成された散乱計測オーバレイ計測システム、および
    微小寸法を計測するよう構成されたCD−SEMシステム
    を備える計測ツール。
  51. 統合された微小寸法およびオーバレイマークを作る方法であって、
    試料の第1レイヤ内にCDターゲットを形成すること、および
    前記試料の第2レイヤ内にオーバレイターゲットを形成することであって、前記オーバレイターゲットは、前記CDターゲット上に形成されている
    方法。
  52. あるレイヤ内のCDおよび複数レイヤ試料内の少なくとも2つのレイヤ間のオーバレイ誤差を決定する方法であって、
    CDを決定するためにCDターゲット上で散乱計測を実行すること、および
    オーバレイ誤差を決定するためにオーバレイマーク上で散乱計測を実行することであって、前記オーバレイマークは前記試料の第2レイヤ内に形成されたオーバレイターゲットおよび前記試料の前記第1レイヤ内に形成された前記CDターゲットを備え、前記オーバレイターゲットは前記CDターゲット上に配置される
    方法。
  53. オーバレイ誤差を決定するよう構成された散乱計測マークであって、
    第1および第2レイヤ上の構造をそれぞれ有する複数の周期的ターゲットであって、それぞれのターゲットからの複数の計測された光学信号を分析することによって前記第1および第2構造間のオーバレイ誤差が決定されるように前記第1および第2構造間の既定義されたオフセットが存在し、
    前記第1および第2レイヤの下にある第3レイヤ上に第3構造をそれぞれ有する複数のターゲットであって、前記第3構造は前記第1および第2構造に垂直である
    散乱計測マーク。
  54. 第1ライン格子の上に共に配置された第2周期的構造および第3周期的構造間のオーバレイを計測するためのターゲット構造であって、前記第1格子は第1方向に向き、前記第2および第3周期的構造は第2方向に向き、前記第2方向は前記第1方向と実質的に直交するターゲット構造。
JP2011245169A 2003-02-22 2011-11-09 散乱計測を用いてオーバレイ誤差を検出する装置および方法 Expired - Lifetime JP5280507B2 (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US44949603P 2003-02-22 2003-02-22
US60/449,496 2003-02-22
US49852403P 2003-08-27 2003-08-27
US60/498,524 2003-08-27
US50409303P 2003-09-19 2003-09-19
US60/504,093 2003-09-19
US10/729,838 US7317531B2 (en) 2002-12-05 2003-12-05 Apparatus and methods for detecting overlay errors using scatterometry
US10/729,838 2003-12-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011103027A Division JP4932949B2 (ja) 2003-02-22 2011-05-02 散乱計測を用いてオーバレイ誤差を検出する装置および方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012023385A Division JP5469688B2 (ja) 2003-02-22 2012-02-06 散乱計測マーク、ターゲット構造、計測用システム、およびオーバーレイ誤差等の決定方法

Publications (2)

Publication Number Publication Date
JP2012032408A true JP2012032408A (ja) 2012-02-16
JP5280507B2 JP5280507B2 (ja) 2013-09-04

Family

ID=32931583

Family Applications (9)

Application Number Title Priority Date Filing Date
JP2006503834A Expired - Fee Related JP4789798B2 (ja) 2003-02-22 2004-02-23 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2011103027A Expired - Lifetime JP4932949B2 (ja) 2003-02-22 2011-05-02 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2011245169A Expired - Lifetime JP5280507B2 (ja) 2003-02-22 2011-11-09 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2012023385A Expired - Lifetime JP5469688B2 (ja) 2003-02-22 2012-02-06 散乱計測マーク、ターゲット構造、計測用システム、およびオーバーレイ誤差等の決定方法
JP2013235636A Expired - Lifetime JP5675936B2 (ja) 2003-02-22 2013-11-14 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2014202945A Pending JP2015052602A (ja) 2003-02-22 2014-10-01 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2016038645A Pending JP2016106269A (ja) 2003-02-22 2016-03-01 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2017194325A Expired - Lifetime JP6553145B2 (ja) 2003-02-22 2017-10-04 オーバレイ誤差を決定する方法
JP2019043804A Expired - Lifetime JP6668533B2 (ja) 2003-02-22 2019-03-11 散乱計測を用いてオーバレイ誤差を検出する装置および方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2006503834A Expired - Fee Related JP4789798B2 (ja) 2003-02-22 2004-02-23 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2011103027A Expired - Lifetime JP4932949B2 (ja) 2003-02-22 2011-05-02 散乱計測を用いてオーバレイ誤差を検出する装置および方法

Family Applications After (6)

Application Number Title Priority Date Filing Date
JP2012023385A Expired - Lifetime JP5469688B2 (ja) 2003-02-22 2012-02-06 散乱計測マーク、ターゲット構造、計測用システム、およびオーバーレイ誤差等の決定方法
JP2013235636A Expired - Lifetime JP5675936B2 (ja) 2003-02-22 2013-11-14 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2014202945A Pending JP2015052602A (ja) 2003-02-22 2014-10-01 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2016038645A Pending JP2016106269A (ja) 2003-02-22 2016-03-01 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP2017194325A Expired - Lifetime JP6553145B2 (ja) 2003-02-22 2017-10-04 オーバレイ誤差を決定する方法
JP2019043804A Expired - Lifetime JP6668533B2 (ja) 2003-02-22 2019-03-11 散乱計測を用いてオーバレイ誤差を検出する装置および方法

Country Status (6)

Country Link
US (13) US7317531B2 (ja)
EP (1) EP1601931B1 (ja)
JP (9) JP4789798B2 (ja)
AT (1) ATE504862T1 (ja)
DE (1) DE602004032117D1 (ja)
WO (1) WO2004076963A2 (ja)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL138552A (en) 2000-09-19 2006-08-01 Nova Measuring Instr Ltd Measurement of transverse displacement by optical method
US6743646B2 (en) * 2001-10-22 2004-06-01 Timbre Technologies, Inc. Balancing planarization of layers and the effect of underlying structure on the metrology signal
US7170604B2 (en) * 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) * 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7139081B2 (en) 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7126131B2 (en) 2003-01-16 2006-10-24 Metrosol, Inc. Broad band referencing reflectometer
US8564780B2 (en) 2003-01-16 2013-10-22 Jordan Valley Semiconductors Ltd. Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work pieces
US20080246951A1 (en) * 2007-04-09 2008-10-09 Phillip Walsh Method and system for using reflectometry below deep ultra-violet (DUV) wavelengths for measuring properties of diffracting or scattering structures on substrate work-pieces
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
EP1477857A1 (en) * 2003-05-13 2004-11-17 ASML Netherlands B.V. Method of characterising a process step and device manufacturing method
JP4481109B2 (ja) * 2003-08-26 2010-06-16 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィック装置、デバイス製造方法及びコンピュータ・プログラム
US7298494B2 (en) * 2003-09-15 2007-11-20 Zygo Corporation Methods and systems for interferometric analysis of surfaces and related applications
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7301646B2 (en) * 2004-01-21 2007-11-27 Carl Zeiss Smt Ag Device and method for the determination of imaging errors and microlithography projection exposure system
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7019835B2 (en) * 2004-02-19 2006-03-28 Molecular Imprints, Inc. Method and system to measure characteristics of a film disposed on a substrate
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
DE102004012125B3 (de) * 2004-03-12 2005-09-01 Nanofilm Technologie Gmbh Ellipsometrisches Messverfahren mit ROI-gestützter Bildkorrektur
US7435074B2 (en) * 2004-03-13 2008-10-14 International Business Machines Corporation Method for fabricating dual damascence structures using photo-imprint lithography, methods for fabricating imprint lithography molds for dual damascene structures, materials for imprintable dielectrics and equipment for photo-imprint lithography used in dual damascence patterning
US7239389B2 (en) * 2004-07-29 2007-07-03 Applied Materials, Israel, Ltd. Determination of irradiation parameters for inspection of a surface
US7804059B2 (en) 2004-08-11 2010-09-28 Jordan Valley Semiconductors Ltd. Method and apparatus for accurate calibration of VUV reflectometer
US20080144036A1 (en) * 2006-12-19 2008-06-19 Asml Netherlands B.V. Method of measurement, an inspection apparatus and a lithographic apparatus
US7791727B2 (en) * 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US20060061743A1 (en) * 2004-09-22 2006-03-23 Asml Netherlands B.V. Lithographic apparatus, alignment system, and device manufacturing method
US7541121B2 (en) * 2004-10-13 2009-06-02 Infineon Technologies Ag Calibration of optical line shortening measurements
US20060117293A1 (en) * 2004-11-30 2006-06-01 Nigel Smith Method for designing an overlay mark
US7630067B2 (en) * 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
DE102004062256A1 (de) * 2004-12-23 2006-07-13 Basf Ag Hochempfindliches Verfahren zur Detektion von Unterschieden zwischen den physikalisch messbaren Eigenschaften einer Probe und einer Referenz
US7515253B2 (en) * 2005-01-12 2009-04-07 Kla-Tencor Technologies Corporation System for measuring a sample with a layer containing a periodic diffracting structure
TWI428582B (zh) 2005-01-20 2014-03-01 Zygo Corp 用於檢測物體表面之特性的干涉裝置以及干涉方法
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US20060164649A1 (en) * 2005-01-24 2006-07-27 Eliezer Rosengaus Multi-spectral techniques for defocus detection
JP4624170B2 (ja) * 2005-04-25 2011-02-02 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7277172B2 (en) * 2005-06-06 2007-10-02 Kla-Tencor Technologies, Corporation Measuring overlay and profile asymmetry using symmetric and anti-symmetric scatterometry signals
US7629259B2 (en) * 2005-06-21 2009-12-08 Lam Research Corporation Method of aligning a reticle for formation of semiconductor devices
DE102005037531A1 (de) * 2005-08-09 2007-02-15 Leica Microsystems Cms Gmbh Verfahren und Vorrichtung zur Reduzierung systematischer Messfehler bei der mikroskopischen Untersuchung von Objekten
US7687925B2 (en) 2005-09-07 2010-03-30 Infineon Technologies Ag Alignment marks for polarized light lithography and method for use thereof
US7659975B1 (en) * 2005-09-21 2010-02-09 Kla-Tencor Technologies Corp. Methods and systems for inspection of a wafer or setting up an inspection process
EP1931947A2 (en) * 2005-09-21 2008-06-18 Koninklijke Philips Electronics N.V. System for detecting motion of a body
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7526749B2 (en) * 2005-10-31 2009-04-28 Kla-Tencor Technologies Corporation Methods and apparatus for designing and using micro-targets in overlay metrology
US7684039B2 (en) * 2005-11-18 2010-03-23 Kla-Tencor Technologies Corporation Overlay metrology using the near infra-red spectral range
US7440094B2 (en) 2005-11-30 2008-10-21 Wafermasters Incorporated Optical sample characterization system
US20070146658A1 (en) * 2005-12-27 2007-06-28 Asml Netherlands B.V. Lithographic apparatus and method
US7522263B2 (en) * 2005-12-27 2009-04-21 Asml Netherlands B.V. Lithographic apparatus and method
US7561282B1 (en) 2006-03-27 2009-07-14 Kla-Tencor Technologies Corporation Techniques for determining overlay and critical dimension using a single metrology tool
US7532305B2 (en) * 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7522293B2 (en) * 2006-03-30 2009-04-21 Tokyo Electron Limited Optical metrology of multiple patterned layers
US8908175B1 (en) 2006-03-31 2014-12-09 Kla-Tencor Corporation Flexible scatterometry metrology system and method
US7616313B2 (en) * 2006-03-31 2009-11-10 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7415319B2 (en) * 2006-04-04 2008-08-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7616330B2 (en) * 2006-04-07 2009-11-10 AMO Wavefront Sciences, LLP Geometric measurement system and method of measuring a geometric characteristic of an object
JP4795300B2 (ja) * 2006-04-18 2011-10-19 キヤノン株式会社 位置合わせ方法、インプリント方法、位置合わせ装置、インプリント装置、及び位置計測方法
US7656529B1 (en) 2006-05-30 2010-02-02 Mehrdad Nikoonahad Overlay error measurement using fourier optics
US7528941B2 (en) * 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7898662B2 (en) * 2006-06-20 2011-03-01 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
KR100742982B1 (ko) * 2006-06-22 2007-07-26 케이맥(주) 초점 타원계측기
US7659988B2 (en) 2006-06-30 2010-02-09 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization and device manufacturing method
US7999940B2 (en) * 2006-06-30 2011-08-16 Asml Netherlands B.V. Apparatus for angular-resolved spectroscopic lithography characterization
FI20060715A0 (fi) * 2006-08-03 2006-08-03 Chun Ye Menetelmä ja kokoonpano erityisesti koskemattomien massakuitujen mittaamiseksi
US20080036984A1 (en) * 2006-08-08 2008-02-14 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7564555B2 (en) * 2006-08-15 2009-07-21 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
WO2008087486A2 (en) * 2006-09-14 2008-07-24 Tessera Technologies Hungary Kft. Imaging system with improved image quality and associated methods
EP2069851A4 (en) * 2006-09-14 2010-02-24 Tessera Tech Hungary Kft IMAGING SYSTEM WITH ASSOUPLY ASSEMBLED TOLERANCES AND ASSOCIATED METHODS
US7571422B2 (en) * 2006-09-21 2009-08-04 Kla-Tencor Technologies Corporation Method for generating a design rule map having spatially varying overlay budget
US7522295B2 (en) * 2006-11-07 2009-04-21 Tokyo Electron Limited Consecutive measurement of structures formed on a semiconductor wafer using a polarized reflectometer
US20080129986A1 (en) 2006-11-30 2008-06-05 Phillip Walsh Method and apparatus for optically measuring periodic structures using orthogonal azimuthal sample orientations
KR101519932B1 (ko) 2006-12-22 2015-05-13 지고 코포레이션 표면 특징물의 특성을 측정하기 위한 장치 및 방법
US7889355B2 (en) 2007-01-31 2011-02-15 Zygo Corporation Interferometry for lateral metrology
US7724370B2 (en) * 2007-03-01 2010-05-25 Asml Netherlands B.V. Method of inspection, a method of manufacturing, an inspection apparatus, a substrate, a mask, a lithography apparatus and a lithographic cell
US7764387B2 (en) * 2007-03-02 2010-07-27 Applied Kinetics, Inc. Apparatus and method for measuring suspension and head assemblies in a stack
KR100890288B1 (ko) * 2007-03-08 2009-03-26 삼성전기주식회사 회절형 광변조기에 있어서 반사부의 변위 변화량 보정 장치
US7858404B2 (en) * 2007-03-14 2010-12-28 Taiwan Semiconductor Manufacturing Company, Ltd. Measurement of overlay offset in semiconductor processing
US7570358B2 (en) * 2007-03-30 2009-08-04 Asml Netherlands Bv Angularly resolved scatterometer, inspection method, lithographic apparatus, lithographic processing cell device manufacturing method and alignment sensor
US7911612B2 (en) * 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8004678B2 (en) * 2007-06-26 2011-08-23 Intel Corporation Wafer level alignment structures using subwavelength grating polarizers
TWI416096B (zh) 2007-07-11 2013-11-21 Nova Measuring Instr Ltd 用於監控圖案化結構的性質之方法及系統
US7808638B2 (en) * 2007-07-13 2010-10-05 Kla-Tencor Corporation Scatterometry target and method
WO2009013741A2 (en) * 2007-07-22 2009-01-29 Camtek Ltd Method and system for controlling a manufacturing process
US7884936B2 (en) * 2007-08-22 2011-02-08 Kla-Tencor Corporation Apparatus and methods for scattering-based semiconductor inspection and metrology
US20090053834A1 (en) * 2007-08-23 2009-02-26 Vladimir Alexeevich Ukraintsev Use of scatterometry for in-line detection of poly-si strings left in sti divot after gate etch
US7627392B2 (en) * 2007-08-30 2009-12-01 Tokyo Electron Limited Automated process control using parameters determined with approximation and fine diffraction models
US7998826B2 (en) * 2007-09-07 2011-08-16 Macronix International Co., Ltd. Method of forming mark in IC-fabricating process
JP2009094512A (ja) * 2007-10-09 2009-04-30 Asml Netherlands Bv 位置合わせ方法及び装置、リソグラフィ装置、計測装置、及びデバイス製造方法
NL1036032A1 (nl) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
US7645621B2 (en) * 2007-10-16 2010-01-12 International Business Machines Corporation Optical inspection methods
KR100917912B1 (ko) * 2007-11-13 2009-09-16 한국표준과학연구원 단일 편광자 초점 타원계측기
KR101274517B1 (ko) 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계
US7800108B2 (en) 2007-11-30 2010-09-21 Nec Electronics Corporation Semiconductor device and method of manufacturing semiconductor device including optical test pattern above a light shielding film
US8045170B2 (en) * 2007-12-05 2011-10-25 Canon Kabushiki Kaisha Light scattering property measurement method
WO2009079334A2 (en) 2007-12-14 2009-06-25 Zygo Corporation Analyzing surface structure using scanning interferometry
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) * 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
US7815824B2 (en) * 2008-02-26 2010-10-19 Molecular Imprints, Inc. Real time imprint process diagnostics for defects
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
US7602509B1 (en) 2008-03-18 2009-10-13 Kla-Tencor Corporation Method for selecting optical configuration for high-precision scatterometric measurement
NL1036734A1 (nl) * 2008-04-09 2009-10-12 Asml Netherlands Bv A method of assessing a model, an inspection apparatus and a lithographic apparatus.
US8054473B2 (en) * 2008-04-30 2011-11-08 Qimonda Ag Measurement method for determining dimensions of features resulting from enhanced patterning methods
US8004679B2 (en) * 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
NL1036886A1 (nl) 2008-05-12 2009-11-16 Asml Netherlands Bv A method of measuring a target, an inspection apparatus, a scatterometer, a lithographic apparatus and a data processor.
US20090296075A1 (en) * 2008-05-29 2009-12-03 Nanometrics Incorporated Imaging Diffraction Based Overlay
US7864334B2 (en) * 2008-06-03 2011-01-04 Jzw Llc Interferometric defect detection
US7986412B2 (en) 2008-06-03 2011-07-26 Jzw Llc Interferometric defect detection and classification
JP5444334B2 (ja) * 2008-06-03 2014-03-19 ファン ジェイ. ジーオン, 干渉欠陥検知及び分類
NL2002962A1 (nl) * 2008-06-11 2009-12-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
TWI364784B (en) * 2008-06-13 2012-05-21 Ind Tech Res Inst Method for designing overlay targets and method and system for measuring overlay error using the same
US8293546B2 (en) 2008-06-17 2012-10-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with sub-geometry removal and method of manufacture thereof
US8084872B2 (en) * 2008-07-01 2011-12-27 Macronix International Co., Ltd. Overlay mark, method of checking local aligmnent using the same and method of controlling overlay based on the same
US8930156B2 (en) * 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
US8724882B2 (en) * 2008-07-29 2014-05-13 Applied Materials Israel, Ltd. Mapping variations of a surface
NL2003292A (en) 2008-09-08 2010-03-15 Asml Netherlands Bv A substrate, a method of measuring a property, an inspection apparatus and a lithographic apparatus.
NL2003497A (en) * 2008-09-23 2010-03-24 Asml Netherlands Bv Lithographic system, lithographic method and device manufacturing method.
US8237133B2 (en) * 2008-10-10 2012-08-07 Molecular Imprints, Inc. Energy sources for curing in an imprint lithography system
US8345242B2 (en) * 2008-10-28 2013-01-01 Molecular Imprints, Inc. Optical system for use in stage control
KR101331107B1 (ko) * 2008-11-07 2013-11-19 에이에스엠엘 네델란즈 비.브이. 스캐터로미터 및 리소그래피 장치
US8120781B2 (en) 2008-11-26 2012-02-21 Zygo Corporation Interferometric systems and methods featuring spectral analysis of unevenly sampled data
US8213011B2 (en) * 2009-02-03 2012-07-03 Image Analytics, Inc. Spatial imaging/imaging spectroscopy system and method
US20100197508A1 (en) * 2009-02-03 2010-08-05 The Administrator of the National Aeronautics and Space Administration, United States of America Integrated Universal Chemical Detector with Selective Diffraction Array
NL2004400A (en) 2009-04-09 2010-10-12 Asml Holding Nv Tunable wavelength illumination system.
KR101654599B1 (ko) * 2009-05-11 2016-09-06 에이에스엠엘 네델란즈 비.브이. 오버레이 오차를 결정하는 방법 및 디바이스 제조 방법
US8153987B2 (en) 2009-05-22 2012-04-10 Jordan Valley Semiconductors Ltd. Automated calibration methodology for VUV metrology system
TWI431243B (zh) 2009-06-19 2014-03-21 Zygo Corp 使用於干涉儀中的光學總成、干涉系統及干涉方法
WO2011003734A1 (en) * 2009-07-06 2011-01-13 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus and lithographic processing cell
WO2011011511A1 (en) * 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8183701B2 (en) * 2009-07-29 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Structure of stacking scatterometry based overlay marks for marks footprint reduction
US8189202B2 (en) * 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
EP2470960A1 (en) * 2009-08-24 2012-07-04 ASML Netherlands BV Metrology method and apparatus, lithographic apparatus, lithographic processing cell and substrate comprising metrology targets
US8441639B2 (en) * 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
US8559014B2 (en) * 2009-09-25 2013-10-15 Hwan J. Jeong High-resolution, common-path interferometric imaging systems and methods
KR101425627B1 (ko) * 2009-10-12 2014-08-13 에이에스엠엘 네델란즈 비.브이. 기판 상에서 대상물의 개략적인 구조를 결정하기 위한 방법, 검사 장치 및 기판
TWI401549B (zh) * 2009-12-02 2013-07-11 Ind Tech Res Inst 二維陣列疊對圖樣之設計方法、疊對誤差量測方法及其量測系統
US8329360B2 (en) * 2009-12-04 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus of providing overlay
NL2005459A (en) * 2009-12-08 2011-06-09 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
TWI408331B (zh) * 2009-12-17 2013-09-11 Ind Tech Res Inst 雙面光學膜片量測裝置與方法
TWI417942B (zh) * 2009-12-17 2013-12-01 Ind Tech Res Inst 二維陣列疊對圖樣組之設計方法、疊對誤差量測方法及其量測系統
JP5391055B2 (ja) * 2009-12-25 2014-01-15 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造システム
US8148682B2 (en) * 2009-12-29 2012-04-03 Hitachi, Ltd. Method and apparatus for pattern position and overlay measurement
CN102414537B (zh) * 2010-01-06 2015-03-04 松下电器产业株式会社 使用了干涉的膜厚计测装置及使用了干涉的膜厚计测方法
US9620426B2 (en) * 2010-02-18 2017-04-11 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation
EP2550504B1 (en) * 2010-03-25 2019-01-02 Nanometrics Incorporated Diffraction based overlay linearity testing
NL2006454A (en) * 2010-05-03 2011-11-07 Asml Netherlands Bv Imprint lithography method and apparatus.
US20110276319A1 (en) * 2010-05-06 2011-11-10 Jonathan Michael Madsen Determination of material optical properties for optical metrology of structures
US9625823B1 (en) * 2010-06-17 2017-04-18 Kla-Tencor Corporation Calculation method for local film stress measurements using local film thickness values
FR2962804B1 (fr) * 2010-07-19 2014-04-18 Horiba Jobin Yvon Sas Dispositif et procede de mesure polarimetrique a resolution microscopique, accessoire de polarimetrie pour microscope, ellipso-microscope et microscope a contraste ellipsometrique
US9927718B2 (en) 2010-08-03 2018-03-27 Kla-Tencor Corporation Multi-layer overlay metrology target and complimentary overlay metrology measurement systems
US9164397B2 (en) 2010-08-03 2015-10-20 Kla-Tencor Corporation Optics symmetrization for metrology
DE102010041556A1 (de) * 2010-09-28 2012-03-29 Carl Zeiss Smt Gmbh Projektionsbelichtungsanlage für die Mikrolithographie und Verfahren zur mikrolithographischen Abbildung
US9606453B2 (en) * 2010-09-30 2017-03-28 Kla-Tencor Corporation Method and system for providing tool induced shift using a sub-sampling scheme
KR101158323B1 (ko) * 2010-10-14 2012-06-26 주식회사 고영테크놀러지 기판 검사방법
JP5737922B2 (ja) * 2010-12-14 2015-06-17 ピーエスフォー ルクスコ エスエイアールエルPS4 Luxco S.a.r.l. 半導体デバイスの製造方法
US9007584B2 (en) * 2010-12-27 2015-04-14 Nanometrics Incorporated Simultaneous measurement of multiple overlay errors using diffraction based overlay
US8867041B2 (en) 2011-01-18 2014-10-21 Jordan Valley Semiconductor Ltd Optical vacuum ultra-violet wavelength nanoimprint metrology
US20120224176A1 (en) * 2011-03-03 2012-09-06 Nanometrics Incorporated Parallel Acquisition Of Spectra For Diffraction Based Overlay
US8565379B2 (en) 2011-03-14 2013-10-22 Jordan Valley Semiconductors Ltd. Combining X-ray and VUV analysis of thin film layers
KR101943593B1 (ko) * 2011-04-06 2019-01-30 케이엘에이-텐코 코포레이션 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
TWI582539B (zh) * 2011-04-06 2017-05-11 克萊譚克公司 用於改良程序控制之品質度量的方法及系統
JP5162006B2 (ja) * 2011-06-01 2013-03-13 キヤノン株式会社 検出装置、露光装置、および、デバイスの製造方法
US10890436B2 (en) * 2011-07-19 2021-01-12 Kla Corporation Overlay targets with orthogonal underlayer dummyfill
US8582114B2 (en) * 2011-08-15 2013-11-12 Kla-Tencor Corporation Overlay metrology by pupil phase analysis
EP2579100A3 (en) 2011-10-03 2017-12-06 ASML Holding N.V. Inspection apparatus, lithographic apparatus, and device manufacturing method
US9709903B2 (en) * 2011-11-01 2017-07-18 Kla-Tencor Corporation Overlay target geometry for measuring multiple pitches
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US8745546B2 (en) * 2011-12-29 2014-06-03 Nanya Technology Corporation Mask overlay method, mask, and semiconductor device using the same
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
NL2010401A (en) 2012-03-27 2013-09-30 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
NL2010734A (en) 2012-05-29 2013-12-02 Asml Netherlands Bv Metrology method and apparatus, substrate, lithographic system and device manufacturing method.
US9952140B2 (en) * 2012-05-29 2018-04-24 Kla-Tencor Corporation Small spot size spectroscopic ellipsometer
US9182341B2 (en) 2012-06-13 2015-11-10 Kla-Tencor Corporation Optical surface scanning systems and methods
US8913237B2 (en) 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
JP6353831B2 (ja) 2012-06-26 2018-07-04 ケーエルエー−テンカー コーポレイション 角度分解反射率測定における走査および回折の光計測からのアルゴリズム的除去
US9243886B1 (en) 2012-06-26 2016-01-26 Kla-Tencor Corporation Optical metrology of periodic targets in presence of multiple diffraction orders
US9714827B2 (en) * 2012-07-05 2017-07-25 Asml Netherlands B.V. Metrology method and apparatus, lithographic system, device manufacturing method and substrate
KR101609652B1 (ko) 2012-07-10 2016-04-06 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 위치 설정기를 교정하기 위한 리소그래피 클러스터 시스템, 방법
JP6169176B2 (ja) * 2012-07-23 2017-07-26 エーエスエムエル ネザーランズ ビー.ブイ. 検査方法及び装置、リソグラフィシステム並びにデバイス製造方法
US9329033B2 (en) * 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
DE102012218382B4 (de) * 2012-10-09 2015-04-23 Leica Microsystems Cms Gmbh Verfahren zum Festlegen eines Lasermikrodissektionsbereichs und zugehöriges Lasermikrodissektionssystem
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
TWI598972B (zh) 2012-11-09 2017-09-11 克萊譚克公司 減少散射量測疊對量測技術中演算法之不準確
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
KR20150087397A (ko) * 2012-11-21 2015-07-29 케이엘에이-텐코 코포레이션 프로세스 호환 세그먼팅된 타겟들 및 설계 방법들
WO2014081909A1 (en) * 2012-11-21 2014-05-30 Kla-Tencor Corporation In-situ metrology
US9760020B2 (en) 2012-11-21 2017-09-12 Kla-Tencor Corporation In-situ metrology
US8994943B2 (en) * 2012-11-30 2015-03-31 Infineon Technologies Ag Selectivity by polarization
US9081287B2 (en) 2012-12-20 2015-07-14 Kla-Tencor Corporation Methods of measuring overlay errors in area-imaging e-beam lithography
US9514999B2 (en) * 2013-01-02 2016-12-06 Globalfoundries Inc. Systems and methods for semiconductor line scribe line centering
US9536796B2 (en) 2013-01-02 2017-01-03 Globalfoundries Inc. Multiple manufacturing line qualification
US9182219B1 (en) * 2013-01-21 2015-11-10 Kla-Tencor Corporation Overlay measurement based on moire effect between structured illumination and overlay target
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014138522A1 (en) * 2013-03-08 2014-09-12 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
KR102094974B1 (ko) * 2013-03-08 2020-03-30 삼성전자주식회사 오버레이 계측 방법
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
CN103247550B (zh) * 2013-05-07 2016-04-13 上海华力微电子有限公司 监控制程稳定性的测试模块和方法
WO2014193983A1 (en) * 2013-05-29 2014-12-04 Kla-Tencor Corporation Multi-layered target design
WO2014194095A1 (en) * 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
TWI621190B (zh) * 2013-06-19 2018-04-11 克萊譚克公司 併合成像及散射測量靶
KR102333504B1 (ko) * 2013-06-27 2021-12-01 케이엘에이 코포레이션 계측 타겟의 편광 측정 및 대응 타겟 설계
WO2015006233A1 (en) * 2013-07-09 2015-01-15 Kla-Tencor Corporation Aperture alignment in scatterometry metrology systems
US9007571B2 (en) * 2013-08-20 2015-04-14 United Microelectronics Corp. Measurement method of overlay mark
US9053284B2 (en) * 2013-09-04 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for overlay control
US9091667B2 (en) * 2013-10-25 2015-07-28 Globalfoundries Inc. Detection of particle contamination on wafers
US9958791B2 (en) * 2013-10-30 2018-05-01 Asml Netherlands B.V. Inspection apparatus and methods, substrates having metrology targets, lithographic system and device manufacturing method
NL2013737A (en) * 2013-11-26 2015-05-27 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method.
US9996843B2 (en) 2013-12-13 2018-06-12 Colorado State University Research Foundation Nano-stamp and matched reader for anti-counterfeiting applications
CN105849643B (zh) 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
US9490182B2 (en) 2013-12-23 2016-11-08 Kla-Tencor Corporation Measurement of multiple patterning parameters
US10311198B2 (en) * 2014-02-16 2019-06-04 Nova Measuring Instruments Ltd. Overlay design optimization
EP2927747A3 (en) 2014-03-31 2016-03-09 IMEC vzw Quality assessment of directed self-assembling method
US9851300B1 (en) * 2014-04-04 2017-12-26 Kla-Tencor Corporation Decreasing inaccuracy due to non-periodic effects on scatterometric signals
KR102179990B1 (ko) * 2014-04-09 2020-11-18 케이엘에이 코포레이션 셀간 프로세스 변동 부정확성의 추정 및 제거
US20150316468A1 (en) * 2014-04-30 2015-11-05 Nova Measuring Instruments Ltd. Method and system for optical characterization of patterned samples
US10352876B2 (en) * 2014-05-09 2019-07-16 KLA—Tencor Corporation Signal response metrology for scatterometry based overlay measurements
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
US9786251B1 (en) * 2014-05-28 2017-10-10 Musco Corporation Apparatus, method, and system for visually indicating perceived glare thresholds
SG11201609566VA (en) 2014-06-02 2016-12-29 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method
WO2015196168A1 (en) * 2014-06-21 2015-12-23 Kla-Tencor Corporation Compound imaging metrology targets
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
KR101986258B1 (ko) 2014-08-29 2019-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 타겟 및 기판
WO2016037003A1 (en) * 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
US10210606B2 (en) * 2014-10-14 2019-02-19 Kla-Tencor Corporation Signal response metrology for image based and scatterometry overlay measurements
US9710728B2 (en) * 2014-10-28 2017-07-18 Kla-Tencor Corporation Image based signal response metrology
US9677935B2 (en) * 2014-11-03 2017-06-13 Trutag Technologies, Inc. Fabry-perot spectral image measurement
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
WO2016086138A1 (en) * 2014-11-25 2016-06-02 Stream Mosaic, Inc. Improved process control techniques for semiconductor manufacturing processes
CN112698551B (zh) * 2014-11-25 2024-04-23 科磊股份有限公司 分析及利用景观
KR20160066448A (ko) 2014-12-02 2016-06-10 삼성전자주식회사 표면 검사 방법
KR20160121206A (ko) 2015-04-10 2016-10-19 삼성전자주식회사 오버레이 에러의 검출 방법 및 이를 이용한 반도체 장치의 제조 방법
US10545104B2 (en) * 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
WO2016187062A1 (en) 2015-05-15 2016-11-24 Kla-Tencor Corporation System and method for focus determination using focus-sensitive overlay targets
US10520832B2 (en) * 2015-05-19 2019-12-31 Kla-Tencor Corporation Topographic phase control for overlay measurement
US9995689B2 (en) * 2015-05-22 2018-06-12 Nanometrics Incorporated Optical metrology using differential fitting
US10754260B2 (en) 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
US10216096B2 (en) 2015-08-14 2019-02-26 Kla-Tencor Corporation Process-sensitive metrology systems and methods
WO2017053150A1 (en) * 2015-09-21 2017-03-30 Kla-Tencor Corporation Method and system for process control with flexible sampling
US9864280B2 (en) * 2015-10-02 2018-01-09 Applied Materials, Inc. Overlay error correction
NL2017949A (en) 2015-12-23 2017-06-28 Asml Netherlands Bv Metrology method, target and substrate
CN108700829B (zh) * 2016-02-26 2021-05-18 Asml荷兰有限公司 测量结构的方法、检查设备、光刻系统、器件制造方法
US10546790B2 (en) * 2016-03-01 2020-01-28 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
KR102079181B1 (ko) * 2016-03-04 2020-02-19 주식회사 고영테크놀러지 패턴광 조사 장치 및 방법
US10451412B2 (en) * 2016-04-22 2019-10-22 Kla-Tencor Corporation Apparatus and methods for detecting overlay errors using scatterometry
CN109073902B (zh) 2016-04-22 2020-12-29 科磊股份有限公司 用于小光斑大小透射小角x射线散射术的光束整形狭缝
US11313809B1 (en) * 2016-05-04 2022-04-26 Kla-Tencor Corporation Process control metrology
US9921104B2 (en) * 2016-06-11 2018-03-20 Kla-Tencor Corporation Simultaneous multi-angle spectroscopy
KR102640173B1 (ko) 2016-06-14 2024-02-26 삼성전자주식회사 회절 기반 오버레이 마크 및 오버레이 계측방법
WO2018007126A1 (en) * 2016-07-07 2018-01-11 Asml Netherlands B.V. Method and apparatus for calculating electromagnetic scattering properties of finite periodic structures
KR102221714B1 (ko) * 2016-08-23 2021-03-03 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 메트롤로지 장치, 리소그래피 시스템, 및 리소그래피 공정에 의해 기판 상에 형성된 구조체를 측정하는 방법
US10416576B2 (en) * 2016-09-14 2019-09-17 Canon Kabushiki Kaisha Optical system for use in stage control
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10481111B2 (en) 2016-10-21 2019-11-19 Kla-Tencor Corporation Calibration of a small angle X-ray scatterometry based metrology system
EP3538940A1 (en) 2016-11-12 2019-09-18 The Trustees of Columbia University in the City of New York Microscopy devices, methods and systems
US10288408B2 (en) * 2016-12-01 2019-05-14 Nanometrics Incorporated Scanning white-light interferometry system for characterization of patterned semiconductor features
US10983005B2 (en) * 2016-12-15 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Spectroscopic overlay metrology
US10859518B2 (en) 2017-01-03 2020-12-08 Kla-Tencor Corporation X-ray zoom lens for small angle x-ray scatterometry
US10551749B2 (en) * 2017-01-04 2020-02-04 Kla-Tencor Corporation Metrology targets with supplementary structures in an intermediate layer
US10209627B2 (en) 2017-01-06 2019-02-19 Kla-Tencor Corporation Systems and methods for focus-sensitive metrology targets
KR102370339B1 (ko) * 2017-02-22 2022-03-04 에이에스엠엘 네델란즈 비.브이. 전산 계측
US10732516B2 (en) 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10767978B2 (en) 2017-04-14 2020-09-08 Kla-Tencor Corporation Transmission small-angle X-ray scattering metrology system
IL270171B2 (en) 2017-04-28 2023-12-01 Asml Netherlands Bv Method and apparatus for metrology and related computer software
JP2020519928A (ja) * 2017-05-08 2020-07-02 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、及びデバイス製造方法
US11073487B2 (en) 2017-05-11 2021-07-27 Kla-Tencor Corporation Methods and systems for characterization of an x-ray beam with high spatial resolution
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11029673B2 (en) 2017-06-13 2021-06-08 Pdf Solutions, Inc. Generating robust machine learning predictions for semiconductor manufacturing processes
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US10817999B2 (en) * 2017-07-18 2020-10-27 Kla Corporation Image-based overlay metrology and monitoring using through-focus imaging
US10983227B2 (en) 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US11022642B2 (en) 2017-08-25 2021-06-01 Pdf Solutions, Inc. Semiconductor yield prediction
EP3450938B1 (en) * 2017-08-30 2022-10-12 IMEC vzw An image sensor and an imaging apparatus
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
JP7124071B2 (ja) 2017-10-05 2022-08-23 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を決定するためのメトロロジシステムおよび方法
US10748736B2 (en) 2017-10-18 2020-08-18 Kla-Tencor Corporation Liquid metal rotating anode X-ray source for semiconductor metrology
US10837919B2 (en) 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
US11085754B2 (en) * 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
CN108036737B (zh) * 2017-12-12 2019-11-12 南京信息工程大学 一种全场照明快照式检测反射面面形的装置及方法
US10483214B2 (en) 2018-01-03 2019-11-19 Globalfoundries Inc. Overlay structures
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10705435B2 (en) 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
EP3528047A1 (en) * 2018-02-14 2019-08-21 ASML Netherlands B.V. Method and apparatus for measuring a parameter of interest using image plane detection techniques
US11029359B2 (en) 2018-03-09 2021-06-08 Pdf Solutions, Inc. Failure detection and classsification using sensor data and/or measurement data
US11775714B2 (en) 2018-03-09 2023-10-03 Pdf Solutions, Inc. Rational decision-making tool for semiconductor processes
US11067389B2 (en) 2018-03-13 2021-07-20 Kla Corporation Overlay metrology system and method
US10777470B2 (en) 2018-03-27 2020-09-15 Pdf Solutions, Inc. Selective inclusion/exclusion of semiconductor chips in accelerated failure tests
US10816486B2 (en) 2018-03-28 2020-10-27 Kla-Tencor Corporation Multilayer targets for calibration and alignment of X-ray based measurement systems
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
JP2020041859A (ja) * 2018-09-07 2020-03-19 キオクシア株式会社 位置計測方法、位置計測装置および半導体装置の製造方法
US11118903B2 (en) * 2018-10-17 2021-09-14 Kla Corporation Efficient illumination shaping for scatterometry overlay
JP7431824B2 (ja) * 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
US11018064B2 (en) * 2018-12-12 2021-05-25 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
US10816464B2 (en) 2019-01-23 2020-10-27 Applied Materials, Inc. Imaging reflectometer
WO2020159560A1 (en) * 2019-01-28 2020-08-06 Kla-Tencor Corporation Moiré target and method for using the same in measuring misregistration of semiconductor devices
US11075126B2 (en) * 2019-02-15 2021-07-27 Kla-Tencor Corporation Misregistration measurements using combined optical and electron beam technology
US11990380B2 (en) 2019-04-19 2024-05-21 Kla Corporation Methods and systems for combining x-ray metrology data sets to improve parameter estimation
CN110470618A (zh) * 2019-07-10 2019-11-19 中国科学院上海技术物理研究所 基于大气选择透过特性的单色仪光波长偏移量的检测方法
KR20210011278A (ko) * 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11914290B2 (en) 2019-07-24 2024-02-27 Kla Corporation Overlay measurement targets design
WO2021023792A1 (en) * 2019-08-07 2021-02-11 Asml Holding N.V. Laser module assembly for alignment system, metrology system, and lithographic apparatus
US11256177B2 (en) 2019-09-11 2022-02-22 Kla Corporation Imaging overlay targets using Moiré elements and rotational symmetry arrangements
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
WO2021076320A1 (en) * 2019-10-15 2021-04-22 Tokyo Electron Limited Systems and methods for monitoring one or more characteristics of a substrate
US11272607B2 (en) 2019-11-01 2022-03-08 Kla Corporation Laser produced plasma illuminator with low atomic number cryogenic target
US11259394B2 (en) 2019-11-01 2022-02-22 Kla Corporation Laser produced plasma illuminator with liquid sheet jet target
KR20220091544A (ko) 2019-11-04 2022-06-30 도쿄엘렉트론가부시키가이샤 복수의 웨이퍼 검사 시스템(wis) 모듈을 교정하는 시스템 및 방법
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11168978B2 (en) * 2020-01-06 2021-11-09 Tokyo Electron Limited Hardware improvements and methods for the analysis of a spinning reflective substrates
US11698251B2 (en) 2020-01-07 2023-07-11 Kla Corporation Methods and systems for overlay measurement based on soft X-ray Scatterometry
US11309202B2 (en) * 2020-01-30 2022-04-19 Kla Corporation Overlay metrology on bonded wafers
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11150078B1 (en) 2020-03-26 2021-10-19 Applied Materials, Inc. High sensitivity image-based reflectometry
US11156566B2 (en) * 2020-03-26 2021-10-26 Applied Materials, Inc. High sensitivity image-based reflectometry
US11143604B1 (en) 2020-04-06 2021-10-12 Kla Corporation Soft x-ray optics with improved filtering
US11417010B2 (en) 2020-05-19 2022-08-16 Applied Materials, Inc. Image based metrology of surface deformations
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11355375B2 (en) * 2020-07-09 2022-06-07 Kla Corporation Device-like overlay metrology targets displaying Moiré effects
WO2022023005A1 (en) 2020-07-30 2022-02-03 BONASTRE, Sanchez A. Polarimetric microscope in reflection for the measurement of the refractive index and associated optical method
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
CN116209886A (zh) 2020-10-15 2023-06-02 应用材料公司 用于光学装置的透视计量系统、设备和方法
CN112230514B (zh) * 2020-10-23 2022-04-12 泉芯集成电路制造(济南)有限公司 套刻误差量测标记结构及其制程方法和套刻误差量测方法
CN114695087B (zh) 2020-12-30 2024-05-24 科磊股份有限公司 一种制造集成电路的方法和系统
US20220290974A1 (en) * 2021-03-11 2022-09-15 Applied Materials Israel Ltd. Optical metrology models for in-line film thickness measurements
US11592755B2 (en) 2021-03-31 2023-02-28 Kla Corporation Enhancing performance of overlay metrology
EP4187321A1 (en) * 2021-11-24 2023-05-31 ASML Netherlands B.V. Metrology method and associated metrology tool
KR20240016967A (ko) * 2021-05-31 2024-02-06 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 연관된 메트롤로지 툴
US11738363B2 (en) 2021-06-07 2023-08-29 Tokyo Electron Limited Bath systems and methods thereof
KR20230030346A (ko) 2021-08-25 2023-03-06 삼성전자주식회사 편광 계측 장치 및 편광 계측 장치를 이용한 반도체 소자 제조 방법
US12020970B2 (en) 2021-09-22 2024-06-25 International Business Machines Corporation Metrology data correction
FR3128779B1 (fr) 2021-11-02 2024-03-01 Commissariat Energie Atomique Structure de metrologie
EP4338010A1 (en) * 2021-11-27 2024-03-20 KLA Corporation Improved targets for diffraction-based overlay error metrology
US11796925B2 (en) 2022-01-03 2023-10-24 Kla Corporation Scanning overlay metrology using overlay targets having multiple spatial frequencies
US12019030B2 (en) * 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
KR102566129B1 (ko) * 2022-01-20 2023-08-16 (주) 오로스테크놀로지 모아레 패턴을 형성하는 오버레이 마크, 이를 이용한 오버레이 측정방법, 및 반도체 소자의 제조방법
US11861824B1 (en) * 2022-02-03 2024-01-02 Kla Corporation Reference image grouping in overlay metrology
WO2023170692A1 (en) * 2022-03-10 2023-09-14 Nova Ltd. System and method for determining parameters of patterned structures from optical data
US11800212B1 (en) * 2022-04-08 2023-10-24 Kla Corporation Multi-directional overlay metrology using multiple illumination parameters and isolated imaging
TWI809929B (zh) * 2022-04-08 2023-07-21 南亞科技股份有限公司 具有疊對標記的半導體元件結構
WO2023213503A1 (en) * 2022-05-06 2023-11-09 Asml Netherlands B.V. Method and system of overlay measurement using charged-particle inspection apparatus
US11955308B1 (en) 2022-09-22 2024-04-09 Kla Corporation Water cooled, air bearing based rotating anode x-ray illumination source

Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
JPS62190725A (ja) * 1986-02-17 1987-08-20 Tokyo Electron Ltd 二重回折格子による位置合せ方法
JPS63260045A (ja) * 1987-04-17 1988-10-27 Hitachi Ltd バ−ニアパタ−ン
JPH02112709A (ja) * 1988-10-21 1990-04-25 Olympus Optical Co Ltd 位置合せ方法および位置合せ装置
JPH033224A (ja) * 1989-04-20 1991-01-09 Asm Lithography Bv マスクパターンを基板上に投影する装置
JPH0453220A (ja) * 1990-06-20 1992-02-20 Nikon Corp 投影光学装置
JPH11145047A (ja) * 1997-11-14 1999-05-28 Sony Corp 電子線描画用精度測定方法
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JP2001093822A (ja) * 1999-09-24 2001-04-06 Nikon Corp マスクの位置合わせ方法およびそれを用いた露光装置
WO2002018871A1 (en) * 2000-09-01 2002-03-07 Kla-Tencor Corporation Improved overlay alignment measurement mark
WO2002019415A1 (en) * 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
WO2002025723A2 (en) * 2000-09-19 2002-03-28 Nova Measuring Instruments Ltd. Lateral shift measurement using an optical technique
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
WO2002084213A1 (en) * 2001-04-10 2002-10-24 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
JP2004279405A (ja) * 2002-09-20 2004-10-07 Asml Netherlands Bv デバイス検査
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
JP2008109104A (ja) * 2006-09-25 2008-05-08 Asml Netherlands Bv 角度分解分光リソグラフィキャラクタライゼイション方法およびデバイス

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3019A (en) * 1843-03-30 Hatching chickens
US2015A (en) * 1841-03-26 Improvement in rotary steam-engines
US3000A (en) * 1843-03-10 Improvement in sugar-boilers
US3594085A (en) 1967-08-31 1971-07-20 Centre Nat Rech Scient Ellipsometric method and device
JPS5212577A (en) * 1975-07-21 1977-01-31 Nippon Kogaku Kk <Nikon> Automatic location device
DE2627609A1 (de) * 1976-06-19 1977-12-29 Ibm Deutschland Interferometrisches verfahren
US4200395A (en) * 1977-05-03 1980-04-29 Massachusetts Institute Of Technology Alignment of diffraction gratings
US4332473A (en) * 1979-01-31 1982-06-01 Tokyo Shibaura Denki Kabushiki Kaisha Apparatus for detecting a mutual positional relationship of two sample members
JPH0632323B2 (ja) 1983-12-13 1994-04-27 株式会社日立製作所 半導体レ−ザ装置
US4631416A (en) * 1983-12-19 1986-12-23 Hewlett-Packard Company Wafer/mask alignment system using diffraction gratings
US4596467A (en) 1984-03-16 1986-06-24 Hughes Aircraft Company Dissimilar superimposed grating precision alignment and gap measurement systems
US4703434A (en) * 1984-04-24 1987-10-27 The Perkin-Elmer Corporation Apparatus for measuring overlay error
DE3419463C1 (de) 1984-05-24 1985-09-12 Sagax Instrument AB, Sundbyberg Vorrichtung zur Erfassung von Stoffeigenschaften von Probenoberflaechen
US4828392A (en) * 1985-03-13 1989-05-09 Matsushita Electric Industrial Co., Ltd. Exposure apparatus
US4710642A (en) * 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
DE3530439A1 (de) * 1985-08-26 1987-02-26 Siemens Ag Vorrichtung zum justieren einer mit mindestens einer justiermarke versehenen maske bezueglich eines mit mindestens einer gitterstruktur versehenen halbleiterwafers
US4818110A (en) * 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
KR900004269B1 (ko) * 1986-06-11 1990-06-18 가부시기가이샤 도시바 제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치
US4929083A (en) * 1986-06-19 1990-05-29 Xerox Corporation Focus and overlay characterization and optimization for photolithographic exposure
US4750836A (en) * 1986-09-18 1988-06-14 Rca Corporation Method of measuring misalignment between superimposed patterns
US4757207A (en) * 1987-03-03 1988-07-12 International Business Machines Corporation Measurement of registration of overlaid test patterns by the use of reflected light
JPH0819176B2 (ja) 1987-04-03 1996-02-28 三菱化学株式会社 オレフイン重合用触媒
US5327221A (en) * 1988-02-16 1994-07-05 Canon Kabushiki Kaisha Device for detecting positional relationship between two objects
US5340992A (en) * 1988-02-16 1994-08-23 Canon Kabushiki Kaisha Apparatus and method of detecting positional relationship using a weighted coefficient
US5189494A (en) * 1988-11-07 1993-02-23 Masato Muraki Position detecting method and apparatus
FR2640040B1 (fr) 1988-12-05 1994-10-28 Micro Controle Procede et dispositif de mesure optique
JPH02192114A (ja) * 1989-01-20 1990-07-27 Canon Inc 位置合わせ装置
US4999014A (en) * 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
JP2704002B2 (ja) * 1989-07-18 1998-01-26 キヤノン株式会社 位置検出方法
US5166752A (en) * 1990-01-11 1992-11-24 Rudolph Research Corporation Simultaneous multiple angle/multiple wavelength ellipsometer and method
DE4000785A1 (de) * 1990-01-12 1991-07-18 Suess Kg Karl Justiermarken fuer zwei aufeinander einzujustierende objekte
US5112129A (en) * 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5182610A (en) * 1990-04-19 1993-01-26 Sortec Corporation Position detecting method and device therefor as well as aligning device
JP2897355B2 (ja) * 1990-07-05 1999-05-31 株式会社ニコン アライメント方法,露光装置,並びに位置検出方法及び装置
US5185610A (en) * 1990-08-20 1993-02-09 Texas Instruments Incorporated GPS system and method for deriving pointing or attitude from a single GPS receiver
US5343292A (en) * 1990-10-19 1994-08-30 University Of New Mexico Method and apparatus for alignment of submicron lithographic features
US5276337A (en) * 1991-10-31 1994-01-04 International Business Machines Corporation Accuracy of alignment and O/L measurement systems by means of tunable source and handling of signal
JPH06137830A (ja) * 1992-10-23 1994-05-20 Canon Inc 干渉計測方法及び干渉計測装置
US5416588A (en) 1992-12-21 1995-05-16 The Board Of Regents Of The University Of Nebraska Small modulation ellipsometry
US6153886A (en) * 1993-02-19 2000-11-28 Nikon Corporation Alignment apparatus in projection exposure apparatus
US5438413A (en) * 1993-03-03 1995-08-01 Kla Instruments Corporation Process for measuring overlay misregistration during semiconductor wafer fabrication
US5316984A (en) * 1993-03-25 1994-05-31 Vlsi Technology, Inc. Bright field wafer target
JP3082516B2 (ja) * 1993-05-31 2000-08-28 キヤノン株式会社 光学式変位センサおよび該光学式変位センサを用いた駆動システム
US5414514A (en) * 1993-06-01 1995-05-09 Massachusetts Institute Of Technology On-axis interferometric alignment of plates using the spatial phase of interference patterns
WO1995002200A1 (en) 1993-07-09 1995-01-19 Commonwealth Scientific And Industrial Research Organisation Multiple image diffractive device
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
US5388909A (en) 1993-09-16 1995-02-14 Johnson; Shane R. Optical apparatus and method for measuring temperature of a substrate material with a temperature dependent band gap
US5355306A (en) * 1993-09-30 1994-10-11 Motorola, Inc. Alignment system and method of alignment by symmetrical and asymmetrical analysis
JP2595885B2 (ja) * 1993-11-18 1997-04-02 日本電気株式会社 半導体装置およびその製造方法
TW256914B (en) * 1994-05-27 1995-09-11 Ibm Servo-writing system for use in a data recording disk drive
US5883710A (en) * 1994-12-08 1999-03-16 Kla-Tencor Corporation Scanning system for inspecting anomalies on surfaces
JPH08233555A (ja) * 1994-12-28 1996-09-13 Matsushita Electric Ind Co Ltd レジストパターンの測定方法及びレジストパターンの測定装置
US5608526A (en) * 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5923041A (en) * 1995-02-03 1999-07-13 Us Commerce Overlay target and measurement procedure to enable self-correction for wafer-induced tool-induced shift by imaging sensor means
US5808742A (en) * 1995-05-31 1998-09-15 Massachusetts Institute Of Technology Optical alignment apparatus having multiple parallel alignment marks
JP3859764B2 (ja) * 1995-06-27 2006-12-20 株式会社ルネサステクノロジ 重ね合わせ精度測定マーク、そのマークの欠陥修正方法、および、そのマークを有するフォトマスク
US5596413A (en) * 1995-08-17 1997-01-21 Lucent Technologies Inc. Sub-micron through-the-lens positioning utilizing out of phase segmented gratings
US5712707A (en) * 1995-11-20 1998-01-27 International Business Machines Corporation Edge overlay measurement target for sub-0.5 micron ground rules
US5801390A (en) * 1996-02-09 1998-09-01 Nikon Corporation Position-detection method and apparatus with a grating mark
JPH09244222A (ja) * 1996-03-08 1997-09-19 Mitsubishi Electric Corp 重ね合わせ誤差測定用レチクル、そのレチクルを用いた重ね合わせ誤差測定方法および重ね合わせ誤差測定マーク
US5805290A (en) * 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
EP0979398B1 (en) * 1996-06-04 2012-01-04 KLA-Tencor Corporation Optical scanning system for surface inspection
US5700732A (en) * 1996-08-02 1997-12-23 Micron Technology, Inc. Semiconductor wafer, wafer alignment patterns and method of forming wafer alignment patterns
US5966201A (en) 1996-11-07 1999-10-12 Nikon Corporation Mark for position detection, and mark detecting method and apparatus
US6013355A (en) * 1996-12-30 2000-01-11 International Business Machines Corp. Testing laminates with x-ray moire interferometry
US5912983A (en) * 1997-01-24 1999-06-15 Oki Electric Industry Co., Ltd Overlay accuracy measuring method
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
JP3527063B2 (ja) 1997-06-04 2004-05-17 株式会社ルネサステクノロジ 半導体装置の製造方法
JPH1186332A (ja) 1997-09-01 1999-03-30 Toshiba Corp 光ヘッド装置
US6134011A (en) * 1997-09-22 2000-10-17 Hdi Instrumentation Optical measurement system using polarized light
JPH11102851A (ja) * 1997-09-26 1999-04-13 Mitsubishi Electric Corp アライメント補正方法及び半導体装置の製造方法
JPH11241908A (ja) * 1997-12-03 1999-09-07 Canon Inc 位置検出装置及びそれを用いたデバイスの製造方法
US6160622A (en) * 1997-12-29 2000-12-12 Asm Lithography, B.V. Alignment device and lithographic apparatus comprising such a device
US6483580B1 (en) * 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
US6476920B1 (en) * 1998-03-18 2002-11-05 Nova Measuring Instruments, Ltd. Method and apparatus for measurements of patterned structures
US6077756A (en) * 1998-04-24 2000-06-20 Vanguard International Semiconductor Overlay target pattern and algorithm for layer-to-layer overlay metrology for semiconductor processing
EP0973069A3 (en) * 1998-07-14 2006-10-04 Nova Measuring Instruments Limited Monitoring apparatus and method particularly useful in photolithographically processing substrates
US6128089A (en) * 1998-07-28 2000-10-03 International Business Machines Corporation Combined segmented and nonsegmented bar-in-bar targets
KR20010033319A (ko) * 1998-10-20 2001-04-25 롤페스 요하네스 게라투스 알베르투스 격자 및 적어도 일부분 리세스된 산화물 패턴이 제공되는표면을 가지는 실리콘 바디에서 반도체 장치를 제조하는방법
US6184984B1 (en) * 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
JP2000323381A (ja) * 1999-05-07 2000-11-24 Nikon Corp 露光方法及び装置
GB9914711D0 (en) * 1999-06-23 1999-08-25 Leck Michael J Electronic seal,methods and security system
US7123358B2 (en) * 1999-07-19 2006-10-17 Chemimage Corporation Method for Raman imaging of semiconductor materials
TW558642B (en) * 1999-08-02 2003-10-21 Zetetic Inst Scanning interferometric near-field confocal microscopy
US6342735B1 (en) * 1999-09-01 2002-01-29 International Business Machines Corporation Dual use alignment aid
US6420791B1 (en) * 1999-11-23 2002-07-16 United Microelectronics Corp. Alignment mark design
US6350548B1 (en) * 2000-03-15 2002-02-26 International Business Machines Corporation Nested overlay measurement target
JP2001272208A (ja) * 2000-03-27 2001-10-05 Toshiba Corp 重ね合わせずれ検査装置、重ね合わせずれ検査用マークおよび重ね合わせずれ検査方法
US6689519B2 (en) * 2000-05-04 2004-02-10 Kla-Tencor Technologies Corp. Methods and systems for lithography process control
US6462818B1 (en) * 2000-06-22 2002-10-08 Kla-Tencor Corporation Overlay alignment mark design
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
IL140179A (en) * 2000-12-07 2004-09-27 Nova Measuring Instr Ltd Method and system for measuring in patterned structures
US6900892B2 (en) * 2000-12-19 2005-05-31 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
JP2002247283A (ja) * 2001-02-16 2002-08-30 Fuji Photo Film Co Ltd 画像形成装置
US6699624B2 (en) 2001-02-27 2004-03-02 Timbre Technologies, Inc. Grating test patterns and methods for overlay metrology
US6856408B2 (en) 2001-03-02 2005-02-15 Accent Optical Technologies, Inc. Line profile asymmetry measurement using scatterometry
US6522406B1 (en) 2001-04-20 2003-02-18 Nanometrics Incorporated Correcting the system polarization sensitivity of a metrology tool having a rotatable polarizer
US6999164B2 (en) * 2001-04-26 2006-02-14 Tokyo Electron Limited Measurement system cluster
KR100583693B1 (ko) * 2001-05-23 2006-05-25 에이에스엠엘 네델란즈 비.브이. 실질적으로 투과성인 공정층내에 정렬마크가 제공된 기판,상기 마크를 노광하는 마스크, 디바이스 제조방법 및 그디바이스
US6730444B2 (en) * 2001-06-05 2004-05-04 Micron Technology, Inc. Needle comb reticle pattern for critical dimension and registration measurements using a registration tool and methods for using same
US20020192577A1 (en) * 2001-06-15 2002-12-19 Bernard Fay Automated overlay metrology system
US6458605B1 (en) * 2001-06-28 2002-10-01 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography overlay registration
US6713753B1 (en) * 2001-07-03 2004-03-30 Nanometrics Incorporated Combination of normal and oblique incidence polarimetry for the characterization of gratings
DE10142316A1 (de) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
US7061615B1 (en) * 2001-09-20 2006-06-13 Nanometrics Incorporated Spectroscopically measured overlay target
US6867870B1 (en) * 2001-11-01 2005-03-15 Therma-Wave, Inc. Digital detector data communication in an optical metrology tool
WO2003054475A2 (en) * 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6772084B2 (en) 2002-01-31 2004-08-03 Timbre Technologies, Inc. Overlay measurements using periodic gratings
US7373411B2 (en) * 2002-02-01 2008-05-13 Hewlett-Packard Development Company, L.P. Configuration information generation system and method
US6813034B2 (en) * 2002-02-05 2004-11-02 Therma-Wave, Inc. Analysis of isolated and aperiodic structures with simultaneous multiple angle of incidence measurements
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US6778275B2 (en) * 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
IL148566A (en) * 2002-03-07 2007-06-17 Nova Measuring Instr Ltd Method and system for measuring overlap accuracy
US7061627B2 (en) 2002-03-13 2006-06-13 Therma-Wave, Inc. Optical scatterometry of asymmetric lines and structures
US6949462B1 (en) * 2002-04-04 2005-09-27 Nanometrics Incorporated Measuring an alignment target with multiple polarization states
US7046361B1 (en) 2002-04-04 2006-05-16 Nanometrics Incorporated Positioning two elements using an alignment target with a designed offset
US6982793B1 (en) * 2002-04-04 2006-01-03 Nanometrics Incorporated Method and apparatus for using an alignment target with designed in offset
US6985229B2 (en) * 2002-05-30 2006-01-10 Agere Systems, Inc. Overlay metrology using scatterometry profiling
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US7046376B2 (en) 2002-07-05 2006-05-16 Therma-Wave, Inc. Overlay targets with isolated, critical-dimension features and apparatus to measure overlay
US6919964B2 (en) * 2002-07-09 2005-07-19 Therma-Wave, Inc. CD metrology analysis using a finite difference method
US20040066517A1 (en) * 2002-09-05 2004-04-08 Hsu-Ting Huang Interferometry-based method and apparatus for overlay metrology
EP1400855A3 (en) 2002-09-20 2009-04-08 ASML Netherlands B.V. Device inspection
US6992764B1 (en) * 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
US7193715B2 (en) * 2002-11-14 2007-03-20 Tokyo Electron Limited Measurement of overlay using diffraction gratings when overlay exceeds the grating period
US6815232B2 (en) 2002-11-26 2004-11-09 Advanced Micro Devices, Inc. Method and apparatus for overlay control using multiple targets
EP1570232B1 (en) 2002-12-05 2016-11-02 KLA-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US7352453B2 (en) * 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US6888632B2 (en) * 2003-02-28 2005-05-03 Therma-Wave, Inc. Modulated scatterometry
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7230703B2 (en) 2003-07-17 2007-06-12 Tokyo Electron Limited Apparatus and method for measuring overlay by diffraction gratings
US7061623B2 (en) * 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7508976B1 (en) * 2003-12-29 2009-03-24 Nanometric Incorporated Local process variation correction for overlay measurement
US7065737B2 (en) * 2004-03-01 2006-06-20 Advanced Micro Devices, Inc Multi-layer overlay measurement and correction technique for IC manufacturing
WO2006023612A2 (en) * 2004-08-19 2006-03-02 Zetetic Institute Sub-nanometer overlay, critical dimension, and lithography tool projection optic metrology systems based on measurement of exposure induced changes in photoresist on wafers

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4408884A (en) * 1981-06-29 1983-10-11 Rca Corporation Optical measurements of fine line parameters in integrated circuit processes
JPS62190725A (ja) * 1986-02-17 1987-08-20 Tokyo Electron Ltd 二重回折格子による位置合せ方法
JPS63260045A (ja) * 1987-04-17 1988-10-27 Hitachi Ltd バ−ニアパタ−ン
JPH02112709A (ja) * 1988-10-21 1990-04-25 Olympus Optical Co Ltd 位置合せ方法および位置合せ装置
JPH033224A (ja) * 1989-04-20 1991-01-09 Asm Lithography Bv マスクパターンを基板上に投影する装置
JPH0453220A (ja) * 1990-06-20 1992-02-20 Nikon Corp 投影光学装置
US6023338A (en) * 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
JPH11145047A (ja) * 1997-11-14 1999-05-28 Sony Corp 電子線描画用精度測定方法
JP2001093822A (ja) * 1999-09-24 2001-04-06 Nikon Corp マスクの位置合わせ方法およびそれを用いた露光装置
WO2002019415A1 (en) * 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
WO2002018871A1 (en) * 2000-09-01 2002-03-07 Kla-Tencor Corporation Improved overlay alignment measurement mark
WO2002025723A2 (en) * 2000-09-19 2002-03-28 Nova Measuring Instruments Ltd. Lateral shift measurement using an optical technique
US20030190793A1 (en) * 2000-09-19 2003-10-09 Boaz Brill Lateral shift measurement using an optical technique
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
WO2002065545A2 (en) * 2001-02-12 2002-08-22 Sensys Instruments Corporation Overlay alignment metrology using diffraction gratings
US20020158193A1 (en) * 2001-02-12 2002-10-31 Abdurrahman Sezginer Overlay alignment metrology using diffraction gratings
WO2002084213A1 (en) * 2001-04-10 2002-10-24 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US20030002043A1 (en) * 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
JP2004279405A (ja) * 2002-09-20 2004-10-07 Asml Netherlands Bv デバイス検査
WO2004107415A1 (ja) * 2003-05-28 2004-12-09 Nikon Corporation 位置情報計測方法及び装置、並びに露光方法及び装置
JP2008109104A (ja) * 2006-09-25 2008-05-08 Asml Netherlands Bv 角度分解分光リソグラフィキャラクタライゼイション方法およびデバイス

Also Published As

Publication number Publication date
DE602004032117D1 (de) 2011-05-19
WO2004076963A3 (en) 2004-11-18
JP5675936B2 (ja) 2015-02-25
US7933016B2 (en) 2011-04-26
JP2019091094A (ja) 2019-06-13
US7379183B2 (en) 2008-05-27
US20080049226A1 (en) 2008-02-28
EP1601931B1 (en) 2011-04-06
US20040233443A1 (en) 2004-11-25
JP4789798B2 (ja) 2011-10-12
US20040233441A1 (en) 2004-11-25
US7564557B2 (en) 2009-07-21
US20040233444A1 (en) 2004-11-25
JP2011155302A (ja) 2011-08-11
JP2014042069A (ja) 2014-03-06
US20040233442A1 (en) 2004-11-25
EP1601931A4 (en) 2007-08-29
US7298481B2 (en) 2007-11-20
JP2012089896A (ja) 2012-05-10
JP2016106269A (ja) 2016-06-16
US7385699B2 (en) 2008-06-10
US7280212B2 (en) 2007-10-09
JP5280507B2 (ja) 2013-09-04
US7876440B2 (en) 2011-01-25
JP6668533B2 (ja) 2020-03-18
JP5469688B2 (ja) 2014-04-16
WO2004076963A2 (en) 2004-09-10
US7433040B2 (en) 2008-10-07
JP2017227934A (ja) 2017-12-28
US20100091284A1 (en) 2010-04-15
US20040169861A1 (en) 2004-09-02
US20040233440A1 (en) 2004-11-25
ATE504862T1 (de) 2011-04-15
EP1601931A2 (en) 2005-12-07
US7663753B2 (en) 2010-02-16
US20040257571A1 (en) 2004-12-23
US7242477B2 (en) 2007-07-10
US20080094630A1 (en) 2008-04-24
JP2015052602A (ja) 2015-03-19
JP6553145B2 (ja) 2019-07-31
JP4932949B2 (ja) 2012-05-16
US20090284744A1 (en) 2009-11-19
US7301634B2 (en) 2007-11-27
JP2006518942A (ja) 2006-08-17
US20080024766A1 (en) 2008-01-31
US7317531B2 (en) 2008-01-08
US20040233439A1 (en) 2004-11-25
US7289213B2 (en) 2007-10-30

Similar Documents

Publication Publication Date Title
JP6668533B2 (ja) 散乱計測を用いてオーバレイ誤差を検出する装置および方法
US10451412B2 (en) Apparatus and methods for detecting overlay errors using scatterometry
US9347879B2 (en) Apparatus and methods for detecting overlay errors using scatterometry
JP4746987B2 (ja) 散乱計測を用いてオーバレイ誤差を検出する装置および方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111208

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130514

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130522

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5280507

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term