KR101519932B1 - 표면 특징물의 특성을 측정하기 위한 장치 및 방법 - Google Patents

표면 특징물의 특성을 측정하기 위한 장치 및 방법 Download PDF

Info

Publication number
KR101519932B1
KR101519932B1 KR1020097014917A KR20097014917A KR101519932B1 KR 101519932 B1 KR101519932 B1 KR 101519932B1 KR 1020097014917 A KR1020097014917 A KR 1020097014917A KR 20097014917 A KR20097014917 A KR 20097014917A KR 101519932 B1 KR101519932 B1 KR 101519932B1
Authority
KR
South Korea
Prior art keywords
test
light
information
detector
test object
Prior art date
Application number
KR1020097014917A
Other languages
English (en)
Other versions
KR20090097938A (ko
Inventor
드 레가 하비에르 꼴로나
그룻 피터 드
Original Assignee
지고 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 지고 코포레이션 filed Critical 지고 코포레이션
Publication of KR20090097938A publication Critical patent/KR20090097938A/ko
Application granted granted Critical
Publication of KR101519932B1 publication Critical patent/KR101519932B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02041Interferometers characterised by particular imaging or detection techniques
    • G01B9/02043Imaging of the Fourier or pupil or back focal plane, i.e. angle resolved imaging
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/0207Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer
    • G01B9/02072Error reduction by correction of the measurement signal based on independently determined error sources, e.g. using a reference interferometer by calibration or testing of interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N2021/9513Liquid crystal panels
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/8422Investigating thin films, e.g. matrix isolation method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Databases & Information Systems (AREA)
  • Mathematical Physics (AREA)
  • Instruments For Measurement Of Length By Optical Means (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

테스트 광에 의해 테스트 물체의 서로 조사 각도에 대응하는 제1 세트의 다중 간섭 신호를 생성하는 제1 동작 모드 및 테스트 물체의 서로 다른 표면 위치에 대응하는 제2 세트의 복수의 간선 신호를 생성하는 제2 동작 모드에서 동작하도록 구성된 간섭 시스템을 포함하는 장치에 대해 개시한다. 간섭 시스템에 결합된 전자 프로세서는 제1 세트의 간섭 신호를 수신하도록 구성되고 제1 세트의 다중 간섭 신호로부터 도출 가능한 정보와 테스트 물체의 복수의 모델에 대응하는 정보를 비교하여 테스트 물체의 하나 이상의 특징물에 관한 정보를 결정하고 출력하도록 프로그램되어 있다. 일부의 실시예에서, 상기 특징들은 해상 한계 이하의(under-resolved) 특징을 포함한다.

Description

표면 특징물의 특성을 측정하기 위한 장치 및 방법{APPARATUS AND METHOD FOR MEASURING CHARACTERISTICS OF SURFACE FEATURES}
본 발명은 박막(들), 유사하지 않은 재료들의 이산 구조체, 또는 간섭 현미경의 광학 분해능에서는 해상 한계 이하의(under-resolved) 이산 구조체와 같이, 복잡한 표면 구조를 가진 물체의 표면 특징을 측정하기 위해 스캐닝 간섭계를 사용하는 것에 관한 것이다. 이러한 측정은 플랫 패널 디스플레이 컴포넌트, 반도체 웨이퍼 도량형, 및 특히 박막 및 유사하지 않은 재료 분석의 특성에 관한 것이다.
간섭 기술은 흔히 물체의 표면의 프로파일을 측정하는데 사용된다. 이렇게 하기 위해, 간섭계는 관심의 대상이 되는 표면으로부터 반사된 측정 파면(wavefront)과 관련 표면으로부터 반사된 기준 파면을 결합하여 인터페로그램을 생성한다. 인터페로그램 내의 프린지(fringe)는 관심의 대상이 되는 표면과 기준 표면 사이의 공간적 변동을 나타낸다.
통상적으로, 스캐닝 간섭계는 간섭하는 파면들의 코히어런스 길이에 비교될만한 범위 또는 그보다 큰 범위에 걸쳐 간섭계의 기준 레그(leg)와 측정 레그 사이의 광학 경로 길이 차(optical path length difference; OPD)를 스캔하여, 인터페로그램을 측정하는데 사용된 카메라 픽셀마다 스캐닝 간섭 신호를 생성한다. 예를 들어, 스캐닝 백색광 간섭계(SWLI)라 일컬어지는 백색광원을 사용함으로써 한정된 코히어런스 길이를 생성할 수 있다. 통상적인 스캐닝 백색광 간섭계 신호는 제로 광학 경로 차(OPD) 위치 근처에서 국부화된 약간의 프린지이다. 이 신호는 통상적으로 종 모양의 프린지-콘트라스트 엔벨로프를 갖는 정현 반송파 변조("프린지(fringes)")에 의해 특징지어진다. SWLI 도량형 하에서의 종래의 개념은 표면 프로파일을 측정하기 위해 프린지의 국부화를 사용하는 것이다.
SWLI 처리 기술은 2개의 원칙 동향을 포함한다. 제1 접근법은 엔벨로프의 피크 또는 중심을 위치시키는 것인데, 이 위치는 하나의 빔이 물체 표면으로부터 반사하는 2-빔 간섭계의 제로 광학 경로 차(OPD)에 대응한다고 가정한다. 제2 접근법은 신호를 주파수 도메인으로 변환하고 파장을 갖는 위상 변화의 레이트를 계산하는 것인데, 본질적으로 선형 기울기(linear slope)가 물체 위치에 정비례하는 것으로 가정한다. 예를 들어, Peter de Groot에게 허여된 미국특허 No.5,398,113을 참조하라. 이 후자의 접근법을 주파수 도메인 해석(FDA)이라 한다.
스캐닝 간섭계를 사용하여, 박막(들), 유사하지 않은 재료들의 이산 구조체, 또는 간섭 현미경의 광학 분해능에서는 해상 한계 이하의(under-resolved) 이산 구조체와 같이, 복잡한 표면 구조를 가진 물체의 표면 토폴로지 및/또는 그외 특성을 측정할 수 있다. 이러한 측정은 플랫 패널 디스플레이 컴포넌트, 반도체 웨이퍼 도량형, 및 특히 박막 및 유사하지 않은 재료 분석의 특성에 관한 것이다. 예를 들어, 발명의 명칭이 "Profiling Complex Surface Structures Using Scanning Interferometry"이고 2004년 9월 30일에 공개되었으며, Peter de Groot 등에 의한 미국특허공개 No. 2004-0189999-A1 및 발명의 명칭이 "Interferometry Method for Ellipsometry, Reflectometry, and Scatterometry Measurements, Including Characterization of Thin Film Structures"이고 2004년 5월 6일에 공개된 미국특허공개 No. 2004-0189999-A1을 참조하라. 상기 문헌들의 내용은 본 명세서에 원용된다.
물체에 관한 정보를 광학적으로 결정하기 위한 그외 기술로는 엘립소메트리(ellipsometry) 및 반사측정(reflectometry)을 들 수 있다. 엘립소메트리는 사각(oblique angle), 예를 들어 60°로 조사될 때, 때로는 가변 각도(variable angle) 또는 다중 파장(multiple wavelengths)으로 조사될 때, 표면의 복합 반사도(complex reflectivity)를 결정한다. 종래의 타원계(ellipsometer)에서 손쉽게 달성될 수 있는 것보다 더 높은 분해능을 달성하기 위해, 마이크로타원계(microellipsometer)는, 다양한 조사각이 필드 위치에 매핑되는 동공 평면(pupil plane)으로도 알려진, 대물 렌즈의 후방초점면(back focal plane)에서 위상 및/또는 세기 분포를 측정한다. 이러한 디바이스는 역사적으로 결정학 및 광물학에 연결된, 종래의 편광 현미경 또는 "편광경(conoscopes)"의 현대화인데, 교차 편광(crossed polarizer)과 버트랜드 렌즈(Bertrand lens)를 사용하여 동공 평면 복굴절 재료(pupil plane birefringent materials)를 분석한다.
박막 특성을 위해 사용된 종래의 기술(예를 들어, 엘립소메트리 및 반사측정)은 미지의 광학 경계가 그 내재적 특성들(개개의 층의 재료 속성 및 두께) 및 반사도를 측정하는데 사용되는 광의 3개의 속성 - 파장, 입사각 및 편광 상태 - 모두를 따른다는 사실에 의존한다. 실제로, 특성 기구는 기지(旣知)의 범위에 걸쳐 이러한 파라미터들이 변함으로써 생기는 반사도 변동(reflectivity fluctuations)을 기록한다. 이때 최소 제곱 적합(least-squares fits)과 같은 최적화 과정을 사용하여, 측정된 반사도 데이터와 광학 구조체의 모델로부터 유도된 반사도 함수 간의 차분을 최소화함으로써 미지의 파라미터를 추정한다.
복합 표면 구조체, 예를 들어, 패턴화된 반도체 웨이퍼는 크기가 mm 이하로부터 수십 nm에 이르는 다양한 크기의 유사하지 않은 재료의 특성으로 이루어질 수 있다.
본 발명의 발명자들은 스캐닝 간섭 신호에 풍부한 정보가 있으며, 이것들 중 대부분이 종래의 처리에서 무시되었다는 것을 깨달았다. 스펙트럼 상의 광대역 광원으로 조사된 간섭계에서 측정된 간섭 신호를 분석하여, 종래의 촬상 현미경에서는 완전히 분해할 수 없는 물체 표면의 광학 특성(즉, 해상 한계 이하의 특징물)을 결정할 수 있다. 예를 들어, 조사광의 파장의 1/2보다 작을 수 있는 물체의 깊이, 폭, 측벽 각도, 가장자리 라운딩 및 막 구조체와 같은 치수 파라미터에 관한 정보를 얻을 수 있다.
여기서 언급하는 처리 기술에서, 주어진 각도, 파장 및 편광 상태에서의 입사광에 대한 테스트 물체의 복합 반사도에 대응하는 스캔닝 간섭 신호로부터 유도 가능한 정보를 그 테스트 물체의 복수의 모델에 대응하는 정보와 비교한다. 예를 들어, 테스트 물체는 가능한 깊이 및 피치의 범위를 갖는, 예를 들어 해상 한계 이하의 격자(gratings)를 포함하는 구조적 특징의 범위를 갖는 것으로 모델화될 수 있다. 이 비교는 각도, 파장 및 편광 상태의 범위에 걸쳐 반복되어, 어느 모델이 그 모델에 기초한 이론적 예측에 대해 가장 적합한 실험 데이터를 제공하는지를 결정할 수 있다. 가장 적합 모델의 구조적 특징들은 테스트 물체의 구조적 특성에 대한 최선의 추정에 대응한다.
이 방법으로 결정된 구조적 특징 정보를 사용하여, 표면 프로파일 측정의 성능(예를 들어, 정확성, 속도 등)을 향상시킬 수 있다. 또한, 표면 프로파일 정보를 사용하여, 위에서 설명한 타입의 표면 특성 측정의 성능을 향상시킬 수 있다. 한 관점에서, 간섭 시스템은 어느 것이 쌍방 타입의 측정을 수행할 수 있는지에 대해 개시되고, 각각의 특정 타입을 사용하여 다른 것을 향상시킬 수 있다.
여기에 서술된 측정 기술 및 후속의 분석 접근은 수 개의 반도체 처리 단계에 적용 가능하다. 광학적 근접성 교정 및/또는 위상 시프트 마스크를 사용하면, 패턴화된 물체의 치수는 광학 리소그래피 도구에 의해 사용된 파장보다 더 작아질 수 있다. 예를 들어, 193nm 리소그래피 도구는 오늘날의 판에 박히게 높은 체적을 제조하는 설비에서의 패턴 65nm 물체를 패턴화하며; 에칭 바이어스 단계 및 하드 마스크 구조의 사용으로 45nm 이하로 하한(lower limit)을 연장할 수 있다. 서브 파장 구조 특징을 프린트하는 능력으로 이러한 특징 및 관련 에칭 및 증착 단계를 모니터하게 된다. 본 발명의 실시예에 의하면, 해상 한계 이하의(under-resolved) 구조적 특징의 측정이 가능하다.
반도체 칩의 이러한 측정 중의 도량형 측정의 일례는, 웨이퍼 상의 유전층의 화학적 기계적 폴리싱(CMP) 동안 반도체 웨이퍼의 비접촉 표면 토포그래피 측정을 위해 스캐닝 간섭계 측정을 사용하는 것을 포함한다. CMP를 사용하여, 정밀 광학 리소그래피에 적절한, 유전층에 대한 편평한 표면을 생성할 수 있다. 간섭 방법들의 결과에 기초하여, CMP에 대한 프로세스 조건(예를 들어, 패드 압력(pad pressure), 폴리싱 슬러리 조성(polishing slurry composition) 등)을 조정하여 표면 비균일성을 수용 가능한 범위 내로 유지할 수 있다.
복합 반사도 외에, 여기서 언급한 것들과 같은 스캐닝 간섭계로부터 유도 가능한 정보는 서로 다른 입사각 및/또는 서로 다른 파장에서 복합 반사도에 관한 정보를 포함할 수 있다. 예를 들어, 간섭계 데이터는 서로 다른 입사각 및/또는 서로 다른 파장에서, 복합 반사도에 관하여, 글로벌 위상 관계를 포함할 수 있다.
일부의 실시예에서, 실험 데이터와 모델화된 데이터의 비교는 글로벌 위상 관계를 사용한다. 예를 들어, 글로벌 위상 관계는 테스트 구조체의 정확한 모델을 고려하기 위해, 모델화된 데이터가 따라야 하는 부가적인 제약을 제공할 수 있으며, 실험 데이터와 모델화된 데이터가 일치할 때 더욱 확실한 솔루션을 제공한다. 예를 들어, 종래의 엘립소메트리에서, ψ 및 Δ의 측정된 값을 비교하여, 입사각 및/또는 파장의 범위에 대해 ψ 및 Δ의 모델화된 값을 비교할 수 있다. 서로 다른 모델화된 구조체가 샘플링된 범위에 걸쳐 ψ 및 Δ의 일치하는 값들에서 생길 가능성이 있으며, 샘플의 구조체를 결정하려 할 때 축퇴 솔루션(degenerate solution)을 제공한다. 글로벌 위상 관계와 같이, 부가적인 제약을 갖는다는 것은, 샘플링된 범위에 교차하여 ψ 및 Δ의 일치하는 값들을 제공하는 서로 다른 모델들이 예를 들어 글로벌 위상 관계를 반드시 만족하지 않을 것이기 때문에, 이러한 축퇴성을 높일 수 있다.
다양한 관점 및 특징을 요약한다.
하나의 관점에서, 장치에 대해 개시하는 바, 이 장치는 테스트 광에 의해 테스트 물체의 서로 다른 조사 각에 대응하는 제1 세트의 다중 간섭 신호를 생성하도록 제1 모드에서 동작하고, 테스트 물체의 서로 다른 표면 위치들에 대응하는 제2 세트의 다중 간섭 신호를 생성하도록 제2 모드에서 동작하도록 구성된 간섭 신호 시스템을 포함한다. 전자 프로세서는 상기 제1 세트의 다중 간섭 신호를 수신하도록 구성된 상기 간섭 시스템에 결합되고, 상기 제1 세트의 다중 간섭 신호로부터 도출된 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하여, 상기 테스트 물체의 하나 이상의 특징물에 관련된 정보를 결정하며, 상기 정보를 출력하도록 프로그램되어 있다. 일부의 실시예에서, 상기 특징물은 해상 한계 이하의(under-resolved) 특징물을 포함한다.
일부의 실시예에서, 장치는 상기 제1 모드와 상기 제2 모드 사이를 선택적으로 전환하도록 구성되어 있다.
일부의 실시예에서, 장치는 상기 제1 모드와 상기 제2 모드에서 측정치를 동시에 제공하도록 구성되어 있다.
일부의 실시예에서, 상기 간섭 시스템은 적어도 하나의 전자 검출기를 포함하며, 상기 제1 모드에서 상기 검출기의 서로 다른 요소들은, 상기 간섭 시스템에서 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들(illumination angles)에 대응한다. 일부의 실시예에서, 상기 제1 모드는, 하나 이상의 선택된 편광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도(reflectivity)를 측정하는 타원계 모드에 대응에 대응한다. 일부의 실시예에서, 상기 반사도는 복합 반사도이다.
일부의 실시예에서, 상기 제1 모드는 비편광된 광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도를 측정하는 반사계 모드에 대응한다. 일부의 실시예에서, 상기 반사도는 복합 반사도이다.
일부의 실시예에서, 상기 간섭 시스템은 상기 테스트 표면으로 향하는 테스트 광에 대한 동공 평면을 상기 검출기에 촬상한다.
일부의 실시예에서, 상기 간섭 시스템은 적어도 하나의 전자 검출기를 포함하며, 상기 제2 모드에서 상기 검출기의 서로 다른 요소들은, 상기 간섭 시스템에서 테스트 광에 의해 조사된 상기 테스트 표면의 서로 다른 위치들(illumination angles)에 대응한다. 예를 들어, 일부의 실시예에서, 상기 간섭계는 상기 테스트 표면을 상기 검출기에 촬상하도록 구성되어 있다. 일부의 실시예에서, 상기 제2 모드는 프로파일링 모드이다.
일부의 실시예에서, 상기 전자 프로세서는 또한 상기 제1 세트의 간섭 신호 및 상기 제2 세트의 간섭 신호를 수신하도록 구성되고, 상기 테스트 물체에 관한 정보를 결정하고 출력하도록 프로그램되어 있다.
일부의 실시예에서, 상기 전자 프로세서는 또한, 하나의 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록 다른 동작 모드에서 도출된 정보를 사용하도록 구성되어 있다. 예를 들어, 일부의 실시예에서, 상기 전자 프로세서는, 다른 동작 모드를 사용할 때 상기 테스트 물체에 관한 정보를 추가로 결정하는 데 도움이 되도록, 제1 동작 모드에서 결정된 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 사용하도록 구성되어 있다. 예를 들어, 일부의 실시예에서, 상기 추가의 정보는 표면 토포그래피, 박막 구조체, 및/또는 에칭 깊이를 포함한다. 일부의 실시예에서, 상기 전자 프로세서는 다른 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록, 하나의 동작 모드에서 결정된 상기 테스트 물체의 표면 프로파일에 관한 정보를 사용하도록 구성되어 있다. 예를 들어, 일실시예에서, 상기 추가의 정보는 해상 한계 이하의(under-resolved) 표면 특징물에 관한 정보를 포함한다.
일부의 실시예에서, 상기 전자 프로세서는, 상기 제1 동작 모드에서 결정된 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보에 기초하여 상기 테스트 물체의 복수의 모델을 도출하며; 그리고 상기 해상 한계 이하의(under-resolved) 특징들에 관련된 정보에 기초하여 상기 제2 세트의 다중 간섭 신호로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하여 상기 테스트 물체에 관련된 추가의 정보를 결정하고 출력하도록 구성되어 있다. 일실시예에서, 상기 추가의 정보는 표면 프로파일에 관한 정보이다.
일부의 실시예에서, 상기 간섭 시스템은 또한, 상기 테스트 물체의 비간섭 광학 영상을 측정하기 위해 비간섭 현미경 모드에서 선택적으로 동작하도록 구성되어 있다.
일부의 실시예에서, 상기 테스트 물체는 격자 구조체(grating structure)를 포함하며, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보는 격자(또는 다른 주기적 구조체) 피치(grating pitch) 및 격자 깊이(grating depth) 중 하나를 포함한다.
일부의 실시예에서, 상기 테스트 물체는 하나 이상의 막을 포함하며, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보는 박막 두께를 포함한다.
일부의 실시예에서, 상기 테스트 물체는 임계 치수에 의해 특징지어지는 구조체를 포함하고, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보는 상기 임계 치수를 포함한다.
일부의 실시예에서, 상기 간섭 시스템은, 테스트 광을 테스트 표면으로 향하게 하고 이어서 상기 테스트 광을 기준광과 결합하여 간섭 패턴을 형성하도록 구성된 간섭계로서, 상기 테스트 광 및 상기 기준광은 공통의 광원으로부터 도출되는, 간섭계; 전자 검출기; 및 상기 결합된 광의 적어도 일부를 상기 검출기로 향하게 하여, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 물체의 서로 다른 조사 각들에 대응하도록 구성된 하나 이상의 광학 기기를 포함한다. 상기 간섭 시스템은, 상기 결합된 광이 상기 검출기로 향하게 하여 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들에 대응하도록 하는 제1 모드, 및 프로파일링 동작 모드가 가능하게 되도록, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 조사된 상기 테스트 표면의 서로 다른 조사 각들에 대응하는 제2 모드에서 동작하도록 구성되어 있다.
일부의 실시예에서, 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 전환하기 위해 하나 이상의 광학 기기와 관련하여 상기 검출기의 위치를 조정하도록 구성된 스테이지를 더 포함한다.
일부의 실시예에서, 상기 장치는, 상기 검출기 스테이지에 결합되고 상기 검출기 스테이지로 하여금 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 조정 가능하게 전환하게 하도록 구성된 전자 제어기를 더 포함한다.
일부의 실시예에서, 상기 하나 이상의 광학 기기는 상기 제1 동작 모드에서 동작하기 위한 제1 세트의 하나 이상의 광학 기기 및 상기 제2 동작 모드에서 동작하기 위한 제2 세트의 하나 이상의 광학 기기를 포함한다.
일부의 실시예에서, 상기 제1 세트의 광학 기기 및 상기 제2 세트의 광학 기기를 지지하고, 상기 제1 세트와 상기 제2 세트 중 하나를 조정 가능하게 위치시키되 다른 하나는 상기 검출기로 향하는 상기 결합된 광의 경로에 설정되지 않도록 하여 상기 제1 모드와 상기 제2 모드 사이를 전환하도록 구성된 다중 위치 광학 기기 홀더(multi-position optics holder)를 더 포함한다.
일부의 실시예에서, 다중 위치 렌즈 홀더는 모니터링되고, 상기 모니터링된 다중 위치 광학 홀더에 결합되어 상기 다중 위치 광학 홀더로 하여금 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 선택적으로 전환하게 하는 전자 제어기를 더 포함한다.
일부의 실시예에서, 상기 장치는, 제2 세트의 하나 이상의 광학 기기, 상기 결합된 광의 제1 부분을 상기 제1 세트의 하나 이상의 광학 기기로 향하게 하고 상기 결합된 광의 제2 부분을 상기 제2 세트의 하나 이상의 광학 기기로 향하게 하는 빔 스플리터, 및 제2 전자 검출기를 더 포함하며, 상기 제2 세트의 하나 이상의 광학 기기는, 상기 제2 전자 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 조사된 상기 테스트 표면의 서로 다른 영역들에 대응하도록, 상기 결합된 광의 제2 부분을 상기 제2 전자 검출기로 향하게 한다.
일부의 실시예에서, 상기 간섭계는, 복수의 대물 렌즈를 지지하고 선택된 대물 렌즈가 공통의 광원으로부터의 입력광의 경로에 위치하도록 구성된 다중 위치 마운트(multi-position mount)를 포함하며, 상기 복수의 대물 렌즈는 적어도 하나의 간섭 대물 렌즈를 포함한다.
일부의 실시예에서, 상기 다중 위치 마운트는 모니터링되며, 상기 다중 위치 마운트에 결합되어 상기 다중 위치 마운트로 하여금 대물 렌즈들 사이를 선택적으로 전환하게 하는 전자 제어기를 더 포함한다.
일부의 실시예에서, 상기 복수의 대물 렌즈는 두 개의 서로 다른 간섭 대물 렌즈를 포함하며, 상기 두 개의 서로 다른 간섭 대물 렌즈 중 하나는 편광 광학 기기를 포함한다.
일부의 실시예에서, 상기 복수의 대물 렌즈는 비간섭 대물 렌즈를 포함하며, 상기 비간섭 대물 렌즈는 상기 입력광의 경로에 위치할 때 상기 장치로 하여금 비간섭 현미경 모드에서 동작하게 한다.
일부의 실시예에서, 상기 간섭 시스템은 스캐닝 간섭계를 포함한다. 일실시예에서, 상기 프로세서는 상기 제1 세트의 간섭 신호로부터의 하나 이상의 간섭 신호를 주파수 도메인으로 변환시키고 상기 변환된 신호에 기초하여 상기 테스트 표면에 관한 각도 분해 정보 및 파장 분해 정보를 추출하도록 구성되어 있다. 일실시예에서, 상기 정보는 반사율을 포함한다.
다른 관점에서, 방법이 개시되며, 상기 방법은, 테스트 광에 의해 테스트 물체의 서로 다른 조사 각도에 대응하는 제1 세트의 다중 간섭 신호를 생성하도록 제1 동작 모드에서 간섭 시스템을 사용하는 단계; 테스트 물체의 서로 다른 위치들에 대응하는 제2 세트의 다중 간섭 신호를 생성하도록 제2 동작 모드에서 상기 간섭 시스템을 사용하는 단계; 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및 상기 정보를 출력하는 단계 를 포함한다. 일부의 실시예에서, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들은, 상기 테스트 물체 상의 해상 한계 이하의(under-resolved) 패턴화된 구조체에 대한 피치, 변조 깊이, 및 요소 폭 중 하나 이상에 대응한다.
일부의 실시예에서, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들은, 상기 테스트 물체 상의 해상 한계 이하의(under-resolved) 패턴화된 구조체에 대한 적어도 변조 깊이에 대응한다.
일부의 실시예에서, 상기 간섭 신호들 중 적어도 일부는, 상기 해상 한계 이하의(under-resolved) 패턴화된 구조체의 요소들과 관련해서 편광이 배향되는 상기 테스트 물체의 조사로부터 도출된다. 일부의 실시예에서, 상기 편광은 상기 해상 한계 이하의(under-resolved) 패턴화된 구조체의 개개의 요소의 길이에 대해 직각으로 정렬된 선형 편광이다.
일부의 실시예에서, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들은, 상기 테스트 물체 상의 단차(step)의 높이 및 위치 중 하나 이상에 대응한다.
일부의 실시예에서, 상기 테스트 물체는 개별의 요소들이 감추어져 있는 패턴화된 구조체를 포함한다.
일부의 실시예에서, 상기 해상 한계 이하의(under-resolved) 특징물에 관련된 정보는 상기 패턴화된 구조체의 변조 폭 및 요소 폭 중 하나 이상에 대응한다.
일부의 실시예에서, 상기 모델들은 정밀한 결합파 해석(rigorous coupled wave analysis)을 이용하여 계산적으로 발생된다.
일부의 실시예에서, 상기 모델들은 속성이 공지되어 있는 테스트 물체로부터 경험적으로 발생된다.
일부의 실시예에서, 상기 해상 한계 이하의(under-resolved) 표면 특징물에 관한 정보는 사용자에게 출력된다.
일부의 실시예에서, 상기 해상 한계 이하의(under-resolved) 표면 특징물에 관한 정보는 반도체 제조를 위한 자동화된 프로세스 제어 시스템에 출력된다.
일부의 실시예에서, 상기 간섭 신호는 스캐닝 간섭 신호이다.
예를 들어, 일부의 실시예에서, 상기 스캐닝 간섭 신호는, 테스트 광을 검출기 상에서 기준광과 간섭하도록 향하게 하고, 테스트 광과 기준광의 간섭하는 부분들 사이에서 공통의 광원으로부터 검출기로 광학 경로 길이 차분을 가변시킴으로써 생성되며, 상기 테스트 광 및 상기 기준광은 상기 공통의 광원으로부터 도출되며, 상기 스캐닝 간섭 신호는 상기 광학 경로 길이 차분이 가변할 때 상기 검출기에 의해 측정된 간섭 세기에 대응한다. 일부의 실시예에서, 상기 스캐닝 간섭 신호를 생성하는 단계를 더 포함한다.
일부의 실시예에서, 상기 변환된 신호에 기초하여 상기 테스트 표면에 관한 각도 분해 정보 및 파장 분해 정보를 추출하기 위해, 상기 제1 세트의 간섭 신호의 하나 이상의 간섭 신호를 주파수 도메인으로 변환하는 단계를 더 포함한다.
일부의 실시예에서, 상기 간섭 시스템은 적어도 하나의 전자 검출기를 포함하며, 상기 제1 모드에서 상기 검출기의 서로 다른 요소들은, 상기 간섭 시스템에서 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들(illumination angles)에 대응한다.
일부의 실시예에서, 상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보는, 하나 이상의 선택된 편광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도(reflectivity)를 측정하는 타원계 모드에 대응에 대응한다. 일부의 실시예에서, 상기 반사도는 복합 반사도이다.
일부의 실시예에서, 상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보는, 비편광된 광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도를 측정하는 반사계 모드에 대응한다.
일부의 실시예에서, 상기 반사도는 복합 반사도이다.
일부의 실시예에서, 상기 테스트 표면으로 향하는 테스트 광에 대한 동공 평면을 상기 검출기에 촬상하는 단계를 더 포함한다.
일부의 실시예에서, 상기 제1 세트의 간섭 신호 및 상기 제2 세트의 간섭 신호로부터 도출될 수 있는 정보에 기초하여 상기 테스트 물체에 관한 정보를 결정하는 단계; 및 상기 테스트 물체에 관한 정보를 출력하는 단계를 더 포함한다. 일부의 실시예에서, 상기 테스트 물체에 관한 정보를 결정하는 단계는, 하나의 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록 다른 동작 모드에서 도출된 정보를 사용하는 단계를 포함한다.
일부의 실시예에서, 상기 테스트 물체에 관한 정보를 결정하는 단계는, 다른 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록, 제1 동작 모드에서 결정된 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 사용하는 단계를 포함한다. 예를 들어, 일부의 실시예에서, 상기 테스트 물체에 관한 정보를 결정하는 단계는, 상기 제1 동작 모드에서 결정된 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보에 기초하여 상기 테스트 물체의 복수의 모델을 도출하는 단계; 상기 해상 한계 이하의(under-resolved) 특징들에 관련된 정보에 기초하여 상기 제2 세트의 다중 간섭 신호로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하여 상기 테스트 물체에 관련된 추가의 정보를 결정하는 단계; 및 상기 테스트 물체에 관련된 추가의 정보를 출력하는 단계를 포함한다. 일부의 실시예에서, 상기 테스트 물체에 관한 추가의 정보는 표면 프로파일이다.
다른 관점에서, 장치가 개시되며, 상기 장치는, 테스트 광을 테스트 표면으로 향하게 하고 이어서 상기 테스트 광을 기준광과 결합하여 간섭 패턴을 형성하도록 구성된 간섭계로서, 상기 테스트 광 및 상기 기준광은 공통의 광원으로부터 도출되는, 간섭계; 전자 검출기; 상기 결합된 광의 적어도 일부를 상기 검출기로 향하게 하여, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 물체의 서로 다른 조사 각들에 대응하도록 구성된 하나 이상의 광학 기기; 및 상기 검출기에 결합된 전자 프로세서를 포함한다. 상기 간섭 시스템은, 상기 간섭계의 광학 속성들에 관한 캘리브레이션 정보를 저장하고; 상기 캘리브레이션 데이터에 기초하고 그리고 상기 검출기에 의해 측정된 정보로부터 도출된 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보와의 비교에 기초하여, 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징물에 관련된 정보를 결정하도록 상기 검출기에 의해 측정된 정보를 처리하며; 그리고 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징물에 관련된 정보를 출력하도록 구성되어 있다.
일부의 실시예에서, 상기 전자 프로세서는 상기 검출기 측정으로부터 상기 테스트 물체에 관한 각도 분해된 반사도 정보를 추출하고, 상기 검출기에 의해 측정된 정보로부터 도출된 정보는 각도 분해된 반사도이다.
일부의 실시예에서, 테스트 광과 기준광이 간섭 패턴을 형성할 때 상기 테스트 광과 상기 기준광 사이의 상대적 광학 경로 길이를 조정하도록 구성된 변환 스테이지를 더 포함하며, 상기 전자 프로세서는, 상기 검출기를 횡단하는 복수의 위치 각각에서 측정되고, 상기 변환 스테이지를 스캐닝함으로써 생성된 간섭 세기 신호를 분석하도록 구성되어 있다.
일부의 실시예에서, 상기 전자 프로세서는, 상기 검출기 상의 서로 다른 위치들에서 상기 세기 신호의 주파수에 기초하여, 상기 검출기의 서로 다른 영역들과 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들 사이의 대응성(correspondence)을 결정하도록 구성되어 있다.
일부의 실시예에서, 상기 전자 프로세서는 상기 검출기를 횡단하여 측정된 세기 신호들에 기초하여 상기 테스트 물체에 관한 각도 분해된 그리고 파장-분해된 정보를 추출하도록 구성되어 있다. 일부의 실시예에서, 상기 전자 프로세서는 상기 검출기의 서로 다른 위치들에서의 간섭 신호를 주파수 도메인으로 변환하여 상기 각도 분해 정보 및 파장 분해 정보를 추출하도록 구성되어 있다. 예를 들어, 일부의 실시예에서, 상기 간섭계는 상기 검출기에 의해 측정된 간섭 패턴의 편광 컨텐츠(polarization content)를 조정하도록 위치하는 하나 이상의 편광 소자들을 포함하고, 상기 전자 프로세서는 상기 검출기를 횡단하여 측정된 세기 신호에 기초하여 상기 테스트 표면에 관한 각도 분해 정보, 파장 분해 정보, 및 편광 분해 정보를 추출하도록 구성되어 있다. 일부의 실시예에서, 상기 각도 분해 정보, 파장 분해 정보, 및 편광 분해 정보는 상기 테스트 표면의 반사도에 관한 것이다.
다른 관점에서, 간섭 방법이 개시되며, 상기 간섭 방법은, 조사 각의 범위에 걸쳐 테스트 광을 테스트 물체의 테스트 표면으로 향하게 하는 단계; 이어서 간섭 패턴을 형성하도록 상기 테스트 광을 기준광과 결합하되, 상기 테스트 광과 상기 기준광은 공통의 광원으로부터 도출되며, 상기 테스트 표면은 공지의 반사 속성들을 가지는, 상기 테스트 광을 기준광과 결합하는 단계; 상기 검출기의 서로 다른 요소들이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들에 대응하도록, 상기 결합된 광의 적어도 일부를 다중-요소 검출기로 향하게 하는 단계를 포함한다. 상기 방법은 또한 상기 검출기의 서로 다른 요소들에 대응하는 간섭 신호를 측정하는 단계; 서로 다른 검출기 요소들에서 측정된 간섭 신호들 및 상기 테스트 표면의 공지의 반사 파라미터들에 기초하여, 상기 테스트 광을 향하게 하고 상기 테스트 광을 기준광과 결합하는 데 사용된 간섭계의 반사 파라미터를 캘리브레이팅하는 단계; 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 간섭 신호들로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및 상기 정보를 출력하는 단계를 포함한다. 일부의 실시예에서, 상기 특징물은 해상 한계 이하의(under-resolved) 특징물을 포함한다. 일부의 실시예에서, 상기 모델들은 상기 캘리브레이팅된 반사 파라미터들에 기초한다.
일부의 실시예에서, 상기 방법은 공지의 반사 속성들을 가지는 제2 테스트 표면에 향하게 하는 단계, 측정하는 단계, 및 캘리브레이팅 하는 단계를 반복하는 단계; 및 상기 서로 다른 검출기 요소들에서 측정된 간섭 신호들 및 상기 테스트 표면의 공지의 반사 파라미터들에 기초하여, 상기 간섭계의 반사 파라미터를 추가로 캘리브레이팅하는 단계를 더 포함한다.
다른 관점에서, 상기 방법은 조사 각의 범위에 걸쳐 테스트 광을 테스트 물체의 테스트 표면으로 향하게 하는 단계; 이어서 간섭 패턴을 형성하도록 상기 테스트 광을 기준광과 결합하되, 상기 테스트 광과 상기 기준광은 공통의 광원으로부터 도출되며, 상기 테스트 표면은 공지의 반사 속성들을 가지는, 상기 테스트 광을 기준광과 결합하는 단계; 및 다중-소자 검출기의 서로 다른 소자들이 테스트 광에 의해 테스트 표면의 서로 다른 조사 각들에 대응하도록 상기 결합된 광의 적어도 일부를 다중-소자 검출기로 향하게 하되, 상기 테스트 표면은 공지된 반사 속성을 가지는, 상기 결합된 광의 적어도 일부를 다중-소자 검출기로 향하게 하는 단계를 포함한다. 상기 방법은 또한 상기 테스트 광과 상기 기준광 사이의 광학 경로 길이 차분을 가변시키는 함수로서, 상기 검출기의 서로 다른 요소들에 대응하는 간섭 신호들을 측정하는 단계; 하나의 상기 간섭 신호에 기초하여 캘리브레이션 정보를 결정하는 단계; 상기 테스트 물체의 하나 이상의 특징물에 관련된 정보를 결정하기 위해, 상기 간섭 신호들로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및 상기 정보를 출력하는 단계를 포함한다. 일부의 실시예에서, 상기 특징물은 해상 한계 이하의(under-resolved) 특징물을 포함한다.
일부의 실시예에서, 상기 캘리브레이션 정보를 결정하는 단계는, 서로 다른 검출기 요소들에서의 간섭 신호의 주파수에 기초하여 상기 검출기 상의 상기 결합된 광에 대한 광축의 위치를 결정하는 단계를 포함한다.
일부의 실시예에서, 상기 캘리브레이션 정보를 결정하는 단계는, 상기 광학 경로 길이 차분이 서로 다른 검출기 요소에서의 간섭 신호의 주파수에 기초하여 가변되는 레이트를 결정하는 단계를 포함한다.
일부의 실시예에서, 상기 모델들은 상기 캘리브레이션 정보에 기초한다.
다른 관점에서, 장치가 개시되며, 상기 장치는, 동공 평면을 가진 간섭 대물 렌즈를 포함하는 간섭계; 광원; 전자 검출기; 검출기의 서로 다른 영역들이 테스트 광에 의해 테스트 표면의 서로 다른 조사 각들에 대응하도록, 결합된 광의 적어도 일부를 검출기로 향하게 하도록 구성된 하나 이상의 광학 기기; 및 상기 검출기에 결합된 전자 프로세서를 포함한다. 상기 광원 및 상기 간섭계는 쾰러 조사(Koehler illumination)가 아닌 동공 평면의 조사를 제공하도록 구성되어 있다. 상기 전자 프로세서는 상기 검출기에 의해 측정된 정보에 기초한 데이터와 상기 테스트 물체에 대한 복수의 모델에 기초한 데이터와의 비교에 기초하여, 상기 테스트 물체의 하나 이상의 특징물에 관련된 정보를 결정하기 위해, 상기 검출기에 의해 측정된 정보를 처리하도록 구성되어 있다. 일부의 실시예에서, 상기 특징물은 해상 한계 이하의(under-resolved) 특징물을 포함한다.
일부의 실시예에서, 상기 조사는 임계 조사이다.
일부의 실시예에서, 상기 간섭계 및 상기 광원은 광에 상호 코히어런스 기능(mutual coherence function)을 제공하도록 구성되며, 상기 상호 코히어런스 기능은 상기 동공 평면 상에서 공간적으로 분리된 포인트들의 하나 이상의 쌍(pair)에 있어서 제로보다 크다.
일부의 실시예에서, 상기 간섭계 및 상기 광원은 상기 광원이 상기 동공 평면에 촬상되지 않도록 상기 광원으로부터 상기 동공 평면으로 광을 향하게 하도록 구성되어 있다.
다른 관점에서, 방법이 개시되며, 상기 방법은, 테스트 광을 형성하도록 간섭계 대물 렌즈의 동공 평면의 조사를 제공하는 단계; 이에 의해 상기 간섭계 대물 렌즈에 상기 테스트 광 및 기준광을 제공하되, 상기 조사는 쾰러 조사가 아닌, 상기 테스트 광 및 기준광을 제공하는 단계; 조사 각의 범위에 걸쳐 테스트 광을 테스트 물체의 테스트 표면으로 향하게 하는 단계; 이어서 간섭 패턴을 형성하도록 상기 테스트 광을 기준광과 결합하되, 상기 테스트 광과 상기 기준광은 공통의 광원으로부터 도출되는, 상기 테스트 광을 기준광과 결합하는 단계; 다중-요소 검출기의 서로 다른 요소들이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들에 대응하도록 상기 결합된 광의 적어도 일부를 상기 다중-요소 검출기로 향하게 하는 단계; 상기 검출기의 서로 다른 요소들에 대응하는 간섭 신호들을 측정하는 단계; 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 간섭 신호들로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및 상기 정보를 출력하는 단계를 포함한다.
일부의 실시예에서, 상기 조사를 제공하는 단계는 임계 조사를 제공하는 단계를 포함한다.
일부의 실시예에서, 상기 조사를 제공하는 단계는 광에 상호 코히어런스 기능(mutual coherence function)을 제공하도록 구성되며, 상기 상호 코히어런스 기능은 상기 동공 평면 상에서 공간적으로 분리된 포인트들의 하나 이상의 쌍(pair)에 있어서 제로보다 크다.
일부의 실시예에서, 상기 조사를 제공하는 단계는 상기 광원이 상기 동공 평면에 촬상되지 않도록 상기 광원으로부터 상기 동공 평면으로 광을 향하게 하는 단계를 포함한다.
일반적으로, 다른 관점에서, 본 발명은 방법을 특징으로 하며, 상기 방법은, 간섭계를 이용하여 테스트 데이터를 얻는 단계를 포함하되, 상기 테스트 데이터는 테스트 물체 상의 테스트 광의 서로 다른 입사각들에 대한 테스트 물체로부터의 테스트 광의 반사도, 테스트 광의 서로 다른 파장들, 및 테스트 표면 상의 테스트 광의 서로 다른 방위 각들에 관한 정보를 포함하며, 상기 테스트 데이터는 서로 다른 파장들 및 입사각들에서의 테스트 데이터에 관련된 위상 관계를 만족하며, 상기 위상 관계는 상기 간섭계와 관련해서 테스트 물체의 위치에 의존한다. 상기 방법은, 대응하는 모델 물체로부터의 광의 반사도를 각각 특징짓는 하나 이상의 모델 데이터 세트를 제공하는 단계; 상기 위상 관계를 만족하는 매칭 모델 데이터 세트를 선택하기 위해, 상기 테스트 데이터와 상기 하나 이상의 모델 데이터 세트를 비교하는 단계; 및 상기 선택된 모델 데이터 세트에 대응하는 상기 모델 테스트 물체에 기초하여 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관한 정보를 결정하는 단계를 포함한다.
방법의 실행은 다른 관점들의 이하의 하나 이상의 특징 및/또는 특징들을 포함할 수 있다. 예를 들어, 상기 위상 관계는 상기 간섭계로부터 상기 테스트 물체의 거리에 의존할 수 있다.
상기 위상 관계는
Figure 112009043420051-pct00001
를 필요로 하며,
여기서,
Figure 112009043420051-pct00002
Figure 112009043420051-pct00003
는 테스트 광의 서로 다른 파장이며,
Figure 112009043420051-pct00004
Figure 112009043420051-pct00005
는 테스트 광의 서로 다른 입사각이며,
Figure 112009043420051-pct00006
Figure 112009043420051-pct00007
는 테스트 광의 서로 다른 방위각이며,
Figure 112009043420051-pct00008
Figure 112009043420051-pct00009
,
Figure 112009043420051-pct00010
Figure 112009043420051-pct00011
에서 테스트 데이터로부터 도출된 양이며,
Figure 112009043420051-pct00012
Figure 112009043420051-pct00013
,
Figure 112009043420051-pct00014
Figure 112009043420051-pct00015
에서 모델화된 복합 반사도이며, i = 1, 2이다.
일부의 실시예에서, 상기 간섭계는 다중-요소 검출기의 서로 다른 영역이 테스트 물체 상의 테스트 광의 서로 다른 입사각에 대응하도록, 간섭계의 동공 평면을 다중-요소 검출기에 촬상한다.
상기 테스트 데이터를 얻는 단계는 상기 간섭계를 이용하여 측정된 하나 이상의 간섭 신호를 주파수 도메인으로 변환하는 단계를 포함한다.
상기 모델 데이터는 서로 다른 입사각
Figure 112009043420051-pct00016
, 파장
Figure 112009043420051-pct00017
, 및 방위각
Figure 112009043420051-pct00018
에 대해 계산된 복합 반사도 값을 포함한다.
상기 테스트 데이터와 상기 모델 데이터를 비교하는 단계는 서로 다른 입사각
Figure 112009043420051-pct00019
, 파장
Figure 112009043420051-pct00020
, 및 방위각
Figure 112009043420051-pct00021
에 대해 상기 테스트 데이터와 상기 모델 데이터 사이의 차분에 관한 가치 함수를 푸는 단계를 포함한다. 상기 가치 함수는, 상기 모델 물체들의 적어도 하나의 구조 파라미터 및 상기 간섭계에 관련된 테스트 물체의 위치에 관한 스칼라
Figure 112009043420051-pct00022
에 의해 파라미터화된다. 상기 적어도 하나의 구조 파라미터는 변조 깊이 또는 패턴화된 구조체의 요소 깊이이다. 상기 패턴화된 구조체는 주기적 구조체이다.
서로 다른 모델 데이터 세트들은 서로 다른 해상 한계 이하의(under-resolved) 특징들을 갖는 모델 물체들에 대응한다. 상기 모델 데이터는 정밀한 결합파 해석(rigorous coupled wave analysis)을 이용하여 계산적으로 발생된다.
상기 모델화된 데이터 세트는 상기 테스트 데이터를 얻는 단계 이전에 결정된다.
일부의 실시예에서, 제1 세트의 모델화된 데이터와 상기 데이터 세트를 비교한 후 추가의 세트의 모델 데이터가 계산적으로 발생된다. 상기 테스트 데이터와 상기 모델 데이터 세트들을 비교하는 단계는, 하나의 모델 데이터 세트와 상기 테스트 데이터의 이전의 비교에 기초하여 새로운 세트의 모델화된 데이터를 발생함으로써 반복 회귀를 수행하는 단계를 포함한다. 예를 들어, 레벤버그-마쿼트 솔버(Levenberg-Marquardt solver)와 같은 최적화 알고리즘을 사용하여 회귀를 수행하고 모델 파라미터를 최적화하여 모델과 테스트 데이터 간의 차분을 최소화할 수 있다.
일반적으로, 다른 관점에서, 본 발명은 장치를 특징으로 하면, 상기 장치는, 테스트 광을 테스트 물체로 향하게 하고 이어서 상기 테스트 광을 기준광과 결합하여 간섭 패턴을 형성하도록 구성된 간섭계로서, 상기 테스트 광 및 상기 기준광은 공통의 광원으로부터 도출되는, 간섭계; 전자 검출기; 및 상기 결합된 광의 적어도 일부를 상기 검출기로 향하게 하여, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 물체의 서로 다른 조사 각들에 대응하도록 구성된 하나 이상의 광학 기기; 및 상기 검출기에 결합된 전자 프로세서를 포함하다. 상기 전자 프로세서는, ⅰ) 간섭계를 이용하여 테스트 데이터를 얻되, 상기 테스트 데이터는 테스트 물체 상의 테스트 광의 서로 다른 입사각들에 대한 테스트 물체로부터의 테스트 광의 반사도, 테스트 광의 서로 다른 파장들, 및 테스트 표면 상의 테스트 광의 서로 다른 방위 각들에 관한 정보를 포함하며, 상기 테스트 데이터는 서로 다른 파장들 및 입사각들에서의 테스트 데이터에 관련된 위상 관계를 만족하며, 상기 위상 관계는 상기 간섭계와 관련해서 테스트 물체의 위치에 의존하며; ⅱ) 대응하는 모델 물체로부터의 광의 반사도를 각각 특징짓는 하나 이상의 모델 데이터 세트를 제공하며; ⅲ) 매칭 모델 데이터 세트를 선택하도록 상기 테스트 데이터와 상기 하나 이상의 모델 데이터 세트를 비교하되, 각각의 모델 데이터 세트는 대응하는 모델 물체로부터의 광의 반사도를 특징짓고 상기 매칭 모델 데이터 세트는 상기 위상 관계를 만족하며; 및 ⅳ) 상기 선택된 모델 데이터 세트에 대응하는 상기 모델 테스트 물체에 기초하여 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관한 정보를 결정하도록 구성되어 있다. 장치의 실시예는 다른 관점의 하나 이상의 특징물을 포함할 수 있다.
실시예는 전술된 다양한 실시예에서 발견된 특징 또는 특성들 중 임의의 것을 포함할 수 있다.
여기서 사용된 바와 같이, "광(light)" 및 "광학(optical)"은 가시성 전자기 방사를 일컫을 뿐만 아니라, 오히려 이러한 용어들은 자외선 영역, 가시광선 영역, 아적외선 영역, 및 적외선 스펙트럼 영역 중 임의의 영역에서의 전자기 방사를 포함한다.
만약 그렇지 않고 정의되어 있지 않으면, 여기서 사용된 모든 기계적 및 과학적 용어는 본 발명이 속하는 당업자에 의해 공통으로 이해되는 바와 같이 동일한 의미를 지닌다. 원용된 어떠한 문헌과 충돌하는 경우, 본 발명이 우선한다.
이하에 다른 특징을 상세히 설명한다.
도 1은 엘립소메트리 모드에서 동작하도록 구성된 간섭 시스템(100)의 개략도이다.
도 2는 간섭 시스템(100)에 의해 검출된 통상적인 간섭 신호의 획득 및 처리를 나타내는 개략도이다.
도 3은 실험적으로 유도된 복합 반사도의 플롯이다.
도 4는 특성화 프로세스를 설명하는 흐름도이다.
도 5는 라이브러리 탐색 기술을 이용하여 데이터 처리를 나타내는 도면이다.
도 6a는 정현 회절 격자에 대한 개략도이다.
도 6b는 도 6a에 도시된 격자의 특성 결과를 나타내는 도면이다.
도 7은 RCWA 분석을 위한 트렌치 구조 모델을 나타내는 도면이다.
도 8은 프로파일링 모드에서 동작하도록 구성된 간섭 시스템(100)의 개략도이다.
도 9는 다양한 컴포넌트가 자동 방식으로 조정될 수 있는 방식을 도시하는 간섭 시스템(100)의 개략도이다.
도 10은 프로파일링 측정을 향상시키기 위해 엘립소메트리 측정의 사용을 도 시하는 흐름도이다.
도 11은 엘립소메트리 측정을 향상시키기 위해 프로파일링 측정의 사용을 도시하는 흐름도이다.
도 12는 Cu 다마신 폴리싱에 대한 부식 측정을 위한 테스트 구조체를 나타내는 개략도이다.
도 13은 막 스택의 서브-파장 에칭에 의해 생성된 테스트 구조체를 나타내는 개략도이다.
도 14는 회절 광학을 나타내는 개략도이다.
도 15는 반도체 처리 라인의 백 엔드의 통상적인 계층화된 복합 구조체를 나타내는 테스트 구조체를 나타내는 개략도이다.
도 16은 상부 및 하부 임계 치수를 가진 테스트 물체를 나타내는 개략도이다.
도면 중 동일한 도면부호는 공통의 요소를 나타낸다.
도 1은 Xavier Colonna de Lega 등에 의한 미국공개특허 No.2006-0158659-A1 "INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE", Xavier Colonna de Lega 등에 의한 미국공개특허 No.2006-0158658-A1 "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE", 및 Xavier Colonna de Lega 등에 의한 미국공개특허 No.2006-0158657-A1 "A INTERFEROMETER FOR DETERMINING CHARACTERISTICS ON AN OBJECT SURFACE, INCLUDING PROCESSING AND CALIBRATION"에 서술된 타입의 간섭 시스템(100)의 개략도로서, 각각의 문헌은 본 명세서에 원용된다.
공간적으로 확장된 광원(102)은 입력광(104)을 릴레이 광학(108 및 110) 및 빔 스플리터(112)를 통해 간섭 대물 렌즈(106)로 향하게 한다. 릴레이 광학(108 및 110)은 공간적으로 확장된 광원(102)으로부터의 입력광(104)을 (점선의 가장자리 레이(116) 및 실선의 주요 레이(117)로 도시된 바와 같이) 구경 조리개(aperture stop)(115) 및 간섭 대물 렌즈(106)의 대응 동공 평면(114)에 상을 형성한다.
도 1의 실시예에서, 간섭 대물 렌즈(106)는 Mirau-type이며, 대물 렌즈(118), 빔 스플리터(120), 및 기준 표면(122)을 포함한다. 빔 스플리터(120)는 입력광을, 테스트 물체(126)의 테스트 표면(124)로 향하게 하는 테스트 광(122) 및 기준 표면(122)으로부터 반사되는 기준 광(128)으로 분할한다. 대문 렌즈(118)는 테스트 광 및 기준광을 각각 테스트 표면 및 기준 표면에 초점을 맞춘다. 기준 표면(122)을 지원하는 기준 광학(130)은 초점이 맞춰진 기준광에 대해서는 반사되도록 코팅되어 있어서, 입력의 대부분은 빔 스플리터(120)에 의해 분할되기 전에 기준 광학을 통과한다.
테스트 표면 및 기준 표면으로부터 반사되기 전에, 테스트 광 및 기준광은 빔 스플리터(120)에 의해 재결합하여 결합광(132)을 형성하며, 이 결합광은 빔 스플리터(112) 및 릴레이 렌즈(136)에 의해 전송되어 전자 검출기(134)(예를 들어, 다중-요소 CCD 또는 CMOS 검출기) 상에 광학 간섭 패턴을 형성한다. 검출기를 횡 당하는 광학 간섭 패턴의 세기 프로파일은 검출기의 서로 다른 요소에 의해 측정되어 분석을 위해 전가 프로세서(도시되지 않음)에 저장된다. 테스트 표면이 검출기 상에 촬상되는 종래의 프로파일링 간섭계와는 달리, 본 실시예에서는 릴레이 렌즈(예를 들어, 버트랜드 렌즈)가 (다시 점선의 가장자리 레이(116) 및 실선의 주요 레이(117)에 의해 도시된 바와 같이) 동공 평면(114) 상의 서로 다른 포인트들을 검출기 상의 대응 포인트들에 촬상한다.
각각의 광원 포인트 조사 동공 평면(114)이 테스트 표면(124)를 조사하는 테스트 광(122)에 대한 평면 파면을 생성하기 때문에, 동공 평면(114) 내의 광원 포인트의 방사 위치는 물체 노멀(object normal)과 관련해서 이 조사 번들(illumination bundle)의 입사각을 정의한다. 그러므로 광학 축으로부터 주어진 거리에 위치한 모든 광원 포인트들은 고정된 입사각에 대응하고, 이에 의해 대물 렌즈(118)는 테스트 광(122)을 테스트 표면(124)에 초점을 맞춘다. 릴레이 광학(108 및 110) 사이에 위치한 필드 스톱(field stop)(138)은 테스트 광(122)에 의해 조사된 테스트 표면(124)의 영역을 정의한다. 테스트 표면과 기준 표면으로부터의 반사 후, 결합광(132)은 대물 렌즈의 동공 평면(114)에서 소스의 2차 영상을 형성한다. 이때 동공 평면 상의 결합광은 검출기 상에 릴레이 렌즈(136)에 의해 다시 촬상되기 때문에, 검출기(134)의 서로 다른 요소는 테스트 표면(124) 상의 테스트 광(122)의 서로 다른 조사 각에 대응한다.
일부의 실시예에서, 편광 요소(140, 142, 144 및 146)는 테스트 표면 및 기준 표면 각각으로 향하는 테스트 광 및 기준광의 편광 상태, 및 검출기로 향하는 결합광의 편광 상태를 정의하도록 광학적으로 포함된다. 실시예에 따르면, 각각의 편광 요소는 편광자(예를 들어, 선형 편광자), 감속판(retardation plate)(예를 들어, 1/2 또는 1/4 위상판), 또는 입사빔의 편광 상태에 영향을 끼치는 유사한 광학일 수 있다. 또한, 일부의 실시예에서는, 하나 이상의 편광 요소가 존재하지 않을 수 있다. 일부의 실시예에서, 이러한 요소들은 예를 들어 회전 마운트에 탑재되어 조정가능하며, 시스템의 전자 제어 하에서 모니터링될 수도 있다. 또한, 실시예에 따르면, 빔 스플리터(112)는 편광 빔 스플리터이거나 비편광 빔 스플리터일 수 있다. 일반적으로, 편광 요소(140, 142 및/또는 146)가 있음으로써, 테스트 표면(124)에서의 테스트 광(122)의 편광의 상태는 동공 평면(114)에서 광의 방위 위치의 함수일 수 있다.
현재 설명 중인 실시예에서, 광원(102)은 광대역의 파장(예를 들어, 50nm 이상, 또는 바람직하게는 100nm보다 훨씬 이상의 전폭(full-width), 1/2-최대치를 갖는 방출 스펙트럼)에 걸쳐 조사를 제공한다. 예를 들어, 광원(102)은 백색광 발광 다이오드(LED), 할로겐 전구의 필라멘트, 제논 아크 램프와 같은 아크 램프 또는 초광대역 광원 스펙트럼(>200nm)을 발생하기 위해 광학 재료에서 비선형 효과를 사용하는 소위 초연속 광원(supercontinuum source)일 수 있다. 파장의 광대역은 제한된 코히어런스 길이에 대응한다.
종래의 스캐닝 간섭계에서와 같이, 변환 스테이지(150)는 테스트 광 및 기준 광 사이의 상대적 광학 경로 길이를 조정하여 각각의 검출기 요소에서 광학 간섭 신호를 생성한다. 예를 들어, 도 1의 실시예에서, 변환 스테이지(150)는 테스트 표면과 간섭 대물 렌즈 사이의 거리를 조정하기 위해 간섭 대물 렌즈(106)에 결합된 압전 변환기(piezoelectric transducer)이며, 이에 의해 검출기에서 테스트 광 및 기준 광 사이의 상대적 광학 경로를 변화시킨다. 광학 간섭 신호들은 컴퓨터(151)에 의해 기록되고 처리된다.
도 2는 변환 스테이지(150)가 테스트 광과 기준광 사이의 상대적 광학 경로 길이를 변화시킬 때 검출기(134)의 검출기 요소(202)에 의해 측정된 예시적 간섭 신호(201)를 도시한다. 간섭 신호(201)는 광원의 코히어런스 길이에 대응하는 콘트라스트 엔벨로프에 의해 변조된다. 일부의 실시예에서, 기준 표면은 간섭계 내에 위치하므로 테스트 광과 기준광 사이의 제로 광학 경로 길이 차분은 대물 렌즈(118)와 관련해서 초점이 맞추어져 있는 테스트 표면의 위치에 대응한다. 그러므로 테스트 표면이 간섭 대물 렌즈와 관련해서 이 초점 내의 위치에 있으면 일반적으로 최대 콘트라스트가 관찰된다. 코히어런스 길이보다 큰 범위에 걸쳐 변환 스테이지(150)에 의해 측정이 수행되므로, 검출기(134)에서 측정된 세기 패턴의 시퀀스에서 콘트라스트 엔벨로프가 포착된다.
각각의 검출기 요소에서 측정된 간섭 신호는 전자 프로세서에 의해 분석되는데, 이 전자 프로세서는 검출기(134)와 변환 스테이지(150) 모두에 전자적으로 결합되어 있다. 현재 설명 중인 실시예에서, 전자 프로세서는 예를 들어 푸리에 변환 또는 고속 푸리에 변환을 이용하여 간섭 신호를 주파수 도메인으로 변환하여, 광원의 서로 다른 파장 성분에 대한 위상(202) 및 진폭(203) 정보를 추출한다. 바람직하게, 소스 스펙트럼은 넓으므로 많은 종속적 스펙트럼 성분이 이 과정에 따라 계산될 수 있다. 진폭 및 위상 데이터는 테스트 표면의 복합 반사도와 직접적으로 관련이 있는데, 이 복합 반사도는 테스트 물체에 관한 정보를 결정하기 위해 분석될 수 있다. 일부의 실시예에서, 전자 프로세서는 개별의 캘리브레이션(calibration)로부터의 정보를 사용하여 기준 미러의 반사도 및 간섭계의 다른 광학적 특성에 대한 측정을 교정한다. 간섭 시스템(100)의 배치로 인해, 전자 검출기(134)의 각각의 검출기 요소는, (편광 요소(140, 142, 144 및/또는 146)의 배향에 따라) 특정의 입사각 및 편광 상태에 있어서, 광원(102)에 의해 생성된 파장의 복합성(multiplicity)에서 반사도 측정치를 제공한다. 그러므로 검출기 요소의 콜렉션은 입사각, 편광 상태 및 파장의 범위를 커버하고, 이것은 기구의 능력을 향상시켜 미지의 광학 구조체를 적절하게 특징지을 수 있다.
도 3은 전술한 기술을 사용하여 측정된 실험적으로 도출된 복합 반사도의 예시적 플롯이다. 복합 반사도 계수는, 이 경우, 실리콘 구조체 상의 675-nm 두께의 실리콘 이산화 단층막에서의 방위각의 함수로서, 선택된 입사각(43도) 및 선택된 파장(576nm)에 대해 도시되어 있다. 위의 곡선은 복합 반사도의 실수 성분이고, 아래 곡선은 허수 성분이다.
도 4는 특성화 프로세스를 설명하는 흐름도이다. 전술한 바와 같이, 컴퓨터는 각각의 복수의 검출기 요소에 대한 간섭 세기 신호를 기록한다. 다음, 각각의 서로 다른 검출기 요소에 대한 스캔 위치의 함수로서 간섭 세기 신호를 저장한 후, 컴퓨터는 신호의 주파수-도메인 스펙트럼을 생성하는 변환(예를 들어, 푸리에 변환 또는 고속 푸리에 변환)을 수행한다. 스펙트럼은 조사광의 특정한 입사각 및 편광 상태에 대해, 광원(102)에 의해 생성된 파장의 복합성에서 복합 반사도 정보를 포함한다.
별도의 단계에서, 컴퓨터는 표면 특징의 범위 및 간섭계를 위한 모델에 있어서, 간섭 데이터에 대한 이론적 예측의 라이브러리(예를 들어, 주파수-도메인 스펙트럼)를 발생한다. 대안으로서, 예측 라이브러리는 샘플 인공물(sample artifact)들을 이용하여 경험적으로 발생될 수 있다. 다른 대안으로서, 라이브러리는 다른 도구, 예를 들어, 타원계에 의해 제공된 물체 표면의 이전의 보조 측정으로부터의 정보 및 물체 표면의 기지의 속성에 관한 사용자로부터의 임의의 다른 입력을 사용하여, 일련의 미지의 표면 파라미터를 감소시킬 수 있다. 라이브러리 생성, 이로적 모델링, 경험 데이터, 또는 보조 측정에 의해 증대된 이론에 대한 이러한 임의의 기술은 라이브러리 생성의 일부로서 또는 라이브러리 탐색 동안 실시간으로, 중간값들을 생성하는 보간에 의해 확장될 수 있다.
광학의 적절한 물리적 모델은 광원의 부분 코히어런스, 간섭계에서의 편광 혼합, 높은 NA 대물레의 촬상 속성, 및 높은 입사각에서의 전계 벡터의 상호작용을 고려하고 불연속적 표면 특징의 존재 하에, 정교해질 수 있다.
예를 들어, 주어진 구조체로부터의 간섭계 신호를 정밀하게 예측하기 위해서는, 그 구초체에 대한 맥스웰 방정식을 풀어야 한다. 백색광 간섭계는 조사의 대역폭을 커버링하는, 충분하게 조밀한 세트의 파장을 필요로 한다. 2D의 맥스웰 방정식을 푸는 많은 접근 방식이 있다. 2D에서 하나의 특별하고 간단한 접근 방식은 레일리 가설(Rayleigh Hypothesis)에 기초한다. 이 접근 방식에서, 구조체는 박막 스택으로서 처리되지만, 특정한 토폴로지를 갖는 스택에서 각각의 층 사이의 경계면을 갖는다. 레일리 가설은 각각의 층 내에서 위아래로 전파하는 평면파에서 전자기장이 확장될 수 있고 솔루션은 계수를 선택함으로써 발생될 수 있으므로 전자기장은 그 경계면에서 표준 경계 조건(standard boundary conditions)을 만족할 수 있다고 한다. 이 접근 방식은 상대적으로 고속으로 실행하기가 쉽고, 하나의 파장에서 그리고 하나의 편광에 있어서 한 번의 계산으로 그 표면의 완전한 광학 전달 함수 또는 산란 행렬(scattering matrix)을 발생한다. 각각의 경계면의 토폴로지가 그 경계면을 경계짓는 계층들에서 대략 1/2 파장보다 작게 커버링하는 경우에만 유효한 솔루션을 발생한다는 제한이 있다. 그러므로 실리콘과 높은 인덱스 재료는 가시 파장에서의 토폴로지를 1000nm보다 훨씬 낮게 되도록 제한한다.
3D 모델링 기술은 유한 차분 시간 도메인(finite difference time domain; FDTD), 유한 요소 및 정밀한 결합파 해석(rigorous coupled-wave analysis; RCWA)을 포함한다. 예를 들어, M. G. Moharam와 T. K. Gaylord의 "Diffraction analysis of dielectric surface-relief gratings." J. Opt. Soc. Am., 72 1385-1392, (1982) 및 M. Totzeck의 "Numerical simulation of high-NA quantitative polarization microscopy and corresponding near-fields", Optik, 112(2001) 381-390를 참조하라. 또한, 스투트가르트 대학의 ITO(Institute of Technical Optics)는 M. Totzek의 연구에 기반하여, 마이크로심(Microsim)이라 하는 RCWA를 실행하기 위한 소프트웨어를 개발하였다. 이러한 기술들은 메모리 요건 및 합리적인 운용 모두를 유지하는 데 있어서, 작은 체적, 즉, 한 쪽 측면 상의 적은 파장에 종종 한 정되지는 하지만 강력하다. 그럼에도, 일부의 실시예에서는, 이러한 기술들이 적절한 라이브러리를 생성하는데 미리 사용될 수 있기 때문에, 라이브러리를 생성하는데 사용되는 확장 계산 시간은 여기서 개시된 기술들의 진행 중인 응용을 방해하지 않는다.
다음 단계에서는, 실험 데이터와 예를 들어 라이브러리 탐색에 의한 예측 라이브러리를 비교한다. 예를 들어, 일부의 실시예에서, 이 라이브러리는, 종래의 현미경으로 가시 파장에서 분해되지 않은 회절 격자(diffraction grating)에 대한 예측된 신호를 포함한다. 이 라이브러리는 피치, 깊이, 및 막 구조의 범위를 갖는 구조체에 대한 예측된 신호를 포함한다.
다른 예에서, 반도체 테스트 패턴과 같은 더욱 복잡한 특징을 측정하기 위한 실시예에서, 예측된 신호들은 맥스웰 방정식을 위한, 예를 들어 RCWA 또는 관련의 일반적인 솔루션 기술들을 이용하여 모델화된다. 라이브러리는 구조체의 가능한 형상 요인들의 범위에 대한 예측된 신호를 포함한다.
분석은 또한 시스템 특성화를 포함할 수 있는데, 이 특성화는, 시스템 파면 에러(system wavefront error), 분산(dispersion), 및 이론적 모델에는 포함될 수 없는 효율성과 같은 파라미터를 결정하기 위해, 기지의 표면 구조체 및 표면 토폴로지를 갖는 하나 이상의 기준 인공물을 측정하는 것을 포함한다.
또한, 분석은 전체 캘리브레이션을 포함할 수 있는데, 이 전체 캘리브레이션은, 라이브러리 탐색에 의해 결정된 바와 같은 막 두께들과 같이, 측정된 표면 파라미터들 사이의 상관관계를 결정하기 위해, 그리고 예를 들어 타원계 분 석(elipsometric analysis)에 의해, 독립적으로 결정된 바와 같은 이러한 파라미터들에 대한 값들을 결정하기 위해, 예를 들어 하나 이상의 기준 인공물을 측정하는 단계를 포함한다.
실험 데이터와 예측 라이브리러의 비교에 기초하여, 컴퓨터는 최선의 매칭에 대응하는 표면 모델을 식별한다. 그런 다음 상기 최선의 매칭에 대응하는 표면 구조체 정보(예를 들어, 피치, 깊이, 막 구조, 또는 형상 요인)를, 추가의 분석을 위해 또는 데이터 저장을 위해, 사용자에게 또는 호스트 시스템에 (예를 들어, 수치적으로 또는 그래프로) 디스플레이하거나 전송할 수 있다. 일부의 경우, 라이브러리 탐색 및 데이터 콜렉션은 반복적으로 수행되어 결과를 더 향상시킬 수 있다.
전술한 바와 같이, 간섭 신호의 처리 동안, 컴퓨터는 간섭 신호에 대해 푸리에 변환과 같은 변환을 수행한다. 이론에 의해 경계를 짓게 되는 것을 바라지 않으면서, 개별의 동공 위치에서 측정된 간섭 신호에 대해 푸리에 변환을 적용함으로써, 입사각 α, 파장 λ, 및 방위 위치 θ의 함수로서 측정된 스펙트럼 컴포넌트
Figure 112009043420051-pct00023
를 생성한다:
Figure 112009043420051-pct00024
여기서 h는 간섭 데이터를 수집하는데 사용되는 OPD 스캔 내의 물체 표면의 위치를 나타내는 미지의 높이이다. 식(1)에 나타난 관계의 수학적 형식주의(mathematical formalism)는 2006년 1월 19일에 출원된 미국특허출원 No. 2006- 0158659-A1에 나타나 있으며, 이 문헌의 전체 내용은 본 명세서에 원용된다. US 2006-0158659-A1에 서술된 바와 같이,
Figure 112009043420051-pct00025
는 간섭계의 동공을 가로지르는 위상 및 세기 변동을 나타내는 물체-종속 복소값 함수(object-independent comples-valued function)이다. 이 함수는 간섭계 및 광학계의 내재적 속성을 효과적으로 특징짓는다. 마지막으로, 복소값 함수
Figure 112009043420051-pct00026
는 간섭 신호에 대한 물체 표면의 기여도를 나타낸다. 예를 들어, 조사광이 동공의 평면에서 선형으로 분광되고 평행 분석기가 촬상 레그에 위치하는 시스템에 있어서, 이 함수는 물체가 등방성 언패턴 막 스택(isotropic unpatterned film stack)일 때 이하의 형태를 취한다:
Figure 112009043420051-pct00027
여기서
Figure 112009043420051-pct00028
는 막 스택에 대한 프레넬 반사 계수이고, θ는 편광자 축과 관련해서 측정된 방위각이며,
Figure 112009043420051-pct00029
는 간섭계의 복소값 함수 포착 관련 속성이다.
산란 구조체의 경우, 함수
Figure 112009043420051-pct00030
는 반드시 분석적 형태를 갖지는 않으며 그 값은 궁극적으로 예를 들어 RCWA 코드를 사용하여 모델화될 것이다. 모델 파라미터(예를 들어, 막 두께, 광학 속성, 구조체의 외형(geometry) 등)의 목록이 변수 M에 의해 표시되는 모델 함수와 같이
Figure 112009043420051-pct00031
를 표시한다. 이것들은 측정 프로세스의 효과적인 최종 생성물이다.
US 2006-0158659-A1에 개시된 바와 같이, 기지의 샘플을 이용한 시스템 특성화 과정을 사용하여
Figure 112009043420051-pct00032
Figure 112009043420051-pct00033
의 추정치를 제공할 수 있다. 특징지어지는 샘플의 측정은 이론적으로 식(1)에 나타난 형태를 취하는 실험 데이터를 제공한다. 광원의 스펙트럼 분포 및 평균 광 레벨은 샘플의 특징화 및 측정 사이에서 변하지 않으며, 새로운 양을 도출해 낼 수 있다:
Figure 112009043420051-pct00034
여기서
Figure 112009043420051-pct00035
는 시스템 특성화를 위해 사용된 샘플들의 미지의 높이들 및 측정된 샘플의 미지의 높이에 관한 미지의 높이 값이다.
주어진 측정에서, 주어진 페어(α,λ)에 대한 방위 위치들의 범위를 포착하는 데이터 링(data ring)들의 세트들에서 동공에 수집된 데이터를 그룹화하는 것이 가능하다. 각각의 링은 다른 것들과 독립적으로 처리될 수 있다. 예를 들어, 측정된 값들의 크기(magnitude)
Figure 112009043420051-pct00036
는 미지의 양의 크기
Figure 112009043420051-pct00037
와 동등하다. 마찬가지로, 서로 다른 방위의 위치에서의 링 성분들의 비율은
Figure 112009043420051-pct00038
와는 독립적인 진폭 비율 및 위상 차분 모두를 제공한다. 그러므로 이하의 관계를 갖게 된다:
Figure 112009043420051-pct00039
여기서
Figure 112009043420051-pct00040
함수는 복소수의 편각을 반환한다.
이러한 조건들은
Figure 112009043420051-pct00041
의 값들을 계산하고 그것들을 실험 데이터
Figure 112009043420051-pct00042
에 매칭시키는 데 사용되는 모델의 파라미터 M을 개선하는 최적화 프로세스의 기초를 형성할 수 있다. 예를 들어, 식(2)이 유효한 특정의 경우에, 위의 조건들은 이하와 같이 된다:
Figure 112009043420051-pct00043
이 경우, 실험 링 데이터는 타원계 파라미터
Figure 112009043420051-pct00044
및 Δ뿐만 아니라 복합 반사도 계수들의 크기의 추정치도 제공한다. 실제로 이러한 파라미터들은, 특정의 방위 위치들만을 사용하는 대신, 모델 함수를 전체 링 데이터(entire ring data)에 일치시킴으로써 이롭게 결정될 수 있다.
지금까지 제공된 방식에 의하면 물체 구조의 모델에 대한 파라미터를 최적할 수 있다. 이 프로세스는 예를 들어 다음과 같은 형태의 가치 함수(merit function)를 최소화함으로써 동시에 복수의 링(예를 들어, 복수의 파장 및 입사각)에 적용될 수 있다:
Figure 112009043420051-pct00045
여기서 σi는 주어진 측정 포인트
Figure 112009043420051-pct00046
와 관련된 불확실성의 표준 편차이고,
Figure 112009043420051-pct00047
는 각각의 데이터의 링마다 독립적으로 효과적으로 최적화된 임의의 위상 오프셋이다
일부의 실시예에서는, 최적화 프로세스에 부가적인 정보를 적용할 수 있다. 진정으로, 데이터를 수집하는 데 간섭계의 사용은 서로 다른 데이터의 링들 간의 글로벌 위상 관계를 생성한다. 이 관계는 다음과 같이 표현될 수 있다:
Figure 112009043420051-pct00048
환언하면, 글로벌 위상 관계를 사용하여, 실험 데이터와 비교되는 모델 데이터를 제약하여, 식(4)에 표현된 관계를 다르게 만족시키는 모델 데이터 사이를 구별지을 수 있다. 따라서, 글로벌 위상 관계를 이용하여 모델 데이터와 테스트 데이터를 비교하는 것은 식(4)의 관계만을 사용하여 더 확고하고 정확한 결과를 제공 할 수 있다.
실시예에서, 식(4)에 의해 정의된 링-특정의 조건(ring-specific condition)들과 식(7)에 의해 정의된 글로벌 위상 조건을 결합하는 것은 예를 들어 다음과 같은 형태의 가치 함수를 정의함으로써 달성될 수 있다:
Figure 112009043420051-pct00049
여기서
Figure 112009043420051-pct00050
는 단일의 스칼라 값이다. 분해되지 않은 산란 구조체를 특징짓는 데 사용될 때, 반사계, 타원계 또는 편광계와 같은 광학 도구에 대한 서로 다른 파장 또는 입사각에서의 측정 식(7)과 동등한 관계는 없다.
일부의 실시예에서, 전술한 바와 같은 접근 방식은, 광원의 광 레벨 또는 스펙트럼 분포가 시스템 특성화의 시간과 미지의 샘플에 대한 실제의 측정 사이에서 변한 경우로까지 확장될 수 있다. 가장 단순한 모델은, 조사광 레벨이 일정하게 변했고 파장, 입사각, 방위의 위치 등과는 무관한 것임을 가정하는 것으로 이루어진다. 광원 조사 레벨을 조사하기 위해 주어진 시스템에서 사용된 메커니즘에 의존하여, 예를 들어 광원 세기를 갖는 스펙트럼 변동을 고려했을 더 복잡한 모델이 필요할 수도 있었을 것이다.
도 5는 라이브러리 탐색 기술을 이용한 데이터 처리의 일례를 도시한다. 동공 영상 내의 특정한 방사상의 위치에 의해 정해진 단일의 입사각에 대한 방위상 분해되지 않는 신호(501)는 예를 들어 최소 제곱 기술을 이용하여, 가능한 특징 구 조 정의의 범위에 걸쳐 예측된 신호(502)와 비교된다. 이 비교는 입사각 및 파장의 범위(도시되지 않음)에 걸쳐 반복되어 이론적 예측에 대한 그래서 특정한 특징 형상 및 치수에 대한 실험 데이터의 최상의 맞춤(best fit)(503)을 결정한다. 최상의 매칭 라이브러리 엔트리가 측정될 표면 구조체의 다양한 파라미터(예를 들어, 피치, 깊이, 테이퍼 등)에 대한 추정치를 제공할 때, 두 개의 치수 파라미터 공간이 도시되어 있지만, 파라미터 공간은 일반적으로 더 높은 치수를 갖는다.
도 6a는 가시 파장에서 종래의 현미경으로 분해되지 않는 회절 격자(60)의 피치, 깊이 및 막 구조를 결정하기 위한 전술한 기술의 사용을 나타낸다. 측정된 표면 구조체는 기판(604) 상의 알루미늄 층(603)위에 MgF2의 층(602)이 있는 격자로 이루어져 있다. 상기 격자는 정상 피치 278nm 및 정상 깊이 45nm을 갖는다. 막 두께, 격자 피치, 및 격자 깊이의 범위를 갖는 격자 구조에 대한 엔트리를 포함하는 예측된 신호의 라이브러리가 제공된다.
실험 복합 반사도 데이터는 최상의 매칭을 찾기 위해 전술된 바와 같은 라이브러리 탐색을 이용하여 예측된 값들과 비교된다. 도 6b는 조사광의 3개의 분리(distinct) 파장 및 입사각에서 실험 데이터와 최상의 매칭 라이브러리 엔트리와의 비교를 도시한다. 최상의 매칭 엔트리는 정상값과 일치하여 278nm의 피치와 45nm의 깊이를 갖는 격자에 대응한다. 그러므로 이 구조체의 회절 속성은 분리 신호가 되어, 격자의 치수에 대한 정확한 결정에 이르게 된다.
반도체 테스트 패턴과 같은 더욱 복잡한 특징물에 있어서, 예측된 신호를 모델링하는 것에 대한 하나의 접근 방식은 RCWA이거나 맥스웰의 방정식에 대한 일반 화된 솔루션 기술에 관한 것이다. 일부의 실시예에서, 모델링 계산은 모델 구조체를 도시된 바와 같은 계층들로 "슬라이싱(slicing)"하는 것을 포함할 수 있다. 도 7은 쉘로우-트렌치 절연(shallow-trench isolation; STI) 프로세스에 의해 형성된 통상적인 표면 구조를 위한 모델(700)을 도시한다. 이 구조는 계층(701)들로 분할된다. 각 층의 형상은 하나 이상의 형상 요인들에 의해 특징지어질 수 있다. 예측에 대한 실험의 최상의 맞춤을 얻기 위해 이 구조에 대한 형상 요인들을 변화시키면 원하는 평면 구조 측정으로 된다. 예를 들어, 형상 요인의 범위에 대한 예측의 라이브러리는 제공될 수 있고, 최상의 매칭은 전술한 바와 같은 라이브러리 탐색을 이용하여 결정될 수 있다.
도 8을 참조하면, 간섭 시스템(100)은 테스트 표면에 관한 반사도 정보를 결정하기 위한 전술한 타원계(또는 간섭계) 모드로부터 예를 들어 테스트 표면의 토폴로지를 결정하기 위한 프로파일링 모드로 전환할 수 있다. 이것은 예를 들어 릴레이 렌즈(136)를 다른 렌즈(236)로 대체함으로써, (동공 평면을 검출기로 촬상하는 것이 아닌) 테스트 표면을 검출기로 촬상하는 것이 달성될 수 있다. 이 구성에서, 검출기(134)의 분리 요소(distinct element)에서의 간섭 신호는 테스트 표면(124) 상의 분리 포인트에 대응한다. 이 구성은 표면 프로파일링을 위한 종래의 스캐닝 간섭계에 대응한다.
도 9는 간섭 시스템(100) 내의 얼마나 다양한 성분들이 전자 프로세서(970)의 제어 하에 자동화될 수 있는지에 대한 개략도를 도시하며, 상기 전자 프로세서(970)는, 현재 설명 중인 실시예에서, 수학적 분석을 실행하기 위한 분석 프로세 서(972), 간섭 시스템 내의 다양한 성분을 제어하기 위한 디바이스 제어기(974), 사용자 인터페이스(976)(예를 들어, 키보드 및 디스플레이), 및 캘리브레이션 정보, 데이터 파일, 샘플 모델 및/또는 자동화된 프로토콜을 저장하기 위한 저장 매체(978)를 포함할 수 있다.
먼저, 시스템은 복수의 대물 렌즈(912)를 지지하고 선택된 대물 렌즈를 입력광(104)의 경로로 삽입하도록 구성된 모니터링된 터릿(turret)(910)을 포함할 수 있다. 하나 이상의 대물 렌즈는 간섭 대물 렌즈일 수 있으며, 서로 다른 간섭 대물 렌즈는 서로 다른 배율을 제공한다. 또한, 특정의 실시예에서는, 하나 (또는 그 이상의) 간섭 대물 렌즈가 편광 요소(146)(예를 들어, 선형 편광자)를 부착함으로써 타원계 모드 또는 반사계 모드의 동작을 위해 특별히 구성될 수 있다. 나머지 간섭 대물 렌즈는 프로파일링 모드에서 사용될 수 있으며, 특정의 실시예에서는, 편광 요소(146)를 삭제하여 (빔 스플리터(112)가 편광 빔 스플리터이고 편광 요소(142)는 사분 파장판인 전술한 실시예에서와 같이) 광 효율성을 높일 수 있다. 또한, 하나 이상의 대물 렌즈는 배율이 서로 다른 비간섭 대물 렌즈(간섭 레그를 갖지 않는 대물 렌즈)일 수 있으므로, 시스템(100)은 테스트 표면의 광학 이미지를 수집하기 위한 종래의 현미경 모드에서도 동작할 수 있다(어느 경우이든지 릴레이 렌즈는 테스트 표면을 검출기로 촬상하도록 설정되어 있다). 터릿(910)은 전자 프로세서(970)의 제어 하에 있고, 사용자 입력 또는 일부의 자동화된 프로토콜에 따라 원하는 대물 렌즈를 선택한다.
다음, 시스템은, 동공 평면(114)이 검출기로 촬성되는 제1 모드(예를 들어, 반사계 모드)와 테스트 표면이 검출기로 촬상되는 제2 모드(예를 들어, 프로파일링 또는 현미경 모드) 사이에서 선택하기 위해, 릴레이 렌즈(136 및 236)들을 지지하고 상기 릴레이 렌즈들 중 하나를 결합광(132)의 경로에 선택적으로 위치시키기 위한 모니터링된 스테이지(920)(예를 들어, 튜브 렌즈 홀더)를 포함한다. 모니터링된 스테이지(920)는 전자 프로세서(970)의 제어 하에 있고, 사용자 입력 또는 일부의 자동화된 프로토콜에 따라 원하는 릴레이 렌즈를 선택한다. 검출기의 위치를 조정하여 제1 모드와 제2 모드 사이를 전환하도록 변환 스테이지가 이동되는 다른 실시예에서는, 그 변환이 전자 프로세서의 제어 하에 있다. 또한, 두 개의 검출 채널을 갖는 이러한 실시예에서, 각각의 검출기는 분석을 위해 전자 프로세서(970)에 결합된다.
또한, 시스템은 필드 스톱(138) 및 애퍼처 스톱(115)의 치수 각각을 제어하기 위해 전자 프로세서(970)의 제어 하에 모니터링된 애퍼처(930 및 932)를 포함할 수 있다. 다시, 모니터링된 애퍼처는 전자 프로세서의 제어 하에 있고, 사용자 입력 또는 일부의 자동화된 프로토콜에 따라 원하는 설정을 선택한다.
또한, 간섭계의 테스트 레그와 기준 레그 사이의 상대적 광학 경로 길이를 변화시키는데 사용되는 변환 스테이지(150)는 전자 프로세서(970)의 제어 하에 있다. 전술한 바와 같이, 변환 스테이지는 테스트 물체(126)를 지지하기 위한 마운트(940)와 관련해서 간섭 대물 렌즈의 위치를 조정하도록 결합될 수 있다. 대안적으로, 추가의 실시예에서, 변환 스테이지는 간섭 시스템의 위치를 마운트와 관련해서 전체적으로 조정할 수 있거나, 또는 변환 스테이지는 마운트에 결합될 수 있으 므로, 광학 경로 길이 차분을 변화시키도록 이동하는 마운트이다.
또한, 측면 변환 스테이지(950)는, 전자 프로세서(970)의 제어 하에서도, 광학 감시 하에서 테스트 표면의 영역을 측면으로 변환하기 위해 테스트 물체를 지지하는 마운트(940)에 결합될 수 있다. 소정의 실시예에서, 변환 스테이지(950)는 또한 배향 마운트(940)에 결합되어(예를 들어, 팁 및 틸트를 제공하여), 간섭 대물 렌즈의 광축에 수직으로 테스트 표면을 정렬시킬 수 있다.
마지막으로, 물체 핸들링 스테이션(960)은, 전자 프로세서(970)의 제어 하에서도, 마운트(940)에 결합되어, 측정을 위해 시스템(100)으로의 자동화된 도입 및 테스트 샘플의 제거를 제공할 수 있다. 예를 들어, 당업계에 공지된 자동화된 웨이퍼 핸들인 시스템이 이 목적을 위해 사용될 수 있다. 또한, 필요하다면, 시스템(100) 및 물체 핸들링 시스템은 테스트 물체의 오염을 최소화하도록 진공실 또는 청정실 조건 하에 하우징될 수 있다.
이와 같은 시스템은 다양한 측정 양식 및 과정을 제공하는 큰 유연성을 제공한다. 예를 들어, 시스템은 먼저 물체의 다양한 측면 위치들에 대한 테스트 물체의 광학 영상을 얻도록 하나 이상의 선택된 배율을 갖는 현미경 모드에서 구성될 수 있다. 이러한 영상은 사용자에 의해 또는 전자 프로세서(970)에 의해 (머신 비전 기술을 사용하여) 분석되어, 물체의 특정의 영역들(예를 들어, 특정한 구조 또는 특징, 랜드마크, 기준 메이커(ficial maker), 결함 등)을 식별할 수 있다. 이러한 식별에 기초하여, 샘플의 선택된 영역들은 그런 다음 샘플 속성(예를 들어, 반사율, 하부막 두께(들), 해상 한계 이하의(under-resolved) 표면 구조 등)을 결정하도록 타원계 모드에서 연구될 수 있다.
따라서, 전자 프로세서는 스테이지(920)로 하여금 릴레이 렌즈를 타원계 모드를 위해 구성된 렌즈로 전환하게 하고 또한 터릿(910)으로 하여금 적절한 간섭 대물 렌즈를 입력광의 경로로 도입하게 한다. 타원계 측정의 정확성을 향상시키기 위해, 전자 프로세서는 물체의 작은 측면으로의 동질의 영역을 격리하도록 모니터링된 애퍼처(930)를 통해 필드 스톱의 크기를 감소할 수 있다. 타원계 특성화가 완료된 후, 전자 프로세서(970)는 기구를 프로파일 모드로 전환할 수 있고, 적절한 배율을 가진 간섭 대물 렌즈를 선택하고 이에 따라 필드 스톱의 크기를 조절할 수 있다. 전술한 바와 같이, 프로파일링 모드는, 예를 들어, 물체를 구성하는 하나 이상의 경계면의 토폴로지를 재구성할 수 있게 하는 간섭 신호들을 포착한다. 명백하게, 이하에 상세하게 설명되는 바와 같이, 분해되지 않는 표면 특징 및/또는 타원계 모드에서 결정된 다양한 재료의 광학 특성에 대한 지식에 따라, 다르게 프로파일을 왜곡하는 박막 또는 유사하지 않은 재료 효과에 대한 계산된 토폴로지를 교정할 수 있다. 예를 들어, 발명의 명칭이 "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY"인 미국특허출원 No. 10/795,579 및 이 문헌에 원용된 미국공개특허 No. US-20040189999-A1, Xavier Colonna de Lega 등에 의한 미국공개특허 No. 20060158658A "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE", 및 2006년 9월 21일에 출원되고 본 명세서에 원용된 Peter de Groot 등에 의한 미국공개특허 No. 11/525,355 "INTERFEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES"를 참조하라. 원한다면, 전자 프로세서는 또한 모니터링된 애퍼처(932)를 통해 애퍼처 스톱 직경을 조절하여 임의의 다양한 모드에서 측정을 향상시킬 수 있다.
자동화된 물체 핸들링 시스템(960)과 결합하여 사용될 때, 측정 과정은 일련의 샘플에 대해 자동으로 반복될 수 있다. 이것은 하나 이상의 반도체 처리 단계를 모니터링, 테스팅 및/또는 최적화와 같은 다양한 프로세스 제어 방식에 유용할 수 있다.
예를 들어, 시스템은 처리 흐름 자체를 모니터링하거나 제어하기 위해 특정한 도구에 대한 반도체 프로세스에서 사용될 수 있다. 프로세스 모니터링 어플리케이션에서, 단일/복수-층 막은 대응하는 프로세스 도구에 의해 패턴화되지 않은 Si 웨이퍼(모니터 웨이퍼) 상에서 성장하고, 증착되고, 폴리싱되거나, 에칭되고, 뒤이어서, 두께, 해상 한계 이하의(under-resolved) 특징 및/또는 광학 속성이 여기에 개시된 간섭 시스템을 사용하여 (예를 들어, 타원계 모드, 프로파일링 모드, 또는 쌍방에 의해) 측정된다. 이러한 모니터 웨이퍼의 두께 (및/또는 광학 속성)의 평균뿐만 아니라 웨이퍼 균일성 내에서의 평균은, 관련 프로세스 도구가 목표 사양(targeted specification)으로 동작되는지의 여부 또는 제품 사용의 목표를 다시 정해야 하는지, 조절되어야 하는지, 사용해야 하는지의 여부를 결정하는데 사용된다.
프로세스 제어 어플리케이션에서, 후자의 단일/복수-층 막은 대응하는 프로세스 도구에 의해 패턴화되지 않은 Si, 제품 웨이퍼 상에서 성장하고, 증착되고, 폴리싱되거나, 또는 에칭되며, 뒤이어서 두께 및/또는 광학 속성은 여기에 개시된 간섭 시스템을 사용하여 (예를 들어, 타원계 모드, 프로파일링 모드, 또는 쌍방에 의해) 측정된다. 통상적인 프로세스 제어를 위해 사용된 제품 측정은 작은 측정 장소 및 측정 도구를 관심의 대상이 되는 샘플 영역에 정렬하기 위한 능력을 포함한다. 이 장소는 복수-층 막 스택으로 구성될 수 있으며 이에 따라 관련 물리적 파라미터를 추출하기 위해서는 복잡한 수학적 모델링을 필요로 한다. 프로세스 제어 측정은 통합된 프로세스 흐름의 안정성을 결정하고 통합된 프로세스가 계속되어야 하는지, 목표가 다시 정해져야 하는지, 다른 설비로 방향이 다시 정해져야 하는지, 또는 전체적으로 셧다운해야 하는지를 결정한다.
구체적으로, 예를 들어, 여기에 개시된 간섭 시스템은 이하의 설비를 모니터링하는데 사용될 수 있다: 확산 도구, 급속 열 어닐링 도구, 화학적 증기 증착 도구(저압 및 고압 모두), 유전체 에칭 도구, 화학적 기계적 폴리셔 도구, 플라즈마 증착 도구, 플라즈마 에칭 도구, 리소그래피 트랙 도구, 및 리소그래피 노출 도구. 부가적으로, 여기에 개시된 간섭 시스템은 이하의 프로세스를 제어하는데 사용될 수 있다: 트렌치 및 절연, 트랜지스터 형성, 뿐만 아니라 (듀얼 다마신과 같은) 층간 유전체 형성.
간섭 시스템(100)의 한 가지 강력한 특징은 다양한 측정 모드에 있어서 테스트 물체에 관한 정보를 급속하게 그리고 자동화된 방식으로 수집하는 것이 가능할 뿐만 아니라, 하나의 동작 모드로부터 결정된 정보를 사용하여 예를 들어, 그 동작 모드에서 측정의 속도 및/또는 정확성을 향상시킬 수 있다는 것이다.
도 10은 타원계 모드에서 결정된 정보를 사용하여 프로파일링 모드의 성능을 향상시키는 간섭 시스템의 실시예에 대한 동작의 흐름도를 도시한다. 제1 단계에서, 전술한 기술을 사용하여, 간섭 시스템은 타원계(또는 반사계) 모드에서 동작하여 테스트 물체로부터 복합 반사 데이터를 요구한다. 다음, 이 데이터는, 예를 들어 전술한 바와 같은 라이브러리 탐색을 이용하여 테스트 물체의 복수의 모델에 기초하여 이론적 예측치와 비교된다. 최선의 매칭이 결정되고, 이 최선의 매칭에 대응하는 표면 구조체 정보가 출력된다. 이 정보는 예를 들어 박막 구조체, 표면 거칠기, 및/또는 해상 한계 이하의(under-resolved) 특징물에 관한 다양한 파라미터를 포함한다.
표면 구조체 정보는 그런 다음 프로파일링 모드에서의 사용을 위한 간섭계 데이터의 이론적 예측치를 제공하는데 사용된다. 예를 들어, 일부의 실시예에서, 이론적 예측치는 표면 구조체 정보에 기초하여 계산되어 프로파일링 모드에서의 사용을 위한 라이브러리를 발생한다. 일부의 실시예에서, 예측의 라이브러리는 이미 계산되었으며, 표면 구조체 정보는, 그 획득된 표면 구조체 정보와 양립할 수 없는 테스트 물체의 모델에 기초한 엔트리를 제거함으로써 라이브러리의 범주를 제한하는데 사용된다. 일부의 실시예에서, 예측의 라이브러리는 이미 계산되었으며, 표면 구조체 정보는 이론적 예측치를 개선하거나 정밀하게 하는데 사용된다. 일부의 실시예는 이러한 접근 방식들의 조합을 사용한다.
다음 단계에서, 시스템은 프로파일링 모드로 전환되고, 전술한 기술을 이용하여 간섭계 데이터를 획득한다. 이 데이터는 예를 들어 라이브러리 탐색을 이용하여 타원계 모드에서 결정된 표면 구조체 정보를 사용하여 제공된 이론적 예측치와 비교된다. 최선의 매칭이 결정되고, 표면 프로파일 정보가 출력된다.
예를 들어, 해상 한계 이하의(under-resolved) 표면 특징(즉, 간섭 현미경의 공간 분해능보다 더 작은 측면 표면 특징)과 같은 복합 표면 구조체는 프린지 콘트라스트 엔벨로프 내의 피크의 위치를 식별하는 것 또는 주파수 도메인 위상 프로파일에 대한 기울기를 계산하는 것에 기초하여 종래의 표면 프로파일링 기술을 훼손할 수 있다. 그렇지만, 복합 표면 구조체가 특징지어진 후, 표면 높이는 충분하게 결정될 수 있다. 예를 들어, 프로파일링 모드에서 획득된 스캐닝 간섭계 신호들과 타원계 모드에서 결정된 분해되지 않은 특징물에 대응하는 하나 이상의 모델 신호들 간의 비교는 표면 높이의 정확한 특징을 생성할 수 있다. 예를 들어, 본 명세서에 원용되고, Peter de Groot 등에 의해 2006년 9월 21일에 출원되고, 발명의 명칭이 "INTERFEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES"인 미국특허출원 No.11/525,355에 개시된 바와 같이, 프로파일링 모드에서 획득된 스캐닝 간섭계 신호와 테스트 물체 상의 복합의 분해되지 않은 표면 구조체에 대응하는 형상을 가지는 모델 신호들 간의 비교는 표면 높이에 정확하게 대응하는 스캔 좌표에서 피크를 생성할 수 있다. 모델 신호의 정확성은 타원계 모드에서 결정된 표면 구조체의 분해되지 않은 특징물에 관한 정보에 기초하여 향상될 수 있다. 마찬가지로, 표면 구조체 데이터를 사용하여, 예를 들어 박막 구조체 및/또는 에칭 깊이를 포함한, 프로파일링 모드에서 수행되는 다른 타입의 측정을 향상시킬 수 있다.
다른 예로서, 타원계 동작 모드에서, 시스템은 해상 한계 이하의(under-resolved) 표면 특징들을 포함하는, 물체의 서로 다른 위치들에 제공된 다양한 재료의 광학 속성(예를 들어, 반도체 웨이퍼 상의 유전체 영역에 의해 분리된 구리선들)을 결정할 수 있다. Xavier Colonna de Lega 등에 의해 출원되고 발명의 명칭이 "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"인 미국공개특허 No.20060158658A에 상세히 서술된 바와 같이, 이러한 속성들은 공지되어 있으면, 예를 들어 물체 표면에서 반사된 광에 의해 수행된 반사에 관한 위상 변화를 계산하는 것이 가능하다. 일반적으로, 이러한 위상 변화는 재료 의존적이며 토포그래피 측정에 영향을 미친다. 예를 들어, 구리 영역은 유전체 영역과 관련해서 순수하게 존재하는 것보다 더 낮게 존재할 수 있다. 그렇지만, 타원계 모드에서 결정된 재료 의존 위상 변화의 지식에 의해, 전자 프로세서는 토포그래피 맵을 정확하게 하여 정확한 표면 토포그래피를 획득할 수 있다.
마찬가지로, 주파수 도메인에서, 표면 구조체의 해상 한계 이하의(under-resolved) 특징으로부터 생긴 모델화된 위상 기여도를 주파수 도메인 위상 프로파일에서 공제할 수 있고 표면 높이는 종래의 FDA 분석을 이용하여 추출될 수 있다. 전술한 기술을 이용하여 타원계 모드에서 결정된 해상 한계 이하의(under-resolved) 표면 특징물에 관한 정보는 위상 기여도를 더 정확하게 모델화하는데 사용될 수 있다.
다른 예로서, 발명의 명칭이 "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"이고 Xavier Colonna de Lega 등에 의한 미국공개특허 No. 20060158658A에 개시된 바와 같이, 특정의 조건 하에서 표면 프로파일링 모드에서 획득된 간섭계 데이터(예를 들어, 특정의 파장 또는 입사각)는 테스트 물체의 분해되지 않은 표면 구조체 특징물 대 한 고도의 감도(sensitivity)를 나타낸다. 일부의 실시예에서, 타원계 모드에서 결정된 정보 표면 구조체 정보는, 고감도를 위한 조건이 충족되는 것을 보장하도록 프로파일링 모드에서 시스템의 속성을 조정하는데 사용될 수 있다.
도 11은 프로파일링 모드에서 결정된 정보를 사용하여 타원계(또는 반사계) 모드의 성능을 향상시키는 간섭계 파라미터의 실시예에 대한 동작 흐름도를 도시한다. 제1 단계에서,
전술한 기술을 사용하여, 간섭 시스템은 프로파일링 모드에서 동작하여 테스트 물체로부터 간섭 데이터를 획득한다. 다음, 상기 간섭 데이터에 기초하여 표면 프로파일 정보가 결정된다. 예를 들어, 일부의 실시예에서, 상기 데이터는 예를 들어 전술한 바와 같은 라이브러리 탐색을 이용하여 테스트 물체의 복수의 모델에 기초하여 이론적 예측치와 비교된다. 최선의 매칭이 결정되고, 이 최선의 매칭에 대응하는 표면 구조체 정보가 출력된다. 이 정보는 예를 들어 표면 토포그래픽, 박막 구조체, 해상 한계 이하의(under-resolved) 특징물에 관한 다양한 파라미터를 포함할 수 있다. 일부의 실시예에서, 표면 프로파일 정보는, 예를 들어, 본 명세서에 원용되고 발명의 명칭이 "PROFILING COMPLEX SURFACE STRUCTURES USING SCANNING INTERFEROMETRY"이고 Peter de Groot 등에 의한 미국공개특허 No. US-2004-0189999-A, 본 명세서에 원용되고 발명의 명칭이 "PROFILING COMPLEX SURFACE STRUCTURES USING SIGNALS FROM HEIGHT SCANNING INTERFEROMETRY"이고 Peter de Groot 등에 의한 미국특허출원 No. 60/452,465, 및 본 명세서에 원용되고 발명의 명칭이 "INTERFEROMETER AND METHOD FOR MEASURING CHARACTERISTICS OF OPTICALLY UNRESOLVED SURFACE FEATURES"이고 Peter de Groot 등에 의한 미국공개특허 No. 11/525,355에 개시된 것들을 포함하는, 다른 방법을 이용하여 간섭계 데이터에 기초하여 결정된다.
표면 구조체 정보는 그런 다음 타원계 모드에서의 사용을 위한 간섭계 데이터의 이론적 예측치들을 제공하도록 사용된다. 예를 들어, 일부의 실시예에서, 이론적 예측치는 표면 프로파일 정보에 기초하여 계산되어 타원계 모드에서의 사용을 위한 라이브러리를 발생한다. 일부의 실시예에서,
예측의 라이브러리는 이미 계산되었으며, 표면 구조체 정보는, 그 획득된 표면 구조체 정보와 양립할 수 없는 테스트 물체의 모델에 기초한 엔트리를 제거함으로써 라이브러리의 범주를 제한하는데 사용된다. 일부의 실시예에서, 예측의 라이브러리는 이미 계산되었으며, 표면 구조체 정보는 이론적 예측치를 개선하거나 정밀하게 하는데 사용된다. 일부의 실시예에서, 표면 프로파일 정보는 타원계 모드에서 결정된 표면 구조체 정보에 직접 교정을 제공하는데 사용된다. 일부의 실시예는 이러한 접근 방식들의 조합을 사용한다.
다음 단계에서, 시스템은 타원계 모드로 전환되고, 전술한 기술을 이용하여 간섭계 데이터를 획득한다. 이 데이터는 예를 들어 라이브러리 탐색을 이용하여 프로파일링 모드에서 결정된 표면 프로파일 정보를 사용하여 제공된 이론적 예측치와 비교된다. 최선의 매칭이 결정되고, 표면 구조체 정보가 출력된다.
Xavier Colonna de Lega 등에 의해 출원되고 발명의 명칭이 "INTERFEROMETER WITH MULTIPLE MODES OF OPERATION FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE"인 미국공개특허 No.20060158658A에 상세히 서술된 바와 같이,
표면 프로파일링 모드에서 획득된 간섭계 데이터는 테스트 물체의 해상 한계 이하의(under-resolved) 표면 구조체 특징물에 관한 정보를 제공할 수 있다. 이 정보는 예를 들어 타원계 모드에서의 사용을 위한 모델들을 생성하거나, 라이브러리 탐색에서 사용된 모델들의 범위를 제한하는데 사용될 수 있다.
다른 실시예로서, 표면 프로파일링 모드에서 획득된 간섭 데이터는 예를 들어 테스트 물체의 박막 구조체 또는 에칭 깊이에 관한 정보를 제공할 수 있다. 이 정보는 예를 들어 타원계 모드에서의 사용을 위한 모델들을 발생하거나 또는 라이브러리 탐색에서 사용된 모델들의 범위를 제한하는데 사용될 수 있다.
전술한 기술은 다양한 표면 분석 문제에 적용될 수 있다: 단일 박막(이 경우, 예를 들어, 관심의 대상이 되는 가변 파라미터는 막 두께, 막의 굴절률, 기판의 굴절률, 또는 이것들의 조합이 될 수 있다); 다층 박막; 날카로운 가장자리 및 회절시키거나 그렇지 않으면 복합 간섭 효과를 발생하는 표면 특징; 분해되지 않은 표면 거칠기; 분해되지 않은 표면 특징, 예를 들어, 다른 평활한 표면 상의 서브-파장 폭 그루브; 유사하지 않은 재료들(예를 들어, 표면은 박막과 단단한 금속의 조합을 포함하며, 이 경우, 라이브러리는 쌍방의 표면 구조체 타입들을 포함하고, 대응하는 주파수-도메인 스펙트럼에 대한 매칭에 의해 상기 박막 또는 단단한 금속을 자동으로 식별한다); 형광과 같은 광학 액티비티; 칼러 및 파장-의존 반사도와 같은, 표면의 분광기적 속성; 표면의 편광-의존 속성; 간섭 신호의 동요(perturbation)로 생기는 표면 또는 변형 가능한 표면 특징의 편향, 진동 또는 모션; 데이터 획득 과정과 관련된 데이터 왜곡, 예를 들어 간섭 세기 데이터를 완 전히 에워싸지 않는 데이터 획득 윈도우.
표면 구조체 및/또는 토포그래피의 양적 측정(quantitative measurements)을 행하는 것은 반도체 산업분야에서 현재 상당히 관심의 대상이 되고 있다. 통상적인 칩 특징의 작은 크기 때문에, 이러한 측정을 행하는 데 사용되는 기구는 통상적으로 칩 표면에 대해 평행인 그리고 수직인 높은 공간 분해능을 가져야만 한다. 공학도 및 과학자는 프로세스 제어를 위한 표면 구조체 측정 시스템을 사용하고, 제조 중에 발생하는 결함, 특히 에칭, 폴리싱, 클리닝 및 패터닝과 같은 프로세스의 결과로서 발생하는 결함을 검출한다.
특히 유용한 프로세스 제어 및 결함 검출에 있어서, 표면 구조체 및/또는 토포그래피 측정 시스템은 통상적인 표면 특징의 측면 사이즈와 비교될 수 있는 측면 분해능, 및 최소 허용 표면 스텝 높이에 비교될 수 있는 수직 분해능을 가져야 한다. 통상적으로, 이것은 미크론 미만의 측면 분해능을 요구하고, 1 나노미터 미만의 수직 분해능을 요구한다. 또한 이러한 시스템이, 표면을 변형하거나 결함을 생기게 하는 것을 회피하기 위해, 칩의 표면과의 접촉없이 또는 그렇지 않으면 잠재적으로 손상력을 가함이 없이 측정을 수행하는 것이 바람직하다. 또한, 칩 제조 시에 사용된 많은 프로세스의 효과가 패턴 밀도(pattern density) 및 에지 근접(edge proximity)와 같은 국부 요인(local factor)들에 강하게 의존한다는 것은 잘 알려져 있기 때문에, 측정 시스템이, 높은 측정 처리량 및 관심의 대상이 되는 하나 또는 많은 표면 특징을 포함할 수 있는 구역들 내의 큰 영역들에 걸쳐 밀접하게 샘플링할 수 있는 능력을 가지는 것도 중요하다.
칩의 서로 다른 부분들 간의 전기적 상호접속을 형성하기 위해 칩 제조업체들 사이에서 소위 '듀얼 다마신 쿠퍼(dual damascene copper)' 프로세스를 사용하는 것이 흔한 것으로 되고 있다. 이것을 적절한 표면 토포그래피 시스템을 사용하여 효과적으로 특징지어질 수 있는 프로세스의 일례이다. 듀얼 다마신 프로세스는 5 부분을 가지도록 고려될 수 있다:(1) (중합체 또는 유리와 같은) 유전체 재료의 층이 (복수의 개별 칩을 포함하는) 웨이퍼의 표면 위에 증착되는 층간 유전체(ILD) 증착; (2) 정밀한 광학 리소그래피에 적절한 평활한 표면을 생성하기 위해 유전체 층이 폴리싱되는 화학적 기계적 폴리싱(CMP); (3) 콤플렉스 네트워크가 생성되는, 리소그래피 패터닝 단계와 반응성 이온 에칭 단계와의 조합은 웨이퍼 표면에 평행하게 진행되는 협트렌치(narrow trenche)들 및 트렌치들의 바닥부로부터 하부의 (이전에 정련된) 전기적 전도층으로 진행하는 작은 비아를 포함한다; (4) 구리로 과도하게 채워진 트렌치들 및 비아에서 생기는 금속 증착 단계들의 조합; 및 (5) 과도한 구리가 제거되고, 유전체 재료로 에워싸인 구리로 채워진 트렌치들(그리고 가능하다면 비아)이 남겨진, 최종적인 화학적 기계적 폴리싱(CMP) 단계.
통상적으로 트렌치 영역 내의 구리의 두께(즉, 트렌치 깊이), 및 에워싸는 유전체의 두께는 0.2 내지 0.5 미크론의 범위 내에 있다. 그 결과적인 트렌치들의 폭은 100 내지 100,000 나노미터의 범위 내에 있을 수 있고, 각각의 칩 내의 구리 영역은 일부의 영역에서 평행 라인의 어레이와 같은 규칙적인 패턴을 형성할 수 있고, 다른 영역에서는 분명한 패턴이 없을 수 있다. 마찬가지로, 일부의 영역 내에서, 표면이 밀접하게 구리 영역들로 덮일 수 있고, 다른 영역들 내에서, 구리 영역 들이 희박할 수 있다. 폴리싱 레이트 및 이에 따른 폴리싱 후의 나머지 구리(및 유전체) 두께가 강력하게 그리고 복잡한 방식으로 (패드 압력 및 폴리싱 슬러리 조성과 같은) 폴리싱 조건뿐만 아니라 구리의 로컬 상세 배치(즉, 배향, 근접성 및 형상) 및 에워싸는 유전체 영역에 의존한다는 것을 이해하는 것이 중요하다.
이 '위치 의존 폴리싱 레이트(position dependent polishing rate)'는 많은 측면 길이 스케일 상에 가변의 표면 토포그래피를 일으키는 것으로 알려져 있다. 예를 들어, 전체적으로 웨이퍼의 에지에 가까이 위치하는 칩들은 중심 가까이에 위치한 것들보다 더 급속도로 폴리싱되고, 에지 근처에서 원하는 것보다 더 얇고, 중심에서 원하는 것보다 더 두꺼운 구리 영역을 형성한다는 것을 의미한다. 이것은 '웨이퍼 스케일(wafer scale)' 프로세스 불균일성의 일례이고 - 즉, 웨이퍼 직경에 비교될만한 길이 스케일 상에서 일어나는 것이다. 높은 밀도의 구리 트렌치 폴리시를 갖는 영역이 낮은 구리 라인 밀도를 갖는 근처의 영역보다 더 높은 레이트로 폴리시하는 것도 알려져 있다. 이것은 높은 구리 밀도 영역들 내에 'CMP 유도 부식'으로 알려진 현상을 유도한다. 이것은 '칩 스케일(chip scale)' 프로세스 불균일성의 일례이고 - 즉, 단일 칩의 선형 치수에 비교될만한 (때로는 훨씬 더 작은) 길이 스케일 상에서 일어나는 것이다. '디싱(dishing)'으로 알려진, 다른 타입의 칩 스케일 불균일성은 단일의 구리로 채워진 트렌치 영역들 내에서 일어난다(이것은 에워싸는 유전체 재료보다 더 높은 레이트로 폴리싱되는 경향이 있다). 폭이 수 미크론보다 더 큰 트렌치에 있어서, 디싱은 나중에 과도한 전기 저항을 보이는 선들에 영향을 미친 결과로 곤란을 겪게 될 수도 있고, 칩 실패로 끝나 버릴 수도 있다.
CMP 유도 웨이퍼 및 칩 스케일 프로세스 불균일성은 본질적으로 예측하는 것이 어렵고, CMP 프로세싱 시스템 내의 조건들이 전개될 때 시간을 초과하여 변화된다. 효과적으로 모니터링하기 위해, 그리고 허용 가능한 제한 내에서 임의의 불균일성이 남아 있는 것을 보장하기 위한 프로세스 조건들을 적절하게 조정하기 위해, 프로세스 공학도들은 빈번한 비접촉 표면 구조 및/또는 토포그래피 측정을 칩에 대해 많은 수의 폭넓은 위치에서 수행하는 것이 중요하다. 이것은 전술한 간섭 기술의 실시예를 이용하는 것이 가능하다.
이하에서, 기술한 기술을 이용하여 분석될 수 있는 구조 타입에 대한 일련의 예를 서술한다. 일반적으로, 구조체는 표면 구조체를 측정하는데 사용된 광의 파장보다 작을 수 있는 특징을 포함한다. 이 구조체의 특징들이 측정 디바이스에 의해 광학적으로 분해되지 않을지라도, 전술한 분석 기술을 이용하여 예를 들어 이러한 특징들의 형상을 특징지을 수 있다.
도 12는 예를 들어 전술한 타입의 구리 다마신 폴리싱에 대한 부식 측정을 위한 테스트 구조체를 도시한다. 이 테스트 구조체는 산화층(1003) 내에 2개 그룹의 구리선(1001 및 1002)을 포함한다. 이 구리선의 그룹들은 서로 다른 라인 폭들 및 라인 공간(또는 주기)을 갖는다. 라인 폭은 표면 구조체를 측정하는데 사용된 광의 파장보다 작다. 그럼에도, 전술한 분석 기술을 이용하여 예를 들어 서로 다른 라인 폭 및 라인 공간 및 라인들의 트렌치 깊이를 결정할 수 있다.
도 13은 예를 들어 n개의 광학 리소그래피 도구를 이용하여, 서브-파장 에칭 에 의해 생성된 테스트 구조체를 도시한다. 예를 들어, 이러한 도구들은, 패턴화된 물체들의 치수가 광학 리소그래피 도구에 의해 사용된 파장보다 작을 수 있도록, 광학 근접성 부식 및/또는 위상 시프트 마스크의 사용을 특징지을 수 있다. 구조체는 일련의 선들로 에칭된 막 스택(1101)이다. 에칭된 막 스택(1101)의 라인 폭 및 피치는 일반적으로, 표면 구조체를 측정하는데 사용된 광의 파장보다 작을 수 있다. 전술한 분석 기술을 이용하여, 예를 들어 라인 폭, 피치, 에칭 깊이, 및 막 스택 두께를 결정할 수 있다.
도 14는 회절 광학(1201)을 도시한다. 회절 광학(1201)은 격자 폭 및 높이에 의해 특징지어지는 격자 구조체(1202 및 1203)을 포함한다. 전술한 분석 기술을 이용하여, 예를 들어 격자 폭 및 높이를 결정할 수 있다.
도 15는 예를 들어, 반도체 처리 라인의 백 엔드(back end)에서, 직면한 타입의 복합 계층의 테스트 구조체를 도시한다. 구조체는 일련의 트렌치가 트렌치 깊이, 트렌치 폭, 및 트렌치 밀도를 특징짓는 유전체 계층을 포함한다. 산화물 내의 트렌치들은 나중에, SiON의 층으로 덮이는 한 그룹의 단단한 구리 패드 위에 위치한다. 전술한 분석 기술을 이용하여, 트렌치 깊이, 트렌치 폭, 및 트렌치 밀도를 결정할 수 있다.
도 16은 트랜지스터 제조에서 발견된 타입의 복합 표면 테스트 구조체(1401)를 도시한다. 구조체(1401)는 기판 상의 폴리실리콘의 패턴화된 층을 포함한다. 패턴화된 층은 상부 임계 치수 Tcd 및 하부 임계 치수 Bcd로 특징지어진다. 도시된 예에서, 하부 임계 치수는 상부 임계 치수보다 작으며, 그러므로 예를 들어 광학 현미경으로는 잘 안 보일 것이다. 또한, 이러한 임계 치수들은 수십 나노미터의 스케일로 되어 있으며, 이에 따라 측정 디바이스에 의해 일반적으로 광학적으로 분해되지 않을 것임에 유념하라. 그럼에도, 전술한 분석 기술을 이용하여, 상부 임계 치수 및 하부 임계 치수를 결정할 수 있다.
전술한 간섭계 실시예는 Mirau-타입 및 Linnik-타입의 간섭 대물 렌즈를 포함한다. Mirau-타입에서, 간섭 대물 렌즈 내의 빔 스플리터는 테스트 광에 대한 광축을 따라 기준광을 반대로 향하게 한다. Linnki-타입에서, 빔 스플리터는 (입력광과 관련해서) 테스트 표면을 위한 대물 렌즈 앞에 위치하여 테스트 광 및 기준광을 서로 다른 경로를 따라 향하게 한다. 별도의 대물 렌즈를 사용하여 기준 렌즈에 대한 기준광의 초점을 맞춘다. 환언하면, 빔 스플리터는 입력광을 테스트 광 및 기준광으로 분리하고, 그런 다음 별도의 대물 렌즈는 테스트 광 및 기준광을 각각의 테스트 표면 및 기준 표면에 초점을 맞춘다. 이상적으로는, 테스트 광 및 기준광이 유사한 수차 및 광학 경로를 가지도록, 2개의 대물 렌즈가 서로 매칭한다.
다른 실시예에서, 간섭 시스템은 그 대신, Michelson 대물 렌즈와 같은 상이한 타입의 간섭 대물 렌즈를 사용할 수 있는데, 이 대물 렌즈에서는 빔 스플리터가 기준광을 테스트 광의 광축으로부터 벗어나게 향하게 한다(예를 들어, 빔 스플리터는 입력광에 대해 45도로 향하게 하고 그래서 테스트 광 및 기준광이 서로 직각으로 진행한다). 이러한 경우, 기준 표면은 테스트 광의 경로의 외측에 위치할 수 있다.
일부의 실시예에서, 간섭 시스템은 이하의 특징을 포함할 수 있다: 높은 개구수(numerical aperature; NA)를 가진 스펙트럼 협대역 광원; 스펙트럼 광대역 광원 높은 NA 대물 렌즈와 스펙트럼 광대역 광원의 조합; 예를 들어, Michelson, Mirau 또는 Linnik 외형에서, 기름/물 이멀젼 타입(oil/water immersion type) 및 솔리드 이멀젼 타입(solid immersion type)을 포함한, 간섭 현미경 대물 렌즈; 복수의 파장에서의 일련의 측정; 비분극 광(unpolarized light); 및 선형, 원형 또는 구조화를 포함한, 분극 광. 예를 들어, 구조화된 분극 광은, 표면 특징물 기여할 수 있는 편광-의존 광학 효과를 밝혀내기 위해, 예를 들어 조사 동공의 또는 촬상 동공의 서로 다른 세그먼트에 대한 서로 다른 편광을 발생하는 편광 마스크를 포함할 수 있다. 간섭계는 또한 전술한 전체 시스템 캘리브레이션을 포함할 수 있다.
부가적인 간섭계 구성 역시 가능하다. 예를 들어, 시스템은 테스트 샘플을 통해 전송되고 이어서 기준광과 결합되는 테스트 광을 수집하도록 구성될 수 있다. 이러한 실시예에서, 시스템은 각각의 레그 듀얼 현미경 대물 렌즈를 가진 Mach-Zehnder 간섭계를 실행할 수 있다.
간섭계 내의 광원은, 스펙트럼 대역통과 필터를 갖추거나 갖추지 않은, 할로겐 전구 또는 금속 할로겐 램프와 같은 백열 광원; 광대역 레이저 다이오드; 발광 다이오드; 동일한 타입 또는 상이한 타입의 수 개의 광원의 조합; 아크 램프; 가시광 영역 내의 임의의 광원; 특히 거친 표면을 보거나 위상 프로파일링을 적용하기 위한, IR 스펙트럼 영역 내의 임의의 광원; 및 특히 향상된 측면 분해능을 위한, 스펙트럼 영역 내의 UV 임의의 광원. 광대역 응용에 있어서, 광원은 평균 파장의 5%보다 더 넓은, 또는 더 바람직하게는, 평균 파장의 10%, 20%, 30%, 또는 50% 조차보다도 더 큰 순수 스펙트럼 대역폭을 바람직하게 갖는다. 조정 가능한 협대역 응용에 있어서, 튜닝 범위는 넓은 범위의 파장에 걸쳐 정보를 제공하기 위해 바람직하게 넓지만(예를 들어, 가시광에 있어서, 50nm 이상, 100nm 이상, 200nm 이상), 반면, 임의의 특정한 설정에서의 스펙트럼 폭은 바람직하게는, 분해능을 최적화하기 위해, 10nm, 2nm 또는 1nm만큼이나 좁다. 광원은 또한, 광원으로부터 방출되는 입력광의 공간 범위를 증가시키기 위해 하나 이상의 확산기 요소를 포함할 수 있다.
일부의 실시예에서, 광원 및 간섭계는 간섭 대물 렌즈의 동공 평면의 Koehler 조사를 제공하도록 구성될 수 있다. 다른 실시예에서, 동공 평면의 조사는 Koehler 조사와는 다른 타입, 예를 들어 임계 조사 또는 Nelsonian 조사이다.
또한, 변환 스테이지(150)와 같은 시스템 내의 다양한 변환 스테이지는, 압전 디바이스, 스테퍼 모터 및 보이스 코일 중 임의의 것에 의해 구동될 수 있고; (예를 들어, 액정, 전자-광학 효과, 스트레인드 파이버(strained fibers), 및 회전 파면(rotating waveplates) 중 임의의 것을 사용함으로써) 순수 변환이기보다는 광-기계적으로 또는 광-전자적으로 실행되어 광학 경로 길이 변동을 도입할 수 있고; 만곡부 마운트(flexure mount)를 가진 구동기 및 기계적 스테이지를 가진 구동기, 예를 들어, 롤러 베어링 또는 에어 베어링에 의해 구동될 수 있다. 전술한 바와 같이, 스캐닝 간섭 신호에 대한 위상-시프팅이 기계적 변환 스테이지를 사용함으로써 종종 수행되는 동안, 간섭계의 테스트 레그와 기준 레그 사이의 비-제로 광학 경로 길이 차분이 존재할 때 광원의 파장을 변화시킴으로써 테스트 레그와 기준 레그 사이의 위상을 변화시키는 것도 가능하다.
전자 검출기는 다중-요소 CCD 또는 CMOS 검출기와 같은, 공간 분해능으로 광학 간섭 패턴을 측정하기 위한 임의의 타입의 검출기일 수 있다.
소정의 실시예에서, 시스템 캘리브레이션은, 동공 평면 내의 광원 포인트의 위치에 기초하여 테스트 표면에서 빔 번들의 입사각을 계산하는 단계를 포함하여, 수행된다. 환언하면, 입사각을 동공 평면의 영상에 대응하는 검출기 내의 각각의 픽셀에 할당하고자 할 때, 이것은 예를 들어, 검출기에 의해 검출된 광이 본질적으로 단색이고 공지의 파장을 가지도록 협대역 필터로 측정을 수행함으로써 달성될 수 있다. 이 경우, 간섭 신호의 주파수는 광원 파장에 입사각의 코사인을 곱한 것에 비례한다. 신호 주파수는 신호의 푸리에 변환에 의해 계산될 수 있고, 입사각은 변환 스테이지의 스캔 레이트와 광원 파장에 대한 지식으로부터 도출될 수 있다.
또한, 변환 스테이지의 스캔 레이트를 확장하는 것은 초기에는 알려져 있지 않았으나, 간섭 신호가 가장 큰 주파수를 갖는 검출기에 픽셀을 위치시킴으로써 결정될 수 있다. 입사각에 대한 주파수의 코사인 의존성에 따르면, 이 픽셀은 정상 입사에 대응하고 그래서 스테이지 속도는 그 측정된 주파수 및 광원 파장의 지식으로부터 직접적으로 추출될 수 있다.
현미경 대물 렌즈가 물체 공간에서의 각도들을 동공 위치들에 매핑하는 경로 상의 선험적 정보는 이 캘리브레이션의 품질을 향상시키는데 사용될 수 있음에 유 의하라. 예를 들어, 통상적인 대물 렌즈는 코마(coma)(기하학적 수차)에 대해 교정되는데, 이것은 동공에 매핑하는 레이(ray)는 소위 "아베 사인 조건(Abbe sine condition)"에 명목상 따른다는 것을 의미한다. 이 조건은 동공에서의 광축으로부터의 광원 포인트의 방사상의 거리가 물체 공간에서의 입사각의 사인에 정비례한다는 것을 의미한다. 그러므로 동공 위치를 입사각에 매핑하는 해석 함수를 제공하기 위해, 각각의 픽셀에 대한 입사각을 계산하고 그런 다음 사인 조건으로부터 유도된 글로벌 함수를 맞출 수 있다.
소정의 실시예에서, 위에서 약술한 과정은 각도 매핑의 색상 변동이 고려되도록 상이한 정상 광원에 대해 반복될 수 있다. 맞춤 과정의 부산물(by-product)은 동공에서의 광축의 픽셀 위치이다. 그 정보는 또한 파장의 함수로서 기록되고, 입사각 계산에 교정을 적용하는 것에 대해 나중에 사용될 수 있다.
소정의 실시예에서, 캘리브레이션은 또한 Xavier Colonna de Lega 등에 의해 출원되고 발명의 명칭이 "A INTERFEROMETER FOR DETERMINING CHARACTERISTICS OF AN OBJECT SURFACE, INCLUDING PROCESSING AND CALIBRATION"이고 본 명세서에 원용된 미국공개특허 No. 2006158657에 개시된 바와 같이, 다양한 시스템 파라미터의 값을 계산하는 단계를 포함한다.
전술한 컴퓨터 분석 방법들 중 임의의 방법을 하드웨어 또는 소프트웨어, 또는 이것들의 조합으로 실행할 수 있다. 이 방법들은 전술한 방법들 및 특징을 따르는 표준 프로그래밍 기술을 이용하여 컴퓨터 프로그램으로 실행될 수 있다. 프로그램 코드는 입력 데이터에 적용되어 여기서 언급한 함수를 수행하고 출력 정보 를 발생한다. 이 출력 정보는 디스플레이 모니터와 같은 하나 이상의 출력 디바이스에 적용될 수 있다. 각각의 프로그램은 컴퓨터 시스템과의 통신을 위해 고레벨의 과정 또는 객체 지향 프로그래밍 언어로 실행될 수 있다. 그렇지만, 그 프로그램들은 필요하다면 어셈블리어 기계어로 실행될 수도 있다. 어떤 경우이든지, 언어는 컴파일링되거나 해석된 언어일 수 있다. 또한, 프로그램은 그 목적을 위해 프로그래밍된 전용의 집적회로로 운용될 수 있다.
이러한 각각의 컴퓨터 프로그램은 바람직하게, 범용의 또는 특정한 목적의 프로그래머블 컴퓨터에 의해 판독 가능한 저장 매체 또는 디바이스(예를 들어, ROM 또는 자기 디스켓) 상에 저장되어 있으며, 여기서 언급한 과정들을 수행하기 위해 저장 매체 또는 디바이스가 컴퓨터에 의해 판독될 때 컴퓨터를 구성하고 동작시킨다. 컴퓨터 프로그램은 또한 프로그램 실행 동안 캐시 메모리 또는 메인 메모리에 저장되어 있을 수 있다. 분석 방법은 또한 컴퓨터 프로그램으로 구성된, 컴퓨터-판독 가능한 저장 매체로서 실행될 수도 있으며, 이렇게 구성된 저장 매체는 컴퓨터 하여금 특정의 방식 및 미리 정해진 방식으로 여기서 언급한 함수들을 수행하게 할 수 있다.
여기서 종종 언급한 특정한 설명이 간섭 시스템에서의 제한된 코히어런스가 간섭 프린지의 국부화를 야기하는 스캐닝 간섭 신호를 언급하고 있지만, 많은 실시예에서 있어서, 이러한 프린지 국부화를 갖지 않는 간섭 신호(들)로부터 복합 표면 특징물에 관한 정보를 추출하는 것도 가능하다.
예를 들어, 프린지 국부화를 갖지 않는 테스트 물체의 서로 다른 위치들로부터의 간섭 신호들을 여전히 사용하여, 그 테스트 물체에 대한 분명한 표면 프로파일을 발생하며, 그 분명한 표면 프로파일, 또는 그로부터 유도된 정보는, 상기 분명한 표면 프로파일에서 분해되지 않거나 또는 방해가 되는 테스트 물체의 측면의 표면 특징들의 서로 다른 값들에 대한 예상된 응답의 모델들과 비교되어, 낮은 코히어런스 스캐닝 간섭 신호에 대한 전술한 바와 동일한 방식으로 그러한 해상 한계 이하의(under-resolved) 특징들에 관한 정보를 많이 결정할 수 있다. 이러한 "높은" 코히어런스 간섭 신호들로부터 표면 프로파일 정보를 추출하는 기술들을 일반적으로 위상 시프팅 간섭 신호(PSI) 알고리즘이라 하며, 당기술 분야에 공지되어 있다. 예를 들어, 발명의 명칭이 "METHOD AND SYSTEM FOR PROFILING OBJECTS HAVING MULTIPLE REFLECTIVE SURFACES USING WAVELENGTH-TUNING PHASE-SHIFTING INTERFEROMETRY"이고, 그 내용이 본 명세서에 원용된 미국특허 No. 6,359,692의 배경 및 내용을 참조하라. 이러한 PSI 분석을 위한 간섭 신호를 발생하기 위해, 주어진 픽셀에 대한 간섭 신호는 기준 레그와 측정 레그 사이의 광학 경로 길이 차분을 기계적으로 가변시킴으로써, 또는 기준 레그와 측정 레그 사이의 고정된, 비-제로 광학 경로 길이 차분에 대한 공의 파장을 가변시킴으로써 발생될 수 있다.
많은 실시예를 설명하였다. 다른 실시예들이 청구의 범위에 있다.

Claims (104)

  1. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 장치로서,
    테스트 광에 의해 테스트 물체의 서로 다른 조사 각에 대응하는 제1 세트의 다중 간섭 신호를 생성하도록 제1 동작 모드에서 동작하고, 상기 테스트 물체의 서로 다른 표면 위치들에 대응하는 제2 세트의 다중 간섭 신호를 생성하도록 제2 동작 모드에서 동작하도록 구성된 간섭 시스템 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―; 및
    상기 제1 세트의 다중 간섭 신호를 수신하도록 구성된 상기 간섭 시스템에 결합되고, 상기 제1 세트의 다중 간섭 신호로부터 도출된 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하여, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관련된 정보를 결정하며, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 출력하도록 프로그램된 전자 프로세서
    를 포함하며,
    상기 복수의 모델은 격자 피치 또는 측방 임계 치수에 의해 파라미터로 나타내어지고, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는 장치.
  2. 제1항에 있어서,
    상기 제1 동작 모드와 상기 제2 동작 모드 사이를 선택적으로 전환하도록 구성된 장치.
  3. 제1항에 있어서,
    상기 제1 동작 모드와 상기 제2 동작 모드에서 측정치를 동시에 제공하도록 구성된 장치.
  4. 제1항에 있어서,
    상기 간섭 시스템은 적어도 하나의 전자 검출기를 포함하며, 상기 제1 동작 모드에서 상기 검출기의 서로 다른 요소들은, 상기 간섭 시스템에서 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들(illumination angles)에 대응하는, 장치.
  5. 제4항에 있어서,
    상기 제1 동작 모드는, 하나 이상의 선택된 편광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도(reflectivity)를 측정하는 타원계 모드에 대응하는, 장치.
  6. 제5항에 있어서,
    상기 반사도는 복합 반사도인, 장치.
  7. 제4항에 있어서,
    상기 제1 동작 모드는 비편광된 광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도를 측정하는 반사계 모드에 대응하는, 장치.
  8. 제7항에 있어서,
    상기 반사도는 복합 반사도인, 장치.
  9. 제4항에 있어서,
    상기 간섭 시스템은 상기 테스트 표면으로 향하는 테스트 광에 대해 동공 평면을 상기 검출기로 촬상하는, 장치.
  10. 제1항에 있어서,
    상기 간섭 시스템은 적어도 하나의 전자 검출기를 포함하며, 상기 제2 동작 모드에서 상기 검출기의 서로 다른 요소들은, 상기 간섭 시스템에서 테스트 광에 의해 조사된 상기 테스트 표면의 서로 다른 위치들(illumination angles)에 대응하는, 장치.
  11. 제10항에 있어서,
    상기 간섭 시스템은 상기 테스트 표면을 상기 검출기로 촬상하도록 구성된, 장치.
  12. 제11항에 있어서,
    상기 제2 동작 모드는 프로파일링 모드인, 장치.
  13. 제1항에 있어서,
    상기 전자 프로세서는 또한 상기 제1 세트의 다중 간섭 신호 및 상기 제2 세트의 다중 간섭 신호를 수신하도록 구성되고, 상기 테스트 물체에 관한 정보를 결정하고 출력하도록 프로그램된, 장치.
  14. 제13항에 있어서,
    상기 전자 프로세서는 또한, 하나의 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록 다른 동작 모드에서 도출된 정보를 사용하도록 구성된, 장치.
  15. 제14항에 있어서,
    상기 전자 프로세서는, 다른 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록, 제1 동작 모드에서 결정된 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 사용하도록 구성된, 장치.
  16. 제14항에 있어서,
    상기 전자 프로세서는,
    ⅰ) 상기 제1 동작 모드에서 결정된 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보에 기초하여 상기 테스트 물체의 복수의 모델을 도출하며; 그리고
    ⅱ) 상기 해상 한계 이하의(under-resolved) 특징들에 관련된 정보에 기초하여 상기 제2 세트의 다중 간섭 신호로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하여 상기 테스트 물체에 관련된 추가의 정보를 결정하고 출력하도록 구성된, 장치.
  17. 제16항에 있어서,
    상기 추가의 정보는 표면 프로파일인, 장치.
  18. 제1항에 있어서,
    상기 간섭 시스템은 또한, 상기 테스트 물체의 비간섭 광학 영상을 측정하기 위해 비간섭 현미경 모드에서 선택적으로 동작하도록 구성된, 장치.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 제1항에 있어서,
    상기 간섭 시스템은,
    테스트 광을 테스트 표면으로 향하게 하고 이어서 상기 테스트 광을 기준광과 결합하여 간섭 패턴을 형성하도록 구성된 간섭계로서, 상기 테스트 광 및 상기 기준광은 공통의 광원으로부터 도출되는, 간섭계;
    전자 검출기; 및
    상기 결합된 광의 적어도 일부를 상기 검출기로 향하게 하여, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 물체의 서로 다른 조사 각들에 대응하도록 구성된 하나 이상의 광학 기기
    를 포함하며,
    상기 간섭 시스템은, 상기 결합된 광이 상기 검출기로 향하게 하여 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들에 대응하도록 하는 제1 동작 모드, 및 프로파일링 동작 모드가 가능하게 되도록, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 조사된 상기 테스트 표면의 서로 다른 조사 각들에 대응하는 제2 동작 모드에서 동작하도록 구성된, 장치.
  23. 제22항에 있어서,
    상기 제1 동작 모드와 상기 제2 동작 모드 사이를 전환하기 위해 하나 이상 의 광학 기기와 관련하여 상기 검출기의 위치를 조정하도록 구성된 스테이지를 더 포함하는, 장치.
  24. 제23항에 있어서,
    상기 검출기 스테이지에 결합되고 상기 검출기 스테이지로 하여금 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 조정 가능하게 전환하게 하도록 구성된 전자 제어기를 더 포함하는 장치.
  25. 제22항에 있어서,
    상기 하나 이상의 광학 기기는 상기 제1 동작 모드에서 동작하기 위한 제1 세트의 하나 이상의 광학 기기 및 상기 제2 동작 모드에서 동작하기 위한 제2 세트의 하나 이상의 광학 기기를 포함하는, 장치.
  26. 제25항에 있어서,
    상기 제1 세트의 하나 이상의 광학 기기 및 상기 제2 세트의 하나 이상의 광학 기기를 지지하고, 상기 제1 세트와 상기 제2 세트 중 하나를 조정 가능하게 위치시키되 다른 하나는 상기 검출기로 향하는 상기 결합된 광의 경로에 설정되지 않도록 하여 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 전환하도록 구성된 다중 위치 광학 기기 홀더(multi-position optics holder)를 더 포함하는 장치.
  27. 제26항에 있어서,
    상기 다중 위치 광학 기기 홀더는 모니터링되고,
    상기 모니터링된 다중 위치 광학 기기 홀더에 결합되어 상기 다중 위치 광학 기기 홀더로 하여금 상기 제1 동작 모드와 상기 제2 동작 모드 사이를 선택적으로 전환하게 하는 전자 제어기를 더 포함하는 장치.
  28. 제22항에 있어서,
    제2 세트의 하나 이상의 광학 기기, 상기 결합된 광의 제1 부분을 상기 제1 세트의 하나 이상의 광학 기기로 향하게 하고 상기 결합된 광의 제2 부분을 상기 제2 세트의 하나 이상의 광학 기기로 향하게 하는 빔 스플리터, 및 제2 전자 검출기를 더 포함하며,
    상기 제2 세트의 하나 이상의 광학 기기는, 상기 제2 전자 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 조사된 상기 테스트 표면의 서로 다른 영역들에 대응하도록, 상기 결합된 광의 제2 부분을 상기 제2 전자 검출기로 향하게 하는, 장치.
  29. 제22항에 있어서,
    상기 간섭계는, 복수의 대물 렌즈를 지지하고 선택된 대물 렌즈가 공통의 광원으로부터의 입력광의 경로에 위치하도록 구성된 다중 위치 마운트(multi-position mount)를 포함하며, 상기 복수의 대물 렌즈는 적어도 하나의 간섭 대물 렌즈를 포함하는, 장치.
  30. 제29항에 있어서,
    상기 다중 위치 마운트는 모니터링되며,
    상기 다중 위치 마운트에 결합되어 상기 다중 위치 마운트로 하여금 대물 렌즈들 사이를 선택적으로 전환하게 하는 전자 제어기를 더 포함하는 장치.
  31. 제29항에 있어서,
    상기 복수의 대물 렌즈는 두 개의 서로 다른 간섭 대물 렌즈를 포함하며, 상기 두 개의 서로 다른 간섭 대물 렌즈 중 하나는 편광 광학 기기를 포함하는, 장치.
  32. 제29항에 있어서,
    상기 복수의 대물 렌즈는 비간섭 대물 렌즈를 포함하며, 상기 비간섭 대물 렌즈는 상기 입력광의 경로에 위치할 때 상기 장치로 하여금 비간섭 현미경 모드에서 동작하게 하는, 장치.
  33. 제4항에 있어서,
    상기 간섭 시스템은 스캐닝 간섭계를 포함하는, 장치.
  34. 제33항에 있어서,
    상기 프로세서는 상기 제1 세트의 다중 간섭 신호로부터의 하나 이상의 간섭 신호를 주파수 도메인으로 변환시키고 상기 변환된 신호에 기초하여 상기 테스트 표면에 관한 각도 분해 정보 및 파장 분해 정보를 추출하도록 구성된, 장치.
  35. 제33항에 있어서,
    상기 제1 세트의 다중 간섭 신호로부터 도출된 정보는 반사도를 포함하는, 장치.
  36. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 방법으로서,
    테스트 광에 의해 테스트 물체의 서로 다른 조사 각도에 대응하는 제1 세트의 다중 간섭 신호를 생성하도록 제1 동작 모드에서 간섭 시스템을 사용하는 단계 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―;
    테스트 물체의 서로 다른 위치들에 대응하는 제2 세트의 다중 간섭 신호를 생성하도록 제2 동작 모드에서 상기 간섭 시스템을 사용하는 단계;
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계 ― 상기 복수의 모델은 격자 피치 또는 측방 임계 치수에 의해 파라미터로 나타내어지고, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함함 ―; 및
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보를 출력하는 단계
    를 포함하는 방법.
  37. 삭제
  38. 삭제
  39. 제36항에 있어서,
    상기 간섭 신호들 중 적어도 일부는, 상기 해상 한계 이하의(under-resolved) 패턴화된 구조체의 요소들과 관련해서 편광이 배향되는 상기 테스트 물체의 조사로부터 도출되는, 방법.
  40. 제39항에 있어서,
    상기 편광은 상기 해상 한계 이하의(under-resolved) 패턴화된 구조체의 개개의 요소의 길이에 대해 직각, 평행, 또는 45°로 정렬된 선형 편광인, 방법.
  41. 삭제
  42. 제36항에 있어서,
    상기 테스트 물체는 개별의 요소들이 감추어져 있는 패턴화된 구조체를 포함하는, 방법.
  43. 삭제
  44. 제36항에 있어서,
    상기 모델들은 정밀한 결합파 해석(rigorous coupled wave analysis)을 이용하여 계산적으로 발생되는, 방법.
  45. 제36항에 있어서,
    상기 모델들은 속성이 공지되어 있는 테스트 물체로부터 경험적으로 발생되는, 방법.
  46. 제36항에 있어서,
    해상 한계 이하의(under-resolved) 표면 특징물에 관한 정보가 사용자에게 출력되는, 방법.
  47. 제36항에 있어서,
    해상 한계 이하의(under-resolved) 표면 특징물에 관한 정보가 반도체 제조를 위한 자동화된 프로세스 제어 시스템에 출력되는, 방법.
  48. 제36항에 있어서,
    상기 간섭 신호는 스캐닝 간섭 신호인, 방법.
  49. 제48항에 있어서,
    상기 스캐닝 간섭 신호는, 테스트 광을 검출기 상에서 기준광과 간섭하도록 향하게 하고, 테스트 광과 기준광의 간섭하는 부분들 사이에서 공통의 광원으로부터 검출기로 광학 경로 길이 차분을 가변시킴으로써 생성되며,
    상기 테스트 광 및 상기 기준광은 상기 공통의 광원으로부터 도출되며, 상기 스캐닝 간섭 신호는 상기 광학 경로 길이 차분이 가변할 때 상기 검출기에 의해 측정된 간섭 세기에 대응하는, 방법.
  50. 삭제
  51. 제49항에 있어서,
    상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 상기 단계는, 상기 제1 세트의 다중 간섭 신호로부터의 하나 이상의 간섭 신호를 주파수 도메인으로 변환하는 단계를 포함하며, 그 변환된 신호에 기초하여 상기 테스트 표면에 관한 각도 분해 정보 및 파장 분해 정보를 추출하는, 방법.
  52. 제36항에 있어서,
    상기 간섭 시스템은 적어도 하나의 전자 검출기를 포함하며, 상기 간섭 시스템의 제1 동작 모드에서 상기 적어도 하나의 전자 검출기의 서로 다른 요소들은, 상기 간섭 시스템에서 테스트 광에 의해 상기 테스트 물체의 테스트 표면의 서로 다른 조사 각들(illumination angles)에 대응하는, 방법.
  53. 제52항에 있어서,
    상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보는, 하나 이상의 선택된 편광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도(reflectivity)를 측정하는 타원계 모드에 대응에 대응하는, 방법.
  54. 제53항에 있어서,
    상기 반사도는 복합 반사도인, 방법.
  55. 제51항에 있어서,
    상기 제1 세트의 다중 간섭 신호로부터 도출될 수 있는 정보는, 비편광된 광에 대한 각도 및 파장의 범위에 걸쳐 상기 테스트 표면의 반사도를 측정하는 반사계 모드에 대응하는, 방법.
  56. 제55항에 있어서,
    상기 반사도는 복합 반사도인, 방법.
  57. 제52항에 있어서,
    제1 동작 모드에서 상기 간섭 시스템을 사용하는 단계는 상기 테스트 표면으로 향하는 테스트 광에 대해 동공 평면을 상기 검출기로 촬상하는 단계를 포함하는 방법.
  58. 제36항에 있어서,
    상기 제1 세트의 다중 간섭 신호 및 상기 제2 세트의 다중 간섭 신호로부터 도출될 수 있는 정보에 기초하여 상기 테스트 물체에 관한 추가 정보를 결정하는 단계; 및
    상기 테스트 물체에 관한 상기 추가 정보를 출력하는 단계
    를 더 포함하는 방법.
  59. 제58항에 있어서,
    상기 테스트 물체에 관한 상기 추가 정보를 결정하는 단계는, 하나의 동작 모드를 사용할 때 상기 테스트 물체에 관한 추가의 정보를 결정하는 데 도움이 되도록 다른 동작 모드에서 도출된 정보를 사용하는 단계를 포함하는, 방법.
  60. 제58항에 있어서,
    상기 테스트 물체에 관한 상기 추가 정보를 결정하는 단계는, 다른 동작 모드를 사용할 때 상기 테스트 물체에 관한 상기 추가 정보를 결정하는 데 도움이 되도록, 제1 동작 모드에서 결정된 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 사용하는 단계를 포함하는 방법.
  61. 삭제
  62. 제58항에 있어서,
    상기 테스트 물체에 관한 추가의 정보는 표면 프로파일인, 방법.
  63. 제36항에 있어서,
    상기 제1 동작 모드에서 간섭 시스템을 사용하는 단계는 상이한 편광 상태를갖는 조명을 사용하여 복수의 세트의 다중 간섭 신호를 생성하는 단계를 포함하는, 방법.
  64. 제63항에 있어서,
    상기 상이한 편광 상태는 직교하는, 방법.
  65. 제64항에 있어서,
    상기 해상 한계 이하의(under-resolved) 특징들은 격자를 포함하며,
    상기 상이한 편광 상태는 복수의 상기 격자의 라인들에 직교하는 선형 편광 상태를 포함하는, 방법.
  66. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 장치로서,
    테스트 광을 테스트 물체로 향하게 하고 이어서 상기 테스트 광을 기준광과 결합하여 간섭 패턴을 형성하도록 구성된 간섭계로서, 상기 테스트 광 및 상기 기준광은 공통의 광원으로부터 도출되는, 간섭계 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―; 및;
    전자 검출기;
    상기 결합된 광의 적어도 일부를 상기 검출기로 향하게 하여, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 물체의 서로 다른 조사 각들에 대응하도록 구성된 하나 이상의 광학 기기; 및
    상기 검출기에 결합된 전자 프로세서
    를 포함하며,
    상기 전자 프로세서는,
    ⅰ) 상기 간섭계의 광학 속성들에 관한 캘리브레이션 정보를 저장하고;
    ⅱ) 상기 캘리브레이션 데이터에 기초하고 그리고 상기 검출기에 의해 측정된 정보로부터 도출된 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보와의 비교에 기초하여, 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징물에 관련된 정보를 결정하도록 상기 검출기에 의해 측정된 정보를 처리하며; 그리고
    ⅲ) 상기 테스트 물체의 해상 한계 이하의(under-resolved) 특징물에 관련된 정보를 출력하도록 구성되고,
    상기 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는 장치.
  67. 제66항에 있어서,
    상기 전자 프로세서는 상기 검출기 측정으로부터 상기 테스트 물체에 관한 각도 분해된 반사도 정보를 추출하고, 상기 검출기에 의해 측정된 정보로부터 도출된 정보는 각도 분해된 반사도인, 장치.
  68. 제66항에 있어서,
    테스트 광과 기준광이 간섭 패턴을 형성할 때 상기 테스트 광과 상기 기준광 사이의 상대적 광학 경로 길이를 조정하도록 구성된 변환 스테이지를 더 포함하며,
    상기 전자 프로세서는, 상기 검출기를 횡단하는 복수의 위치 각각에서 측정 되고, 상기 변환 스테이지를 스캐닝함으로써 생성된 간섭 세기 신호를 분석하도록 구성된, 장치.
  69. 제68항에 있어서,
    상기 전자 프로세서는, 상기 검출기 상의 서로 다른 위치들에서 상기 세기 신호의 주파수에 기초하여, 상기 검출기의 서로 다른 영역들과 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들 사이의 대응성(correspondence)을 결정하도록 구성된, 장치.
  70. 제68항에 있어서,
    상기 전자 프로세서는 상기 검출기를 횡단하여 측정된 세기 신호들에 기초하여 상기 테스트 물체에 관한 각도 분해 정보 및 파장 분해 정보를 추출하도록 구성된, 장치.
  71. 제70항에 있어서,
    상기 전자 프로세서는 상기 검출기의 서로 다른 위치들에서의 간섭 신호를 주파수 도메인으로 변환하여 상기 각도 분해 정보 및 파장 분해 정보를 추출하도록 구성된, 장치.
  72. 제71항에 있어서,
    상기 간섭계는 상기 검출기에 의해 측정된 간섭 패턴의 편광 컨텐츠(polarization content)를 조정하도록 위치하는 하나 이상의 편광 소자들을 포함하고,
    상기 전자 프로세서는 상기 검출기를 횡단하여 측정된 세기 신호에 기초하여 상기 테스트 표면에 관한 각도 분해 정보, 파장 분해 정보 및 편광 분해 정보를 추출하도록 구성된, 장치.
  73. 제72항에 있어서,
    상기 각도 분해 정보, 파장 분해 정보 및 편광 분해 정보는 상기 테스트 표면의 반사도에 관한 것인, 장치.
  74. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 간섭 방법에 있어서,
    조사 각의 범위에 걸쳐 테스트 광을 테스트 물체의 테스트 표면으로 향하게 하는 단계;
    이어서 간섭 패턴을 형성하도록 상기 테스트 광을 기준광과 결합하되, 상기 테스트 광과 상기 기준광은 공통의 광원으로부터 도출되며, 상기 테스트 표면은 공지의 반사 속성들을 가지는, 상기 테스트 광을 기준광과 결합하는 단계 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―; 및
    상기 결합된 광의 적어도 일부를 다중-요소 검출기로 향하게 하는 단계 ― 여기서, 상기 검출기의 서로 다른 요소들이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들에 대응하도록 함 ―;
    상기 검출기의 서로 다른 요소들에 대응하는 간섭 신호를 측정하는 단계;
    서로 다른 검출기 요소들에서 측정된 간섭 신호들 및 상기 테스트 표면의 공지의 반사 파라미터들에 기초하여, 상기 테스트 광을 향하게 하고 상기 테스트 광을 기준광과 결합하는 데 사용된 간섭계의 반사 파라미터를 캘리브레이팅하는 단계;
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 간섭 신호들로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보를 출력하는 단계
    를 포함하며,
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는, 간섭 방법.
  75. 제74항에 있어서,
    상기 모델들은 상기 캘리브레이팅된 반사 파라미터들에 기초하는, 간섭 방법.
  76. 제75항에 있어서,
    상기 반사 파라미터를 캘리브레이팅하는 단계는,
    공지의 반사 속성들을 가지는 제2 테스트 표면에 향하게 하는 단계, 측정하는 단계, 및 캘리브레이팅 하는 단계를 반복하는 단계; 및
    상기 서로 다른 검출기 요소들에서 측정된 간섭 신호들 및 상기 테스트 표면의 공지의 반사 파라미터들에 기초하여, 상기 간섭계의 반사 파라미터를 추가로 캘리브레이팅하는 단계
    를 포함하는 간섭 방법.
  77. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 간섭 방법에 있어서,
    조사 각의 범위에 걸쳐 테스트 광을 테스트 물체의 테스트 표면으로 향하게 하는 단계;
    이어서 간섭 패턴을 형성하도록 상기 테스트 광을 기준광과 결합하되, 상기 테스트 광과 상기 기준광은 공통의 광원으로부터 도출되며, 상기 테스트 표면은 공지의 반사 속성들을 가지는, 상기 테스트 광을 기준광과 결합하는 단계 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―;
    다중-소자 검출기의 서로 다른 소자들이 테스트 광에 의해 테스트 표면의 서로 다른 조사 각들에 대응하도록 상기 결합된 광의 적어도 일부를 다중-소자 검출기로 향하게 하되, 상기 테스트 표면은 공지된 반사 속성을 가지는, 상기 결합된 광의 적어도 일부를 다중-소자 검출기로 향하게 하는 단계;
    상기 테스트 광과 상기 기준광 사이의 광학 경로 길이 차분을 가변시키는 함수로서, 상기 검출기의 서로 다른 요소들에 대응하는 간섭 신호들을 측정하는 단계;
    하나의 상기 간섭 신호에 기초하여 캘리브레이션 정보를 결정하는 단계;
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 간섭 신호들로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보를 출력하는 단계
    를 포함하며,
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는, 간섭 방법.
  78. 제77항에 있어서,
    상기 캘리브레이션 정보를 결정하는 단계는, 서로 다른 검출기 요소들에서의 간섭 신호의 주파수에 기초하여 상기 검출기 상의 상기 결합된 광에 대한 광축의 위치를 결정하는 단계를 포함하는, 간섭 방법.
  79. 제77항에 있어서,
    상기 캘리브레이션 정보를 결정하는 단계는, 상기 광학 경로 길이 차분이 서로 다른 검출기 요소에서의 간섭 신호의 주파수에 기초하여 가변되는 레이트를 결정하는 단계를 포함하는, 간섭 방법.
  80. 제77항에 있어서,
    상기 모델들은 상기 캘리브레이션 정보에 기초하는, 간섭 방법.
  81. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 장치로서,
    동공 평면을 가진 간섭 대물 렌즈를 포함하는 간섭계;
    광원;
    전자 검출기;
    검출기의 서로 다른 영역들이 테스트 광에 의해 조사된 테스트 물체의 테스트 표면의 서로 다른 조사 각들에 대응하도록, 결합된 광의 적어도 일부를 검출기로 향하게 하도록 구성된 하나 이상의 광학 기기― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―; 및
    상기 검출기에 결합된 전자 프로세서
    를 포함하며,
    상기 광원 및 상기 간섭계는 쾰러 조사(Koehler illumination)가 아닌 동공 평면의 조사를 제공하도록 구성되며; 그리고
    상기 전자 프로세서는 상기 검출기에 의해 측정된 정보에 기초한 데이터와 상기 테스트 물체에 대한 복수의 모델에 기초한 데이터와의 비교에 기초하여, 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 검출기에 의해 측정된 정보를 처리하도록 구성되며,
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는, 장치.
  82. 제81항에 있어서,
    상기 동공 평면의 조사는 임계 조사인, 장치.
  83. 제81항에 있어서,
    상기 간섭계 및 상기 광원은 광에 상호 코히어런스 기능(mutual coherence function)을 제공하도록 구성되며, 상기 상호 코히어런스 기능은 상기 동공 평면 상에서 공간적으로 분리된 포인트들의 하나 이상의 쌍(pair)에 있어서 제로보다 큰, 장치.
  84. 제81항에 있어서,
    상기 간섭계 및 상기 광원은 상기 광원이 상기 동공 평면에 촬상되지 않도록 상기 광원으로부터 상기 동공 평면으로 광을 향하게 하도록 구성된, 장치.
  85. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 방법으로서,
    테스트 광을 형성하도록 간섭계 대물 렌즈의 동공 평면의 조사를 제공하는 단계;
    이에 의해 상기 간섭계 대물 렌즈에 상기 테스트 광 및 기준광을 제공하되, 상기 조사는 쾰러 조사가 아닌, 상기 테스트 광 및 기준광을 제공하는 단계 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―; 및
    조사 각의 범위에 걸쳐 테스트 광을 테스트 물체의 테스트 표면으로 향하게 하는 단계;
    이어서 간섭 패턴을 형성하도록 상기 테스트 광을 기준광과 결합하되, 상기 테스트 광과 상기 기준광은 공통의 광원으로부터 도출되는, 상기 테스트 광을 기준광과 결합하는 단계;
    다중-요소 검출기의 서로 다른 요소들이 상기 테스트 광에 의해 상기 테스트 표면의 서로 다른 조사 각들에 대응하도록 상기 결합된 광의 적어도 일부를 상기 다중-요소 검출기로 향하게 하는 단계;
    상기 검출기의 서로 다른 요소들에 대응하는 간섭 신호들을 측정하는 단계;
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관련된 정보를 결정하기 위해, 상기 간섭 신호들로부터 도출될 수 있는 정보와 상기 테스트 물체의 복수의 모델에 대응하는 정보를 비교하는 단계; 및
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보를 출력하는 단계
    를 포함하며,
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는 방법.
  86. 제85항에 있어서,
    상기 조사를 제공하는 단계는 임계 조사를 제공하는 단계를 포함하는, 방법.
  87. 제85항에 있어서,
    상기 조사를 제공하는 단계는 광에 상호 코히어런스 기능(mutual coherence function)을 제공하도록 구성되며, 상기 상호 코히어런스 기능은 상기 동공 평면 상에서 공간적으로 분리된 포인트들의 하나 이상의 쌍(pair)에 있어서 제로보다 큰, 방법.
  88. 제85항에 있어서,
    상기 조사를 제공하는 단계는 상기 광원이 상기 동공 평면에 촬상되지 않도록 상기 광원으로부터 상기 동공 평면으로 광을 향하게 하는 단계를 포함하는, 방법.
  89. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 방법으로서,
    간섭계를 이용하여 테스트 데이터를 얻되, 상기 테스트 데이터는 테스트 물체 상의 테스트 광의 서로 다른 입사각들에 대한 테스트 물체로부터의 테스트 광의 반사도, 테스트 광의 서로 다른 파장들, 및 테스트 표면 상의 테스트 광의 서로 다른 방위 각들에 관한 정보를 포함하며, 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함하며, 상기 테스트 데이터는 서로 다른 파장들 및 입사각들에서의 테스트 데이터에 관련된 위상 관계를 만족하며, 상기 위상 관계는 상기 간섭계와 관련해서 테스트 물체의 위치에 의존하는, 상기 테스트 데이터를 얻는 단계;
    하나 이상의 모델 데이터 세트를 제공하는 단계 ― 여기서, 각각의 모델 데이터 세트는 대응하는 모델 물체로부터의 광의 반사도를 특징지음 ―;
    상기 위상 관계를 만족하는 매칭 모델 데이터 세트를 선택하기 위해, 상기 테스트 데이터와 상기 하나 이상의 모델 데이터 세트를 비교하는 단계; 및
    상기 선택된 모델 데이터 세트에 대응하는 상기 모델 테스트 물체에 기초하여 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관한 정보를 결정하는 단계
    를 포함하고,
    상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 상기 정보는 상기 격자 피치 또는 상기 측방 임계 치수에 대한 값을 포함하는 것을 특징으로 하는 방법.
  90. 제89항에 있어서,
    상기 위상 관계는 상기 간섭계로부터 상기 테스트 물체의 거리에 의존하는, 방법.
  91. 제89항에 있어서,
    상기 위상 관계는
    Figure 112009043420051-pct00051
    를 필요로 하며,
    여기서,
    Figure 112009043420051-pct00052
    Figure 112009043420051-pct00053
    는 테스트 광의 서로 다른 파장이며,
    Figure 112009043420051-pct00054
    Figure 112009043420051-pct00055
    는 테스트 광의 서로 다른 입사각이며,
    Figure 112009043420051-pct00056
    Figure 112009043420051-pct00057
    는 테스트 광의 서로 다른 방위각이며,
    Figure 112009043420051-pct00058
    Figure 112009043420051-pct00059
    ,
    Figure 112009043420051-pct00060
    Figure 112009043420051-pct00061
    에서 테스트 데이터로부터 도출된 양이며,
    Figure 112009043420051-pct00062
    Figure 112009043420051-pct00063
    ,
    Figure 112009043420051-pct00064
    Figure 112009043420051-pct00065
    에서 모델화된 복합 반사도이며, i = 1, 2인, 방법.
  92. 제89항에 있어서,
    상기 간섭계는 다중-요소 검출기의 서로 다른 영역이 테스트 물체 상의 테스트 광의 서로 다른 입사각에 대응하도록, 간섭계의 동공 평면을 다중-요소 검출기로 촬상하는, 방법.
  93. 제89항에 있어서,
    상기 테스트 데이터를 얻는 단계는 상기 간섭계를 이용하여 측정된 하나 이상의 간섭 신호를 주파수 도메인으로 변환하는 단계를 포함하는, 방법.
  94. 제89항에 있어서,
    상기 모델 데이터는 서로 다른 입사각
    Figure 112009043420051-pct00066
    , 파장
    Figure 112009043420051-pct00067
    , 및 방위각
    Figure 112009043420051-pct00068
    에 대해 계산된 복합 반사도 값을 포함하는, 방법.
  95. 제89항에 있어서,
    상기 테스트 데이터와 상기 하나 이상의 모델 데이터 세트를 비교하는 단계는 서로 다른 입사각
    Figure 112014113034999-pct00069
    , 파장
    Figure 112014113034999-pct00070
    , 및 방위각
    Figure 112014113034999-pct00071
    에 대해 상기 테스트 데이터와 상기 모델 데이터 사이의 차분에 관한 가치 함수를 푸는 단계를 포함하는, 방법.
  96. 제95항에 있어서,
    상기 가치 함수는, 상기 모델 물체들의 적어도 하나의 구조 파라미터 및 상기 간섭계에 관련된 테스트 물체의 위치에 관한 스칼라
    Figure 112009043420051-pct00072
    에 의해 파라미터화되는, 방법.
  97. 제96항에 있어서,
    상기 적어도 하나의 구조 파라미터는 변조 깊이 또는 패턴화된 구조체의 요소 깊이인, 방법.
  98. 삭제
  99. 제89항에 있어서,
    서로 다른 모델 데이터 세트들은 서로 다른 해상 한계 이하의(under-resolved) 특징들을 갖는 모델 물체들에 대응하는, 방법.
  100. 제89항에 있어서,
    상기 모델 데이터는 정밀한 결합파 해석(rigorous coupled wave analysis)을 이용하여 계산적으로 발생되는, 방법.
  101. 제89항에 있어서,
    상기 하나 이상의 모델 데이터 세트는 상기 테스트 데이터를 얻는 단계 이전에 결정되는, 방법.
  102. 제89항에 있어서,
    제1 세트의 모델 데이터와 상기 테스트 데이터를 비교한 후 추가의 세트의 모델 데이터가 계산적으로 발생되는, 방법.
  103. 제89항에 있어서,
    상기 테스트 데이터와 상기 하나 이상의 모델 데이터 세트를 비교하는 단계는, 하나의 모델 데이터 세트와 상기 테스트 데이터의 이전의 비교에 기초하여 새로운 세트의 모델화된 데이터를 발생함으로써 반복 회귀를 수행하는 단계를 포함하는, 방법.
  104. 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징물에 관한 정보를 결정하기 위한 장치로서,
    테스트 광을 테스트 물체로 향하게 하고 이어서 상기 테스트 광을 기준광과 결합하여 간섭 패턴을 형성하도록 구성된 간섭계로서, 상기 테스트 광 및 상기 기준광은 공통의 광원으로부터 도출되는, 간섭계 ― 상기 테스트 물체는 격자 피치 또는 측방 임계 치수에 의해 특징지어지는 구조체를 포함함 ―;
    전자 검출기; 및
    상기 결합된 광의 적어도 일부를 상기 검출기로 향하게 하여, 상기 검출기의 서로 다른 영역들이 상기 테스트 광에 의해 상기 테스트 물체의 서로 다른 조사 각들에 대응하도록 구성된 하나 이상의 광학 기기; 및
    상기 검출기에 결합된 전자 프로세서
    를 포함하며,
    상기 전자 프로세서는,
    ⅰ) 간섭계를 이용하여 테스트 데이터를 얻되, 상기 테스트 데이터는 테스트 물체 상의 테스트 광의 서로 다른 입사각들에 대한 테스트 물체로부터의 테스트 광의 반사도, 테스트 광의 서로 다른 파장들, 및 테스트 표면 상의 테스트 광의 서로 다른 방위 각들에 관한 정보를 포함하며, 상기 테스트 데이터는 서로 다른 파장들 및 입사각들에서의 테스트 데이터에 관련된 위상 관계를 만족하며, 상기 위상 관계는 상기 간섭계와 관련해서 테스트 물체의 위치에 의존하며;
    ⅱ) 대응하는 모델 물체로부터의 광의 반사도를 각각 특징짓는 하나 이상의 모델 데이터 세트를 제공하며;
    ⅲ) 매칭 모델 데이터 세트를 선택하도록 상기 테스트 데이터와 상기 하나 이상의 모델 데이터 세트를 비교하되, 각각의 모델 데이터 세트는 대응하는 모델 물체로부터의 광의 반사도를 특징짓고 상기 매칭 모델 데이터 세트는 상기 위상 관계를 만족하며; 및
    ⅳ) 상기 선택된 모델 데이터 세트에 대응하는 상기 모델 테스트 물체에 기초하여 상기 테스트 물체의 하나 이상의 해상 한계 이하의(under-resolved) 특징들에 관한 정보를 결정하도록 구성되어 있는, 장치.
KR1020097014917A 2006-12-22 2007-12-21 표면 특징물의 특성을 측정하기 위한 장치 및 방법 KR101519932B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US87674806P 2006-12-22 2006-12-22
US60/876,748 2006-12-22
PCT/US2007/088708 WO2008080127A2 (en) 2006-12-22 2007-12-21 Apparatus and method for measuring characteristics of surface features

Publications (2)

Publication Number Publication Date
KR20090097938A KR20090097938A (ko) 2009-09-16
KR101519932B1 true KR101519932B1 (ko) 2015-05-13

Family

ID=39563252

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014917A KR101519932B1 (ko) 2006-12-22 2007-12-21 표면 특징물의 특성을 측정하기 위한 장치 및 방법

Country Status (5)

Country Link
US (1) US7924435B2 (ko)
EP (1) EP2097713A4 (ko)
JP (1) JP5502491B2 (ko)
KR (1) KR101519932B1 (ko)
WO (1) WO2008080127A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190072083A (ko) * 2017-12-15 2019-06-25 포항공과대학교 산학협력단 하이퍼렌즈를 이용한 초고해상도 스캐닝 현미경
KR20220015725A (ko) 2020-07-31 2022-02-08 서울대학교산학협력단 공간 광 변조기를 이용한 박막의 두께 및 물성 측정 시스템
KR102506393B1 (ko) * 2021-11-23 2023-03-06 (주)오로스 테크놀로지 분광 타원계를 사용한 다층 박막 시료의 물성 해석 방법

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7869057B2 (en) 2002-09-09 2011-01-11 Zygo Corporation Multiple-angle multiple-wavelength interferometer using high-NA imaging and spectral analysis
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
TW200604695A (en) * 2004-05-18 2006-02-01 Zygo Corp Methods and systems for determining optical properties using low-coherence interference signals
WO2006078718A1 (en) * 2005-01-20 2006-07-27 Zygo Corporation Interferometer for determining characteristics of an object surface
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
US8537366B2 (en) 2005-10-11 2013-09-17 Duke University Systems and methods for endoscopic angle-resolved low coherence interferometry
JP2007294763A (ja) * 2006-04-26 2007-11-08 Toshiba Corp 半導体装置の製造方法及び製造システム
JP5087864B2 (ja) * 2006-06-21 2012-12-05 富士通株式会社 膜厚予測プログラム、記録媒体、膜厚予測装置および膜厚予測方法
EP2043498A4 (en) * 2006-07-21 2010-12-29 Oncoscope Inc PROBE PROTECTION TIP, ESPECIALLY FOR A GLASS FIBER END USED IN AN ENDOSCOPIC APPLICATION
EP2097713A4 (en) 2006-12-22 2010-09-15 Zygo Corp DEVICE AND METHOD FOR MEASURING SURFACE PROPERTIES
DE102007023826A1 (de) * 2007-05-21 2008-11-27 Polytec Gmbh Verfahren und Vorrichtung zur berührungslosen Schwingungsmessung
AU2008298551A1 (en) * 2007-09-13 2009-03-19 Duke University Apparatuses, systems, and methods for low-coherence interferometry (LCI)
US8072611B2 (en) 2007-10-12 2011-12-06 Zygo Corporation Interferometric analysis of under-resolved features
KR101274517B1 (ko) 2007-11-13 2013-06-13 지고 코포레이션 편광 스캐닝을 이용한 간섭계
JP5290322B2 (ja) 2007-12-14 2013-09-18 ザイゴ コーポレーション 走査干渉法を使用した表面構造の解析
WO2009089344A1 (en) * 2008-01-08 2009-07-16 Oncoscope, Inc. Systems and methods for tissue examination, diagnostic, treatment, and/or monitoring
US8004688B2 (en) 2008-11-26 2011-08-23 Zygo Corporation Scan error correction in low coherence scanning interferometry
KR101005179B1 (ko) 2009-01-21 2011-01-04 (주)펨트론 광학적 간섭을 이용한 ocd 측정 방법 및 장치
US8107084B2 (en) * 2009-01-30 2012-01-31 Zygo Corporation Interference microscope with scan motion detection using fringe motion in monitor patterns
US9274001B2 (en) 2010-01-22 2016-03-01 Duke University Dual window processing schemes for spectroscopic optical coherence tomography (OCT) and fourier domain low coherence interferometry
US9823127B2 (en) 2010-01-22 2017-11-21 Duke University Systems and methods for deep spectroscopic imaging of biological samples with use of an interferometer and spectrometer
JP2011191285A (ja) * 2010-02-22 2011-09-29 Takaoka Electric Mfg Co Ltd 光が透過可能な材料の段差構造測定方法
DE102010026351B4 (de) * 2010-07-07 2012-04-26 Siltronic Ag Verfahren und Vorrichtung zur Untersuchung einer Halbleiterscheibe
US10124410B2 (en) 2010-09-25 2018-11-13 Ipg Photonics Corporation Methods and systems for coherent imaging and feedback control for modification of materials
DE11826290T1 (de) * 2010-09-25 2019-10-10 Ipg Photonics (Canada) Inc. Verfahren und systeme für kohärente bildgebung und rückkopplungssteuerung zum modifizieren von materialien
US20120089365A1 (en) * 2010-10-08 2012-04-12 Zygo Corporation Data interpolation methods for metrology of surfaces, films and underresolved structures
EP2641054A2 (en) * 2010-11-15 2013-09-25 Zygo Corporation Interferometer with a virtual reference surface
KR101186464B1 (ko) * 2011-04-13 2012-09-27 에스엔유 프리시젼 주식회사 Tsv 측정용 간섭계 및 이를 이용한 측정방법
WO2013096660A1 (en) * 2011-12-23 2013-06-27 Rudolph Technologies, Inc. On-axis focus sensor and method
TWI546518B (zh) * 2012-04-20 2016-08-21 德律科技股份有限公司 三維量測系統與三維量測方法
EP2662661A1 (de) 2012-05-07 2013-11-13 Leica Geosystems AG Messgerät mit einem Interferometer und einem ein dichtes Linienspektrum definierenden Absorptionsmedium
DE102012011315B4 (de) * 2012-06-04 2018-12-27 Carl Zeiss Ag Mikroskop und Verfahren zur Charakterisierung von Strukturen auf einem Objekt
KR102330743B1 (ko) * 2012-06-26 2021-11-23 케이엘에이 코포레이션 각도 분해형 반사율 측정에서의 스캐닝 및 광학 계측으로부터 회절의 알고리즘적 제거
RU2509718C1 (ru) * 2012-08-07 2014-03-20 Корпорация "САМСУНГ ЭЛЕКТРОНИКС Ко., Лтд." Оптическая измерительная система и способ измерения критического размера
KR101374479B1 (ko) * 2013-02-07 2014-03-14 (주)오로스 테크놀로지 다크 필드 조명 장치
WO2014138939A1 (en) 2013-03-13 2014-09-18 Queen's University At Kingston Methods and systems for characterizing laser machining properties by measuring keyhole dynamics using interferometry
US9696264B2 (en) * 2013-04-03 2017-07-04 Kla-Tencor Corporation Apparatus and methods for determining defect depths in vertical stack memory
US9335146B1 (en) 2014-01-29 2016-05-10 The United States Of America As Represented By The Secretary Of The Navy Dimensional measurement apparatus for a cylindrical object
US9651356B1 (en) 2014-01-29 2017-05-16 The United States Of America As Represented By The Secretary Of The Navy Measuremental evaluation of dimensional tolerancing compliance of a cylindrical object
KR102414277B1 (ko) * 2014-04-07 2022-06-29 노바 엘티디. 광학 위상 측정 방법 및 시스템
GB2529131B (en) * 2014-05-06 2019-06-05 Taylor Hobson Ltd Method and apparatus for characterising instrument error
US20180028059A1 (en) * 2015-03-24 2018-02-01 Forus Health Private Limited An apparatus for multi-mode imaging of eye
CN105865371B (zh) * 2016-06-08 2018-06-12 南京理工大学 一种基于互相关计算的白光干涉显微轮廓复原方法
TWI778072B (zh) 2017-06-22 2022-09-21 以色列商奧寶科技有限公司 用於在超高解析度面板中偵測缺陷之方法
JP6876576B2 (ja) * 2017-08-17 2021-05-26 日本電子株式会社 三次元像構築方法
EP3480659A1 (en) * 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
US10679353B2 (en) 2017-11-07 2020-06-09 Seagate Technology Llc Automated detection of artifacts in scan image
US10281268B1 (en) 2018-04-20 2019-05-07 Seagate Technology Llc Automated and accurate high-throughput slider-level flatness inspection
KR102680009B1 (ko) * 2018-09-07 2024-07-03 에스케이하이닉스 주식회사 반도체 패턴 계측 장치, 이를 이용한 반도체 패턴 계측 시스템 및 방법
US10852123B2 (en) * 2018-10-25 2020-12-01 Government Of The United States Of America, As Represented By The Secretary Of Commerce Apparatus for critical-dimension localization microscopy
CN111122568B (zh) 2018-11-01 2022-04-22 华中科技大学苏州脑空间信息研究院 一种高通量光学层析成像方法及成像系统
CN109828365B (zh) * 2019-02-25 2021-05-04 南京理工大学 Mirau型超分辨率干涉显微物镜
US11150195B2 (en) * 2019-09-25 2021-10-19 Onto Innovation Inc. Sample surface polarization modification in interferometric defect inspection
US20210109375A1 (en) * 2019-10-10 2021-04-15 Perfect Ip, Llc Ophthalmic optical testing system and method
KR102571018B1 (ko) * 2020-12-29 2023-08-25 중앙대학교 산학협력단 Cmp 연마패드 표면 거칠기 측정을 위한 장치 및 방법
US11852457B2 (en) * 2021-12-20 2023-12-26 GM Global Technology Operations LLC Contactless method for polymer coating thickness measurement

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060158659A1 (en) * 2005-01-20 2006-07-20 Xavier Colonna De Lega Interferometer for determining characteristics of an object surface
JP2007187655A (ja) 2005-12-23 2007-07-26 Jordan Valley Semiconductors Ltd Xrfを用いた層寸法の正確な測定

Family Cites Families (174)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2612074A (en) 1949-03-30 1952-09-30 Prec Mecanique Paris Soc Interferometer
US4199219A (en) 1977-04-22 1980-04-22 Canon Kabushiki Kaisha Device for scanning an object with a light beam
US4188122A (en) 1978-03-27 1980-02-12 Rockwell International Corporation Interferometer
US4340306A (en) 1980-02-04 1982-07-20 Balasubramanian N Optical system for surface topography measurement
US4355903A (en) 1980-02-08 1982-10-26 Rca Corporation Thin film thickness monitor
DE3145633A1 (de) 1981-11-17 1983-08-11 Byk-Mallinckrodt Chemische Produkte Gmbh, 4230 Wesel Vorrichtung zur farbmessung
JPS58208610A (ja) 1982-05-17 1983-12-05 ブリティッシュ・テクノロジー・グループ・リミテッド 物体の表面検査装置
US4523846A (en) 1982-09-10 1985-06-18 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Integrated optics in an electrically scanned imaging Fourier transform spectrometer
JPS60127403A (ja) 1983-12-13 1985-07-08 Anritsu Corp 厚み測定装置
US4618262A (en) 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
US4710642A (en) 1985-08-20 1987-12-01 Mcneil John R Optical scatterometer having improved sensitivity and bandwidth
US4639139A (en) 1985-09-27 1987-01-27 Wyko Corporation Optical profiler using improved phase shifting interferometry
US4818110A (en) 1986-05-06 1989-04-04 Kla Instruments Corporation Method and apparatus of using a two beam interference microscope for inspection of integrated circuits and the like
US4806018A (en) 1987-07-06 1989-02-21 The Boeing Company Angular reflectance sensor
US4869593A (en) 1988-04-22 1989-09-26 Zygo Corporation Interferometric surface profiler
US4923301A (en) 1988-05-26 1990-05-08 American Telephone And Telegraph Company Alignment of lithographic system
US4964726A (en) 1988-09-27 1990-10-23 General Electric Company Apparatus and method for optical dimension measurement using interference of scattered electromagnetic energy
US4948253A (en) 1988-10-28 1990-08-14 Zygo Corporation Interferometric surface profiler for spherical surfaces
GB8903725D0 (en) 1989-02-18 1989-04-05 Cambridge Consultants Coherent tracking sensor
US5042949A (en) 1989-03-17 1991-08-27 Greenberg Jeffrey S Optical profiler for films and substrates
US4999014A (en) 1989-05-04 1991-03-12 Therma-Wave, Inc. Method and apparatus for measuring thickness of thin films
US5042951A (en) 1989-09-19 1991-08-27 Therma-Wave, Inc. High resolution ellipsometric apparatus
US5073018A (en) 1989-10-04 1991-12-17 The Board Of Trustees Of The Leland Stanford Junior University Correlation microscope
DE3942896A1 (de) 1989-12-23 1991-06-27 Zeiss Carl Fa Interferometrischer sensor zur messung von abstandsaenderungen einer kleinen flaeche
US5112129A (en) 1990-03-02 1992-05-12 Kla Instruments Corporation Method of image enhancement for the coherence probe microscope with applications to integrated circuit metrology
US5135307A (en) 1990-05-30 1992-08-04 Hughes Danbury Optical System, Inc. Laser diode interferometer
US5241369A (en) 1990-10-01 1993-08-31 Mcneil John R Two-dimensional optical scatterometer apparatus and process
US5129724A (en) 1991-01-29 1992-07-14 Wyko Corporation Apparatus and method for simultaneous measurement of film thickness and surface height variation for film-substrate sample
US5164790A (en) 1991-02-27 1992-11-17 Mcneil John R Simple CD measurement of periodic structures on photomasks
DE69231715D1 (de) 1991-03-04 2001-04-12 At & T Corp Herstellungsverfahren von integrierten Halbleiterschaltungen unter Anwendung von latenten Bildern
US5153669A (en) 1991-03-27 1992-10-06 Hughes Danbury Optical Systems, Inc. Three wavelength optical measurement apparatus and method
US5194918A (en) 1991-05-14 1993-03-16 The Board Of Trustees Of The Leland Stanford Junior University Method of providing images of surfaces with a correlation microscope by transforming interference signals
US5173746A (en) 1991-05-21 1992-12-22 Wyko Corporation Method for rapid, accurate measurement of step heights between dissimilar materials
US5133601A (en) 1991-06-12 1992-07-28 Wyko Corporation Rough surface profiler and method
US5204734A (en) 1991-06-12 1993-04-20 Wyko Corporation Rough surface profiler and method
JPH05304627A (ja) 1991-08-19 1993-11-16 Fuji Photo Film Co Ltd ビデオカメラのサイドグリップ
US5181080A (en) 1991-12-23 1993-01-19 Therma-Wave, Inc. Method and apparatus for evaluating the thickness of thin films
US5390023A (en) 1992-06-03 1995-02-14 Zygo Corporation Interferometric method and apparatus to measure surface topography
US5402234A (en) 1992-08-31 1995-03-28 Zygo Corporation Method and apparatus for the rapid acquisition of data in coherence scanning interferometry
US5384717A (en) 1992-11-23 1995-01-24 Ford Motor Company Non-contact method of obtaining dimensional information about an object
US5398113A (en) 1993-02-08 1995-03-14 Zygo Corporation Method and apparatus for surface topography measurement by spatial-frequency analysis of interferograms
US5777742A (en) 1993-03-11 1998-07-07 Environmental Research Institute Of Michigan System and method for holographic imaging with discernible image of an object
US5386119A (en) 1993-03-25 1995-01-31 Hughes Aircraft Company Apparatus and method for thick wafer measurement
JPH074922A (ja) 1993-06-21 1995-01-10 Jasco Corp 半導体多層薄膜膜厚測定装置およびその測定方法
US5412473A (en) * 1993-07-16 1995-05-02 Therma-Wave, Inc. Multiple angle spectroscopic analyzer utilizing interferometric and ellipsometric devices
EP0767361B1 (en) 1993-07-22 2000-02-23 Applied Spectral Imaging Ltd. Method and apparatus for spectral imaging
US5856871A (en) 1993-08-18 1999-01-05 Applied Spectral Imaging Ltd. Film thickness mapping using interferometric spectral imaging
US5481811A (en) 1993-11-22 1996-01-09 The Budd Company Universal inspection workpiece holder
US5483064A (en) 1994-01-21 1996-01-09 Wyko Corporation Positioning mechanism and method for providing coaxial alignment of a probe and a scanning means in scanning tunneling and scanning force microscopy
US5459564A (en) 1994-02-18 1995-10-17 Chivers; James T. Apparatus and method for inspecting end faces of optical fibers and optical fiber connectors
US5471303A (en) 1994-04-29 1995-11-28 Wyko Corporation Combination of white-light scanning and phase-shifting interferometry for surface profile measurements
US5633714A (en) 1994-12-19 1997-05-27 International Business Machines Corporation Preprocessing of image amplitude and phase data for CD and OL measurement
US5555471A (en) 1995-05-24 1996-09-10 Wyko Corporation Method for measuring thin-film thickness and step height on the surface of thin-film/substrate test samples by phase-shifting interferometry
US5589938A (en) 1995-07-10 1996-12-31 Zygo Corporation Method and apparatus for optical interferometric measurements with reduced sensitivity to vibration
US5703692A (en) 1995-08-03 1997-12-30 Bio-Rad Laboratories, Inc. Lens scatterometer system employing source light beam scanning means
US5748318A (en) 1996-01-23 1998-05-05 Brown University Research Foundation Optical stress generator and detector
US5602643A (en) 1996-02-07 1997-02-11 Wyko Corporation Method and apparatus for correcting surface profiles determined by phase-shifting interferometry according to optical parameters of test surface
US5640270A (en) 1996-03-11 1997-06-17 Wyko Corporation Orthogonal-scanning microscope objective for vertical-scanning and phase-shifting interferometry
US5880838A (en) 1996-06-05 1999-03-09 California Institute Of California System and method for optically measuring a structure
JP3459327B2 (ja) 1996-06-17 2003-10-20 理化学研究所 積層構造体の層厚および屈折率の測定方法およびその測定装置
US5923423A (en) 1996-09-12 1999-07-13 Sentec Corporation Heterodyne scatterometer for detecting and analyzing wafer surface defects
US5956141A (en) 1996-09-13 1999-09-21 Olympus Optical Co., Ltd. Focus adjusting method and shape measuring device and interference microscope using said focus adjusting method
US5757502A (en) 1996-10-02 1998-05-26 Vlsi Technology, Inc. Method and a system for film thickness sample assisted surface profilometry
US5774224A (en) 1997-01-24 1998-06-30 International Business Machines Corporation Linear-scanning, oblique-viewing optical apparatus
US5777740A (en) 1997-02-27 1998-07-07 Phase Metrics Combined interferometer/polarimeter
US5867276A (en) 1997-03-07 1999-02-02 Bio-Rad Laboratories, Inc. Method for broad wavelength scatterometry
US5784164A (en) 1997-03-20 1998-07-21 Zygo Corporation Method and apparatus for automatically and simultaneously determining best focus and orientation of objects to be measured by broad-band interferometric means
JP3275797B2 (ja) 1997-09-10 2002-04-22 松下電器産業株式会社 低圧水銀蒸気放電ランプ
US6031615A (en) 1997-09-22 2000-02-29 Candela Instruments System and method for simultaneously measuring lubricant thickness and degradation, thin film thickness and wear, and surface roughness
US6392749B1 (en) 1997-09-22 2002-05-21 Candela Instruments High speed optical profilometer for measuring surface height variation
US6665078B1 (en) 1997-09-22 2003-12-16 Candela Instruments System and method for simultaneously measuring thin film layer thickness, reflectivity, roughness, surface profile and magnetic pattern in thin film magnetic disks and silicon wafers
US20020015146A1 (en) 1997-09-22 2002-02-07 Meeks Steven W. Combined high speed optical profilometer and ellipsometer
US5912741A (en) 1997-10-10 1999-06-15 Northrop Grumman Corporation Imaging scatterometer
US5963329A (en) 1997-10-31 1999-10-05 International Business Machines Corporation Method and apparatus for measuring the profile of small repeating lines
US5900633A (en) 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample
US6124141A (en) 1998-01-07 2000-09-26 International Business Machines Corporation Non-destructive method and device for measuring the depth of a buried interface
US6028670A (en) 1998-01-19 2000-02-22 Zygo Corporation Interferometric methods and systems using low coherence illumination
US5953124A (en) 1998-01-19 1999-09-14 Zygo Corporation Interferometric methods and systems using low coherence illumination
US6407816B1 (en) 1998-02-23 2002-06-18 Zygo Corporation Interferometer and method for measuring the refractive index and optical path length effects of air
US6483580B1 (en) 1998-03-06 2002-11-19 Kla-Tencor Technologies Corporation Spectroscopic scatterometer system
DE19814057B4 (de) 1998-03-30 2009-01-02 Carl Zeiss Meditec Ag Anordnung zur optischen Kohärenztomographie und Kohärenztopographie
US6242739B1 (en) 1998-04-21 2001-06-05 Alexander P. Cherkassky Method and apparatus for non-destructive determination of film thickness and dopant concentration using fourier transform infrared spectrometry
US6275297B1 (en) 1998-08-19 2001-08-14 Sc Technology Method of measuring depths of structures on a semiconductor substrate
JP2000121317A (ja) 1998-10-12 2000-04-28 Hitachi Electronics Eng Co Ltd 光干渉計の干渉位相検出方式
US6159073A (en) 1998-11-02 2000-12-12 Applied Materials, Inc. Method and apparatus for measuring substrate layer thickness during chemical mechanical polishing
JP3569726B2 (ja) 1998-12-15 2004-09-29 独立行政法人理化学研究所 試料の幾何学的厚さおよび屈折率測定装置およびその測定方法
US6184984B1 (en) 1999-02-09 2001-02-06 Kla-Tencor Corporation System for measuring polarimetric spectrum and other properties of a sample
KR100290086B1 (ko) 1999-03-23 2001-05-15 윤덕용 백색광주사간섭법을 이용한 투명한 박막층의 3차원 두께 형상 측정 및 굴절률 측정 방법 및 그 기록매체
US6449066B1 (en) 1999-04-29 2002-09-10 Kaiser Optical Systems, Inc. Polarization insensitive, high dispersion optical element
US6888638B1 (en) 1999-05-05 2005-05-03 Zygo Corporation Interferometry system having a dynamic beam steering assembly for measuring angle and distance
TW477897B (en) 1999-05-07 2002-03-01 Sharp Kk Liquid crystal display device, method and device to measure cell thickness of liquid crystal display device, and phase difference plate using the method thereof
US6507405B1 (en) 1999-05-17 2003-01-14 Ultratech Stepper, Inc. Fiber-optic interferometer employing low-coherence-length light for precisely measuring absolute distance and tilt
US6249351B1 (en) 1999-06-03 2001-06-19 Zygo Corporation Grazing incidence interferometer and method
US6381009B1 (en) 1999-06-29 2002-04-30 Nanometrics Incorporated Elemental concentration measuring methods and instruments
US6160621A (en) 1999-09-30 2000-12-12 Lam Research Corporation Method and apparatus for in-situ monitoring of plasma etch and deposition processes using a pulsed broadband light source
US6259521B1 (en) 1999-10-05 2001-07-10 Advanced Micro Devices, Inc. Method and apparatus for controlling photolithography parameters based on photoresist images
JP3642996B2 (ja) 1999-11-18 2005-04-27 独立行政法人科学技術振興機構 光干渉法による測定対象物の屈折率と厚さの同時測定方法及びそのための装置
US6545761B1 (en) 1999-11-30 2003-04-08 Veeco Instruments, Inc. Embedded interferometer for reference-mirror calibration of interferometric microscope
WO2001059402A2 (en) 2000-01-25 2001-08-16 Zygo Corporation Optical systems for measuring form and geometric dimensions of precision engineered parts
JP4673955B2 (ja) 2000-03-24 2011-04-20 オリンパス株式会社 光学装置
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
LU90580B1 (fr) 2000-05-08 2001-11-09 Europ Economic Community M-thode d'identification d'un objet
US6449048B1 (en) 2000-05-11 2002-09-10 Veeco Instruments, Inc. Lateral-scanning interferometer with tilted optical axis
US6597460B2 (en) 2000-05-19 2003-07-22 Zygo Corporation Height scanning interferometer for determining the absolute position and surface profile of an object with respect to a datum
US6417109B1 (en) 2000-07-26 2002-07-09 Aiwa Co., Ltd. Chemical-mechanical etch (CME) method for patterned etching of a substrate surface
EP1303778A2 (en) * 2000-07-27 2003-04-23 Zetetic Institute Differential interferometric scanning near-field confocal microscopy
AU2001279048A1 (en) 2000-07-27 2002-02-13 Zetetic Institute Multiple-source arrays with optical transmission enhanced by resonant cavities
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
AU2001295060A1 (en) 2000-09-20 2002-04-02 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6798511B1 (en) 2000-10-18 2004-09-28 Regents Of The University Of Minnesota Imaging ellipsometry
ATE464534T1 (de) 2000-11-02 2010-04-15 Zygo Corp Verfahren und vorrichtung zur höhenabtastenden interferometrie mit phasendifferenz-analyse
US6633389B1 (en) 2000-11-28 2003-10-14 Nanometrics Incorporated Profiling method
US6909509B2 (en) 2001-02-20 2005-06-21 Zygo Corporation Optical surface profiling systems
US6721094B1 (en) 2001-03-05 2004-04-13 Sandia Corporation Long working distance interference microscope
US6624894B2 (en) 2001-06-25 2003-09-23 Veeco Instruments Inc. Scanning interferometry with reference signal
US7382447B2 (en) * 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
JP3742319B2 (ja) * 2001-07-24 2006-02-01 松下電器産業株式会社 膜厚測定装置および膜厚測定方法
US6867866B1 (en) 2001-08-10 2005-03-15 Therma-Wave, Inc. CD metrology analysis using green's function
US6741357B2 (en) 2001-08-14 2004-05-25 Seagate Technology Llc Quadrature phase shift interferometer with unwrapping of phase
CN1556914A (zh) 2001-09-21 2004-12-22 Kmac株式会社 利用二维反射计测量多层薄膜的厚度轮廓和折射率分布的装置及其测量方法
US6714307B2 (en) 2001-10-16 2004-03-30 Zygo Corporation Measurement of complex surface shapes using a spherical wavefront
KR100437024B1 (ko) 2001-10-18 2004-06-23 엘지전자 주식회사 박막 검사 방법 및 그 장치
US6630982B2 (en) 2001-10-18 2003-10-07 Motorola, Inc. Color and intensity tunable liquid crystal device
US7030995B2 (en) 2001-12-10 2006-04-18 Zygo Corporation Apparatus and method for mechanical phase shifting interferometry
US6856384B1 (en) * 2001-12-13 2005-02-15 Nanometrics Incorporated Optical metrology system with combined interferometer and ellipsometer
US6934035B2 (en) 2001-12-18 2005-08-23 Massachusetts Institute Of Technology System and method for measuring optical distance
US7385707B2 (en) 2002-03-14 2008-06-10 Taylor Hobson Limited Surface profiling apparatus
GB2385417B (en) 2002-03-14 2004-01-21 Taylor Hobson Ltd Surface profiling apparatus
US7068376B2 (en) 2002-04-19 2006-06-27 Zygo Corporation Interferometry method and apparatus for producing lateral metrology images
KR100984809B1 (ko) 2002-05-02 2010-10-04 지고 코포레이션 주사 간섭계를 위한 위상 갭 분석
DE10392828T5 (de) 2002-06-17 2005-07-21 Zygo Corp., Middlefield Interferometrieverfahren und -systeme mit gekoppelter Hohlraumgeometrie zur Verwendung mit einer erweiterten Quelle
DE10392754T5 (de) 2002-06-17 2005-08-25 Zygo Corp., Middlefield Interferometrisches optisches System und Verfahren, die eine optische Pfadlänge und einen Fokus bzw. Brennpunkt liefern, die gleichzeitig abgetastet werden
US6882433B2 (en) 2002-07-01 2005-04-19 Lightgage, Inc. Interferometer system of compact configuration
US7139081B2 (en) * 2002-09-09 2006-11-21 Zygo Corporation Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US6925860B1 (en) 2003-02-21 2005-08-09 Nanometrics Incorporated Leveling a measured height profile
US7106454B2 (en) * 2003-03-06 2006-09-12 Zygo Corporation Profiling complex surface structures using scanning interferometry
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US7271918B2 (en) 2003-03-06 2007-09-18 Zygo Corporation Profiling complex surface structures using scanning interferometry
US6985232B2 (en) 2003-03-13 2006-01-10 Tokyo Electron Limited Scatterometry by phase sensitive reflectometer
US7049156B2 (en) 2003-03-19 2006-05-23 Verity Instruments, Inc. System and method for in-situ monitor and control of film thickness and trench depth
US6999180B1 (en) 2003-04-02 2006-02-14 Kla-Tencor Technologies Corporation Optical film topography and thickness measurement
DE10327019A1 (de) 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US7102761B2 (en) 2003-06-13 2006-09-05 Zygo Corporation Scanning interferometry
US6956716B2 (en) 2003-07-30 2005-10-18 Hitachi Global Storage Technologies Netherlands, B.V. Magnetic head having multilayer heater for thermally assisted write head and method of fabrication thereof
FI20031143A0 (fi) 2003-08-08 2003-08-08 Wallac Oy Optinen fokusointimenetelmä ja -järjestely
US7061623B2 (en) 2003-08-25 2006-06-13 Spectel Research Corporation Interferometric back focal plane scatterometry with Koehler illumination
ATE421716T1 (de) 2003-09-15 2009-02-15 Zygo Corp Oberflächen-triangulation und -profilierung
TWI335417B (en) 2003-10-27 2011-01-01 Zygo Corp Method and apparatus for thin film measurement
US7283248B2 (en) 2004-01-06 2007-10-16 Zygo Corporation Multi-axis interferometers and methods and systems using multi-axis interferometers
US20050179911A1 (en) 2004-02-17 2005-08-18 Digital Optics Corporation Aspheric diffractive reference for interferometric lens metrology
US7492469B2 (en) 2004-03-15 2009-02-17 Zygo Corporation Interferometry systems and methods using spatial carrier fringes
EP1743138A2 (en) * 2004-04-19 2007-01-17 Arist Instruments, Inc. Beam profile complex reflectance system and method for thin film and critical dimension measurements
US7277183B2 (en) 2004-04-22 2007-10-02 Zygo Corporation Vibration resistant interferometry
US7177030B2 (en) 2004-04-22 2007-02-13 Technion Research And Development Foundation Ltd. Determination of thin film topography
TW200604695A (en) 2004-05-18 2006-02-01 Zygo Corp Methods and systems for determining optical properties using low-coherence interference signals
US7119909B2 (en) 2004-06-16 2006-10-10 Veeco Instruments, Inc. Film thickness and boundary characterization by interferometric profilometry
US20060012582A1 (en) 2004-07-15 2006-01-19 De Lega Xavier C Transparent film measurements
US20060045327A1 (en) * 2004-08-16 2006-03-02 New Wave Research, Inc. Optical turret and method of use
US20060066842A1 (en) 2004-09-30 2006-03-30 Saunders Winston A Wafer inspection with a customized reflective optical channel component
US7483133B2 (en) * 2004-12-09 2009-01-27 Kla-Tencor Technologies Corporation. Multiple angle of incidence spectroscopic scatterometer system
US7884947B2 (en) 2005-01-20 2011-02-08 Zygo Corporation Interferometry for determining characteristics of an object surface, with spatially coherent illumination
JP2006214856A (ja) 2005-02-03 2006-08-17 Canon Inc 測定装置及び方法
TWI394930B (zh) 2005-05-19 2013-05-01 Zygo Corp 取得薄膜結構資訊之低同調干涉信號的分析方法及裝置
US7595891B2 (en) 2005-07-09 2009-09-29 Kla-Tencor Corporation Measurement of the top surface of an object with/without transparent thin films in white light interferometry
US7566517B1 (en) * 2005-07-12 2009-07-28 Kla-Tencor Technologies Corp. Feature printability optimization by optical tool
WO2007044786A2 (en) 2005-10-11 2007-04-19 Zygo Corporation Interferometry method and system including spectral decomposition
EP1946412A2 (en) 2005-10-11 2008-07-23 Clear Align LLC Apparatus and method for generating short optical pulses
US7408649B2 (en) 2005-10-26 2008-08-05 Kla-Tencor Technologies Corporation Method and apparatus for optically analyzing a surface
US20070127036A1 (en) 2005-12-07 2007-06-07 Chroma Ate Inc. Interference measurement system self-alignment method
US7612891B2 (en) 2005-12-15 2009-11-03 Veeco Instruments, Inc. Measurement of thin films using fourier amplitude
US7522288B2 (en) 2006-07-21 2009-04-21 Zygo Corporation Compensation of systematic effects in low coherence interferometry
EP2097713A4 (en) 2006-12-22 2010-09-15 Zygo Corp DEVICE AND METHOD FOR MEASURING SURFACE PROPERTIES

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060158659A1 (en) * 2005-01-20 2006-07-20 Xavier Colonna De Lega Interferometer for determining characteristics of an object surface
JP2007187655A (ja) 2005-12-23 2007-07-26 Jordan Valley Semiconductors Ltd Xrfを用いた層寸法の正確な測定

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190072083A (ko) * 2017-12-15 2019-06-25 포항공과대학교 산학협력단 하이퍼렌즈를 이용한 초고해상도 스캐닝 현미경
KR102005258B1 (ko) * 2017-12-15 2019-07-30 포항공과대학교 산학협력단 하이퍼렌즈를 이용한 초고해상도 스캐닝 현미경
KR20220015725A (ko) 2020-07-31 2022-02-08 서울대학교산학협력단 공간 광 변조기를 이용한 박막의 두께 및 물성 측정 시스템
KR102430925B1 (ko) * 2020-07-31 2022-08-09 서울대학교산학협력단 공간 광 변조기를 이용한 박막의 두께 및 물성 측정 시스템
KR102506393B1 (ko) * 2021-11-23 2023-03-06 (주)오로스 테크놀로지 분광 타원계를 사용한 다층 박막 시료의 물성 해석 방법

Also Published As

Publication number Publication date
JP5502491B2 (ja) 2014-05-28
JP2010515027A (ja) 2010-05-06
US20080174784A1 (en) 2008-07-24
KR20090097938A (ko) 2009-09-16
EP2097713A2 (en) 2009-09-09
US7924435B2 (en) 2011-04-12
WO2008080127A3 (en) 2008-09-04
WO2008080127A2 (en) 2008-07-03
EP2097713A4 (en) 2010-09-15

Similar Documents

Publication Publication Date Title
KR101519932B1 (ko) 표면 특징물의 특성을 측정하기 위한 장치 및 방법
JP5654636B2 (ja) 光学的に未処理の表面特徴の特性を測定する干渉計及び方法
TWI409451B (zh) 用於檢測物體表面之特性的干涉系統、干涉裝置以及干涉方法
KR101195101B1 (ko) 간섭 측정을 위한 모델 신호 발생
KR101321861B1 (ko) 광학적으로 분석되지 않은 표면 형상의 특징을 측정하는방법 및 간섭계

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180503

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190503

Year of fee payment: 5