KR101943593B1 - 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템 - Google Patents

공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템 Download PDF

Info

Publication number
KR101943593B1
KR101943593B1 KR1020137029524A KR20137029524A KR101943593B1 KR 101943593 B1 KR101943593 B1 KR 101943593B1 KR 1020137029524 A KR1020137029524 A KR 1020137029524A KR 20137029524 A KR20137029524 A KR 20137029524A KR 101943593 B1 KR101943593 B1 KR 101943593B1
Authority
KR
South Korea
Prior art keywords
overlay
metering
targets
quality
generated
Prior art date
Application number
KR1020137029524A
Other languages
English (en)
Other versions
KR20140031894A (ko
Inventor
다니엘 칸델
가이 코헨
다나 클라인
블라디미르 레빈스키
노암 사피엔스
알렉스 셜먼
블라디미르 카메네츠키
에란 아밋
이리나 바크스테인
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20140031894A publication Critical patent/KR20140031894A/ko
Application granted granted Critical
Publication of KR101943593B1 publication Critical patent/KR101943593B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량(metrology) 타겟으로부터 복수의 오버레이(overlay) 계량 측정 신호를 획득하는 단계; 복수의 오버레이 알고리즘을 이용하여, 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하는 단계; 복수의 오버레이 추정치 분포를 생성하는 단계; 및 상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하는 단계를 포함한다. 여기서, 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 대응하는 생성된 오버레이 추정치 분포의 폭의 함수이고, 또, 각 품질 메트릭은 관련 메트릭 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수이다.

Description

공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템{METHOD AND SYSTEM FOR PROVIDING A QUALITY METRIC FOR IMPROVED PROCESS CONTROL}
본 발명은 일반적으로 반도체 웨이퍼 제조 시의 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하는 방법 및 시스템에 관한 것이다.
관련 출원의 상호 인용
본 출원은 하기의 출원("관련 출원")으로부터의 최초 출원일의 우선권을 주장한다(예컨대, 임시 특허출원 이외의 다른 출원에 대한 최초 우선일을 주장하며, 또는 임시 특허출원, 관련 출원의 모든 모출원, 조출원, 증조출원 등에 대해 35 USC §119(e)에 따른 우선권을 주장한다),
관련 출원
USPTO 추가 법정 요건을 충족하기 위해, 본 출원은 미국 임시 특허출원(발명의 명칭: METHODS TO REDUCE SYSTEMATIC BIAS IN OVERLAY METROLOGY OR LITHOGRAPHY PROCESS CONTROL, 발명자: Daniel Kandel, Guy Cohen, Vladimir Levinski, Noam Sapiens, 출원일: 2011년 4월 6일, 출원번호: 61/472,545)의 정규(비임시) 특허출원을 구성한다.
USPTO 추가 법정 요건을 충족하기 위해, 본 출원은 미국 임시 특허출원(발명의 명칭: METHODS TO REDUCE SYSTEMATIC BIAS IN OVERALY METROLOGY OR LITHOGRAPHY PROCESS CONTROL, 발명자: Daniel Kandel, Guy Cohen, Vladimir Levinski, Noam Sapiens, Alex Shulman, Vladimir Kamenetsky, 출원일: 2011년 4월 11일, 출원번호: 61/474,167)의 정규(비임시) 특허출원을 구성한다.
USPTO 추가 법정 요건을 충족하기 위해, 본 출원은 미국 임시 특허출원(발명의 명칭: METHODS FOR CALCULATING CORRECTABLES WITH BETTER ACCURACY, 발명자: Guy Cohen, Eran Amit, Dana Klein, 출원일: 2011년 7월 20일, 출원번호: 61/509,842)의 정규(비임시) 특허출원을 구성한다.
USPTO 추가 법정 요건을 충족하기 위해, 본 출원은 미국 임시 특허출원(발명의 명칭: METHODS FOR CALCULATING CORRECTABLES WITH BETTER ACCURACY, 발명자: Guy Cohen, Dana Klein, Eran Amit, 출원일: 2012년 2월 10일, 출원번호: 61/597,504)의 정규(비임시) 특허출원을 구성한다.
USPTO 추가 법정 요건을 충족하기 위해, 본 출원은 미국 임시 특허출원(발명의 명칭: METHODS FOR CALCULATING CORRECTABLES USING A QUALITY METRIC, 발명자: Daniel Kandel, Vladimir Levinski, Noam Sapiens, Guy Cohen, Dana Klein, Eran Amit, Irina Vakshtein, 출원일: 2012년 2월 13일, 출원번호: 61/598,140)의 정규(비임시) 특허출원을 구성한다.
로직 디바이스나 메모리 디바이스와 같은 반도체 장치의 제조는 통상적으로 반도체 장치의 여러 가지 피처(feature)와 복수의 층을 형성하기 위해 다수의 반도체 제조 공정을 이용하여 반도체 웨이퍼와 같은 기판을 처리하는 것을 포함한다. 예컨대, 리소그래피는 패턴을 레티클로부터 반도체 웨이퍼 상에 배치된 레지스트로 전사하는 것과 관련한 반도체 제조 공정이다. 반도체 제조 공정의 추가 예로는 화학적 기계적 연마(CMP), 에칭, 증착, 이온 주입 등이 있다. 단일 반도체 웨이퍼 상에는복수의 반도체 장치가 소정 배치로 제조된 다음에 개별적인 반도체 장치로 분리될 수 있다.
반도체 제조 공정 중의 여러 단계에서는 계량(metrology) 공정을 이용하여 하나 이상의 반도체층 공정을 모니터하고 제어한다. 예컨대, 어떤 공정 단계 중에 웨이퍼 상에 형성된 피처의 치수(예컨대, 선폭, 두께 등)와 같은 웨이퍼의 한 가지 이상의 특성을 측정하는데 계량 공정이 이용되며, 이 공정 단계의 품질은 그 한 가지 이상의 특성을 측정하여 결정될 수 있다. 그와 같은 특성 중 한 가지는 오버레이 에러이다. 오버레이 측정은 일반적으로 제1 패턴층이 그 위 또는 아래에 배치된 제2 패턴층과 정확하게 정렬되는 방법을 규정하는 방법, 또는 제1 패턴이 동일층 상에 배치된 제2 패턴과 정확하게 정렬되는 방법을 규정한다. 오버레이 에러는 통상적으로 피가공물(예컨대, 반도체 웨이퍼)의 하나 이상의 층에 형성된 구조물을 가진 오버레이 타겟으로 결정된다. 이 구조물은 격자 형태를 취할 수 있으며, 이들 격자는 주기적일 수 있다. 2개의 층 또는 패턴이 적절하게 형성된다면, 한 층 또는 패턴 상의 구조물은 다른 층 또는 패턴 상의 구조물에 대해 정렬되는 경향이 있다. 2개의 층 또는 패턴이 적절하게 형성되지 않으면, 한 층 또는 패턴 상의 구조물은 다른 층 또는 패턴 상의 구조물에 대해 오프셋 또는 오정렬되는 경향이 있다. 오버레이 에러는 반도체 집적 회로 제조의 여러 단계에서 이용된 패턴들 간의 오정렬이다. 종래, 다이 및 웨이퍼의 변동에 대한 이해는 고정된 샘플링에 한정되며, 따라서 오버레이 에러는 기지의 선택된 장소에 대해서만 검출된다.
더욱이, 오버레이 에러와 같은 웨이퍼의 측정된 특성이 허용될 수 없는 것이라면(예컨대, 그 특성의 소정 범위 밖에 있다면), 그 공정에 의해 제조된 추가적인 웨이퍼가 허용될 수 있는 특성을 갖도록 그 공정의 하나 이상의 파라미터를 변경하는데 하나 이상의 특성의 측정이 이용될 수 있다.
오버레이 에러의 경우, 오버레이 에러를 원하는 한계 내로 유지하기 위해 리소그래피 공정을 보정하는데 오버레이 측정이 이용될 수 있다. 예컨대, 웨이퍼 처리 공정에 이용되는 리소그래피 툴(tool)을 더 양호하게 정렬하기 위해 오퍼레이터가 이용할 수 있는 "커렉터블즈(correctables)" 및 기타 다른 통계치를 산출하는 분석 루틴에 오버레이 측정치가 입력될 수 있다.
그러므로, 계량 타겟 세트의 오버레이 에러를 가능한 정확하게 측정하는 것이 중요하다. 오버레이 계량 세트의 부정확성은 다양한 요인으로부터 생길 수 있다. 그 중 한 가지 요인은 오버레이 타겟에 존재하는 결함이다. 타겟 구조 비대칭성은 오버레이 측정 부정확성에 이르는 가장 중요한 형태의 타겟 결함들 중 하나이다. 타겟 결함의 계량 기술과의 상호 작용과 함께 오버레이 타겟 비대칭성은 오버레이 측정에 있어 비교적 큰 부정확성에 이를 수 있다. 따라서, 웨이퍼의 하나 이상의 오버레이 타겟에서 오버레이 타겟 비대칭성의 영향을 완화시키는데 적합한 시스템 및 방법이 요구된다.
반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 품질 메트릭(quality metric)을 제공하기 위한 컴퓨터-구현된 방법이 개시된다. 일 양상에서, 방법은 제1 측정 레시피를 이용하여, 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량(metrology) 타겟으로부터 복수의 오버레이(overlay) 계량 측정 신호를 획득하는 단계 - 각 오버레이 계량 측정 신호는 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - ; 복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하는 단계 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ; 상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포를 생성하는 단계; 및 상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하는 단계를 포함할 수 있으나, 이에 한정되는 것은 아니다. 여기서, 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 대응하는 생성된 오버레이 추정치 분포의 폭의 함수이고, 또, 각 품질 메트릭은 관련 메트릭 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수이다.
이 방법은 상기 복수의 계량 타겟에 대해 생성된 상기 복수의 품질 메트릭의 분포로부터 적어도 하나의 방향을 따라 선택된 아웃라이어(outlier) 레벨보다 큰 품질 메트릭을 가진 상기 복수의 계량 타겟 중 하나 이상의 계량 타겟을 식별하는 단계; 상기 복수의 계량 타겟으로부터 선택된 아웃라이어 레벨 이상으로 벗어난 품질 메트릭을 가진 상기 식별된 하나 이상의 계량 타겟을 제외한 보정된(corrected) 복수의 계량 타겟을 결정하는 단계; 및 상기 결정된 보정된 복수의 계량 타겟을 이용하여 커렉터블즈(correctables) 세트를 산출하는 단계를 더 포함할 수 있다.
추가적으로, 이 방법은 적어도 하나의 추가적인 측정 레시피를 이용하여, 상기 웨이퍼 로트 중의 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 적어도 추가적인 복수의 오버레이 계량 측정 신호를 획득하는 단계 - 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각은 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - ; 상기 복수의 오버레이 알고리즘을 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각에 적용함으로써 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각에 대한 적어도 추가적인 복수의 오버레이 추정치를 결정하는 단계 - 상기 적어도 추가적인 복수의 오버레이 추정치 각각은 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ; 상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 적어도 추가적인 복수의 오버레이 추정치 분포를 생성하는 단계; 상기 생성된 적어도 추가적인 복수의 오버레이 추정치 분포를 이용하여 적어도 추가적인 복수의 품질 메트릭을 생성하는 단계 - 상기 적어도 추가적인 품질 메트릭 각각은 상기 생성된 적어도 추가적인 복수의 오버레이 추정치 분포 중 하나에 대응하고, 상기 적어도 추가적인 품질 메트릭 각각은 상기 적어도 추가적인 복수의 오버레이 추정치 분포 중 대응하는 생성된 오버레이 추정치 분포의 폭의 함수임 - ; 및 상기 제1 측정 레시피와 연관된 상기 제1의 복수의 품질 메트릭의 분포를 상기 적어도 하나의 추가적인 측정 레시피와 연관된 상기 적어도 추가적인 복수의 품질 메트릭의 분포와 비교함으로써 공정 측정 레시피를 결정하는 단계를 포함할 수 있다.
다른 양상에서, 방법은 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드의 하나 이상의 계량 타겟으로부터 계량 측정 신호를 획득하는 단계; 복수의 오버레이 알고리즘을 상기 획득된 오버레이 계량 측정 신호에 적용함으로써 복수의 오버레이 추정치를 결정하는 단계 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ; 상기 복수의 오버레이 추정치를 이용하여 오버레이 추정치 분포를 생성하는 단계; 및 상기 생성된 오버레이 추정치 분포를 이용하여 상기 하나 이상의 계량 타겟에 대한 품질 메트릭을 생성하는 단계를 포함할 수 있으나, 이에 한정되는 것은 아니다. 여기서, 상기 품질 메트릭은 상기 생성된 오버레이 추정치 분포의 폭의 함수이고, 상기 품질 메트릭은 비대칭 오버레이 측정 신호에 대해 논-제로(non-zero)가 되도록 구성되고, 상기 품질 메트릭은 상기 생성된 오버레이 추정치 분포의 폭의 함수이고, 상기 품질 메트릭은 관련 메트릭 타겟으로부터 획득된 계량 측정 신호에 존재하는 비대칭성의 함수이다.
공정 툴 커렉터블즈(process tool correctables) 세트를 제공하는 컴퓨터-구현된 방법이 개시된다. 다른 양상에서, 방법은 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟 각각에 대한 오버레이 계량 결과를 획득하는 단계; 각 획득된 오버레이 계량 결과와 연관된 품질 메트릭을 획득하는 단계; 각 계량 타겟에 대해 상기 획득된 오버레이 계량 결과와 그 관련 품질 메트릭 결과를 이용하여 상기 복수의 계량 타겟에 대한 복수의 변경된 오버레이 값을 결정하는 단계 - 변경된 오버레이 함수는 적어도 하나의 재료 파라미터 팩터의 함수임 - ; 복수의 재료 파라미터 팩터에 대한 커렉터블즈 세트와 상기 커렉터블즈 세트와 대응하는 잔차(residuals) 세트를 산출하는 단계; 상기 잔차 세트를 적어도 실질적으로 최소화하는데 적합한 상기 재료 파라미터 팩터의 값을 결정하는 단계; 및 상기 적어도 실질적으로 최소화된 잔차 세트와 연관된 커렉터블즈 세트를 식별하는 단계를 포함할 수 있으나, 이에 한정되는 것은 아니다.
공정 툴 커렉터블즈의 변동을 식별하기 위한 컴퓨터-구현된 방법이 개시된다. 일 양상에서, 방법은 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟 각각에 대한 오버레이 계량 결과를 획득하는 단계; 각 획득된 오버레이 계량 결과와 연관된 품질 메트릭을 획득하는 단계; 각 계량 타겟에 대해 상기 획득된 오버레이 계량 결과와 품질 함수를 이용하여 상기 복수의 계량 타겟에 대한 복수의 변경된 오버레이 값을 결정하는 단계 - 상기 품질 함수는 각 계량 타겟의 상기 획득된 품질 메트릭의 함수임 - ; 상기 복수의 변경된 오버레이 값을 이용하여 상기 복수의 계량 타겟의 상기 획득된 오버레이 계량 결과와 그 관련 품질 메트릭의 동일한 크기의 복수의 무작위 선택된 샘플링 각각에 대해 공정 툴 커렉터블즈 세트를 결정함으로써 복수의 공정 툴 커렉터블즈 세트를 생성하는 단계; 및 상기 복수의 공정 툴 커렉터블즈 세트의 변동을 식별하는 단계를 포함할 수 있으나, 이에 한정되는 것은 아니다.
계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법이 개시된다. 일 양상에서, 방법은 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호를 획득하는 단계 - 각 오버레이 계량 측정 신호는 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - ; 복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하는 단계 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ; 상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포를 생성하는 단계; 상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하는 단계 - 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 또한 관련 메트릭 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수임 - ; 및 상기 복수의 계량 타겟의 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획을 생성하는 단계를 포함할 수 있으나, 이에 한정되는 것은 아니다.
공정 시그너처(signature) 맵핑을 제공하기 위한 컴퓨터-구현된 방법이 개시된다. 일 양상에서, 방법은 레티클 상에 복수의 프록시 타겟을 형성하는 단계; 웨이퍼 상에 복수의 장치 상관 타겟을 형성하는 단계; 상기 웨이퍼의 리소그래피 공정 후 제1 에칭 공정 전에 상기 복수의 프록시 타겟으로부터 획득된 제1 계량 결과 세트와 상기 웨이퍼의 제1 에칭 공정 후에 상기 복수의 프록시 타겟으로부터 획득된 적어도 제2 계량 결과 세트를 비교함으로써 상기 웨이퍼 상의 위치의 함수로서의 제1 공정 시그너처를 결정하는 단계; 상기 제1 공정 시그너처를 특정 공정 경로와 상관시키는 단계; 상기 웨이퍼의 상기 복수의 장치 상관 타겟에 대해 제1 계량 측정 세트를 수행함으로써 상기 제1 에칭 공정 후에, 계량 구조와 상기 웨이퍼의 장치 간의 바이어스(bias)인 장치 상관 바이어스를 측정하는 단계; 상기 웨이퍼의 각 추가적인 공정층과 각 추가적인 비리소그래피 공정 경로에 대한 추가적인 에칭 시그너처를 상기 웨이퍼 상의 위치의 함수로서 결정하는 단계; 상기 웨이퍼의 각 추가적인 공정층과 각 추가적인 비리소그래피 공정 경로에 따라서 추가적인 장치 상관 바이어스를 측정하는 단계; 및 상기 결정된 제1 에칭 시그너처, 추가적인 에칭 시그너처 각각, 상기 측정된 제1 장치 상관 바이어스, 및 각 추가적인 장치 상관 바이어스를 이용하여 공정 시그너처 맵 데이터베이스를 생성하는 단계를 포함할 수 있으나, 이에 한정되는 것은 아니다.
반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하기 위한 시스템이 개시된다. 일 양상에서, 시스템은 제1 측정 레시피를 이용하여, 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호 - 각 오버레이 계량 측정 신호는 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - 를 획득하도록 구성된 계량 시스템; 및 복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하고 - 각 오버레이 계량 특정 신호는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨, 상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포를 생성하고, 상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하도록 구성된 컴퓨팅 시스템을 포함할 수 있으나, 이에 한정되는 것은 아니다. 여기서, 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 대응하는 생성된 오버레이 추정치 분포의 폭의 함수이고, 또, 각 품질 메트릭은 관련 메트릭 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수이다.
상기 개괄적 설명과 하기의 상세한 설명은 예시적이고 설명적인 것일 뿐이고 반드시 본 발명을 한정하는 것이 아님을 알아야 한다. 본 명세서에 포함되어 그 일부를 구성하는 첨부도면은 본 발명의 실시예를 예시하며, 상기 개괄적 설명과 함께 본 발명의 원리를 설명하기 위한 것이다.
당업자라면 첨부도면을 참조하면 본 발명의 여러 가지 이점을 더 잘 이해할 수 있을 것이다.
도 1a는 본 발명의 일 실시예에 따른, 대칭적 타겟 구조를 가진 계량 타겟의 횡단면도.
도 1b는 본 발명의 일 실시예에 따른, 비대칭적 타겟 구조를 가진 계량 타겟의 횡단면도.
도 2는 본 발명의 일 실시예에 따른, 비대칭적 타겟 구조를 가진 계량 타겟의 횡단면도로서, 하나 이상의 초점을 가진 조명의 영향을 보여주는 도.
도 3은 본 발명의 일 실시예에 따른, 비대칭적 타겟 구조를 가진 계량 타겟의 횡단면도로서, 하나 이상의 파장을 가진 조명의 영향을 보여주는 도.
도 4a는 본 발명의 일 실시예에 따른, 복수의 파장에서 대칭적 타겟 구조로부터 얻은 모델링된 데이터를 보여주는 도.
도 4b는 본 발명의 일 실시예에 따른, 복수의 파장에서 비대칭적 타겟 구조로부터 얻은 모델링된 데이터를 보여주는 도.
도 5는 본 발명의 일 실시예에 따른, 반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하는데 적합한 시스템의 블록도.
도 6은 본 발명의 일 실시예에 따른, 반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 방법의 개념도.
도 7a는 본 발명의 일 실시예에 따른, 반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 방법의 흐름도.
도 7b는 본 발명의 일 실시예에 따른, 복수의 필드를 가진 반도체 웨이퍼의 평면도.
도 7c는 본 발명의 일 실시예에 따른, 웨이퍼의 복수의 필드 각각과 함께 복수의 계량 타겟을 가진 반도체 웨이퍼의 평면도.
도 8a는 본 발명의 일 실시예에 따른, 웨이퍼의 표면 상의 위치의 함수로서의 모델링된 오버레이 부정확성 데이터 세트를 보여주는 도.
도 8b는 본 발명의 일 실시예에 따른, 복수의 계량 타겟으로부터 얻은 모델링된 품질 메트릭 데이터 세트를 보여주는 도.
도 9는 본 발명의 다른 실시예에 따른, 계량 타겟 아웃라이어 제거 방법의 흐름도.
도 10은 본 발명의 다른 실시예에 따른, 오버레이 측정 레시피 증강 방법의 흐름도.
도 11은 본 발명의 일 실시예에 따른, 2개의 상이한 파장에서 복수의 계량 타겟으로부터 얻은 모델링된 품질 메트릭 데이터 세트를 보여주는 도.
도 12a는 본 발명의 다른 실시예에 따른, 공정 툴 커렉터블즈 산출 방법의 흐름도.
도 12b는 본 발명의 다른 실시예에 따른, 오버레이 잔차를 파라미터 팩터 α의 함수로서 묘사한 데이터 세트를 보여주는 도.
도 13은 본 발명의 다른 실시예에 따른, 공정 툴 커렉터블즈 세트의 변동을 식별하는 방법의 흐름도.
도 14는 본 발명의 다른 실시예에 따른, 하나 이상의 계량 샘플링 계획을 생성하는 방법의 흐름도.
도 15a 내지 도 15c는 본 발명의 다른 실시예에 따른, 저품질 타겟 제거의 가변 레벨에서 품질 메트릭 클라우드 데이터를 묘사한 데이터 세트를 보여주는 도.
도 16a 내지 도 16d는 본 발명의 다른 실시예에 따른, 저품질 타겟 제거의 가변 레벨에서 잔차 데이터와 R2 데이터를 묘사한 데이터 세트를 보여주는 도.
도 17a 및 도 17b는 본 발명의 다른 실시예에 따른, 각각 저품질 타겟 대체가 있는 품질 메트릭 클라우드 데이터와 저품질 타겟 대체가 없는 품질 메트릭 클라우드 데이터를 묘사한 데이터 세트를 보여주는 도.
도 18a 및 도 18b는 본 발명의 다른 실시예에 따른, 각각 저품질 타겟 대체가 있는 잔차 데이터 및 R2 데이터와 저품질 타겟 대체가 없는 잔차 데이터 및 R2 데이터를 묘사한 데이터 세트를 보여주는 도.
도 19는 본 발명의 다른 실시예에 따른, 복수의 타겟 품질 존의 평면도.
도 20a는 본 발명의 다른 실시예에 따른, 리소그래피 제어 루프의 블록도.
도 20b는 본 발명의 다른 실시예에 따른, 공정 시그너처 맵핑을 제공하는 방법의 흐름도.
도 20c는 본 발명의 다른 실시예에 따른, 웨이퍼 상의 위치의 함수로서의 후리소그래피/후에칭 바이어스의 개념도.
도 20d는 본 발명의 다른 실시예에 따른, 계량 구조와 장치 간의 바이어스를 정량화하도록 수행된 장치 상관 계량의 개념도.
도 20e는 본 발명의 다른 실시예에 따른, 공정 시그너처 맵퍼가 구비된 리소그래피 제어 루프의 블록도.
도 20f는 본 발명의 다른 실시예에 따른, 공정 시그너처 맵퍼 커렉터블즈를 생성하는 방법의 흐름도.
이하, 첨부도면에 도시된 본 발명의 청구대상에 대해 상세히 설명한다.
도 1a 내지 도 9를 참조로 개괄적으로 설명하면, 본 발명에 따라서 반도체 제조 공정에서 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하는 방법과 시스템이 설명된다. 오버레이 부정확성은 여러 가지 요인으로부터 나온다. 그 중 한 가지 요인은 하나 이상의 샘플링된 오버레이 계량 타겟의 비대칭적 타겟 구조(예컨대, 하부 타겟층 또는 상부 타겟층)의 존재를 포함한다. 오버레이 타겟 비대칭성이 존재하면 그 오버레이 타겟의 측정에서 기하학적 모호성이 생길 수 있다. 기하학적 오버레이 모호성이 생기면 오버레이 계량 공정 자체와의 비선형적 상호 작용을 통한 계통적인 에러 증강이 생길 수 있다. 그 결과, (10nm 정도의) 상당한 오버레이 부정확성이 생길 수 있다. 본 발명은 샘플링된 반도체 웨이퍼의 여러 가지 계량 타겟으로부터 얻은 각 오버레이 측정 신호와 연관된 오버레이 부정확성을 계량화하도록 구성된 품질 메트릭을 제공하는 방법 및 시스템에 관한 것이다. 또한, 본 발명은 이 품질 메트릭을 이용하여 아웃라이어(outlier) 타겟 제거와 계량 레시피 개선 또는 최적화를 통해 공정 제어를 개선하는 것에 관한 것이다.
품질 메트릭 생성과 분석에 이어지는 본 발명의 계량 측정은 반도체 웨이퍼에 대해 소정 공정을 수행하는데 이용되는 관련 공정 툴을 보정하는데 이용되는 "커렉터블즈(correctables)"로 알려진 보정치를 산출하는데 이용될 수 있다.
본 발명에서 사용된 용어 "커렉터블"은 일반적으로 오버레이 수행에 대한 후속 리소그래피 패터닝의 제어를 개선하기 위해 리소그래피 툴 또는 스캐너 툴의 정렬을 보정하는데 이용될 수 있는 데이터를 말한다. 일반적으로, 커렉터블즈는 공정 툴 정렬을 개선하기 위해 피드백과 피드포워드를 제공함으로써 웨이퍼 공정이 미리 정해진 원하는 한계 내에서 진행될 수 있도록 할 수 있다.
본 발명에서 사용된 용어 "계량 시나리오"는 계량 툴과 계량 타겟의 특정 조합을 말한다. 그러나, 주어진 계량 시나리오 내에는 계량 측정이 수행될 수 있는 광범위한 잠재적인 계량 설정이 있다.
본 발명에서 사용된 용어 "웨이퍼"는 일반적으로 반도체 또는 비반도체 재료로 구성된 기판을 말한다. 예컨대, 반도체 또는 비반도체 재료는 단결정 실리콘, 갈륨 비소 및 인듐 인화물을 포함하나 이에 한정되는 것은 아니다. 웨이퍼는 하나 이상의 층을 포함할 수 있다. 예컨대, 그와 같은 층은 레지스트, 유전체 재료, 도전 재료 및 반도체성 재료를 포함할 수 있으나 이에 한정되는 것은 아니다. 본 기술 분야에서는 다른 많은 형태의 그와 같은 층이 공지되어 있으며, 여기서 사용된 용어 "웨이퍼"는 모든 형태의 그와 같은 층이 형성될 수 있는 웨이퍼를 포괄하는 것이다.
통상적인 반도체 공정은 로트 단위의 웨이퍼 처리를 포함한다. 여기서 사용된 용어 "로트"는 동시에 처리되는 웨이퍼 그룹(예컨대 25개 웨이퍼의 그룹)이다. 로트 내의 각 웨이퍼는 리소그래피 공정 툴(예컨대, 스텝퍼, 스캐너 등)로부터의 많은 노출 필드로 구성된다. 각 필드 내에는 복수의 다이가 존재할 수 있다. 다이는 최종적으로 단일 칩이 되는 기능적 단위이다. 제품 웨이퍼 상에는, 통상적으로 스크라이브라인(scribeline) 영역에(예컨대, 필드의 4개 코너에)오버레이 계량 타겟이 배치된다. 이 영역은 통상적으로 노출 필드의 외주변 주위에(그리고 다이 바깥에) 회로가 없는 영역이다. 어떤 경우에는, 다이 사이에 있으나 필드의 외주변에는 있지 않은 영역인 스트리트(street)에 오버레이 타겟이 배치된다. 오버레이 타겟이 프라임 다이 영역 내의 제품 웨이퍼 상에 배치되는 것은 매우 드문 경우인데, 이는 이 영역이 회로에 꼭 필요하기 때문이다. 그러나, 엔지니어링 및 특성화 웨이퍼(제품 웨이퍼가 아님)는 통상적으로 그와 같은 한계와 관련없는 필드의 중심 도처에 많은 오버레이 타겟을 갖고 있다.
웨이퍼 상에 형성된 하나 이상의 층은 패터닝될 수도 되지 않을 수도 있다. 예컨대, 웨이퍼는 각각이 반복가능한 패터닝된 피처(feature)를 가진 복수의 다이를 포함할 수 있다. 최종적으로 그와 같은 재료층을 형성하고 처리함으로써 완성된 장치가 제조될 수 있다. 웨이퍼 상에는 많은 상이한 형태의 장치가 형성될 수 있으며, 여기서 사용된 용어 "웨이퍼"는 본 기술 분야에 공지된 임의 형태의 장치가 제조되는 웨이퍼를 포괄하는 것이다.
도 1a와 도 1b는 각각 대칭적 계량 타겟과 비대칭적 계량 타겟의 횡단면도이다. 도 1a와 도 1b의 계량 타겟은 제1 층(예컨대, 공정층) 타겟 구조와 제2 층(예컨대, 레지스트층) 타겟 구조를 포함할 수 있다. 예컨대, 도 1a에 도시된 바와 같이, 오버레이 계량 타겟(100)은 공정층 구조(104)와 이에 대응하는 레지스트층 타겟 구조(102)를 포함할 수 있다. 더욱이, 계량 타겟(100)의 대칭성으로 인해, 제1 층(예컨대, 공정층) 타겟(104)과 제2 층(예컨대, 레지스트층) 타겟과 연관된 오버레이(106)가 잘 정의된다(102). 따라서, 이상화된 계량 타겟(100)의 대응 오버레이 계량 측정에는 모호성이 없다. 이와 대조적으로, 도 1b는 비대칭도를 가진 타겟 구조(112)를 포함하는 비이상적계량 타겟(110)을 보여준다. 여기서는, 타겟(110)은 대칭적 공정층 타겟 구조(114)와 비대칭적 레지스트층 타겟 구조(112)를 포함한다. 레지스트층 타겟 구조(112)의 비대칭성은 타겟 구조(112)의 벽각(wall angle)(116a, 116b)이 똑 같지 않기(즉, 좌벽각(116a)은 90°이나 우벽각(116a)은 90°가 아니기) 때문에 생긴다. 결과적으로, 타겟(110)의 공정층 구조(114)는 명확한 대칭 중심을 갖고 있지만, 타겟(110)의 레지스트층 구조(112)는 명확한 대칭 중심이 없다. 양 층간의 이러한 대칭성 차로 인해 레지스트층 구조(112)에 기하학적 모호성이 생기게 된다. 예컨대, 레지스트층 구조(112)의 상단(118a)에 대해 정의된 오버레이는 레지스트층 구조(112)의 하단(118b)에 대해 정의된 오버레이와 다르다. 비대칭적 레지스트층 구조(112)와 연관된 이 모호성으로 인해 명확하지 않는 오버레이(116)가 생긴다. 또한, 주어진 계량 측정 툴이 오버레이 마크 비대칭에 민감하다면, 도 1b에 도시된 것과 같은 비대칭성의 존재로 인해 측정 신호의 비대칭성이 커지게 될 수 있고, 그 결과, 오버레이 측정 부정확성이 생기게 될 수 있음에 유의한다.
본 기술 분야에서는 계량 툴 설정은 계량 측정의 결과에 영향을 미칠 수 있는 것으로 알려져 있다. 따라서, 측정된 오버레이는 단순히 논의 중인 층들에 속하는 구조들 간의 시프트에 의해서만 결정되는 것은 아니다. 첫 번째 예로서, 다른 측정 초점면이 선택되면, 측정 결과는 계통적으로 달라질 수 있다. 두 번째 예로서, 다른 조명 스펙트럼이 측정에 이용되면, 역시 측정 결과가 계통적으로(즉, 조명 선택에 따라 비무작위적으로(non-randomly) 달라질 수 있다. 이러한 효과는 적어도 2개의 소스에 기인할 수 있다. 첫 번째 소스는 계량 타겟 자체에 관련된다. 예컨대, 도 2에 도시된 바와 같이, 타겟 프로파일이 비대칭적이라면, 계량 시스템의 초점면에서의 시프트에 따라 측정 결과에서 명백한 측방 시프트가 생길 것이다. 이런 식으로, 제1 초점거리 F1과 연관된 조명은 상부층 타겟 구조(202)의 상면과 강하게 상호작용할 수 있으며, 초점거리 F2를 가진 조명은 하부층 타겟 구조(202)의 하면에서 강하게 상호작용할 수 있다. 그 결과, 상부 구조(202)와 하부 구조(204) 간의 오버레이 측정(206)은 대응하는 오버레이 모호성을 포함할 수 있다.
이와 달리, 도 3에 도시된 바와 같이, 매립층 중의 비대칭적 타겟 구조와 결합된 폴리실리콘 또는 카본 하드마스크와 같이(이에 한정되는 것은 아님) 스펙트럼 종속 흡수 특성을 가진 층이 있다면, 측정된 오버레이는 조명 스펙트럼에 따라 달라질 수 있다. 이런 식으로, 당해 특정 재료과 입사 조명에 따라서, 제1 파장과 연관된 조명은 그 재료층을 제1 깊이 dλ1만큼만 투과할 수 있고, 제2 파장의 조명은 더 큰 깊이 dλ2로 투과할 수 있다. 이러한 차이로 인해, 서로 다른 조명은 서로 다른 방식으로 하부층의 타겟 구조(304)와 상호작용할 것이다. 따라서, 상부 구조(302)와 하부 구조(304) 간의 오버레이 구조(304)는 대응하는 오버레이 모호성(308)을 포함할 수 있다. 뒤에 더 자세히 설명하겠지만, 본 발명의 일 양상은 오버레이 측정 결과를 최적화하거나 적어도 개선하는 측정 레시피의 파라미터 세트를 식별하는데 적합한 시스템과 방법을 제공하는 것이다.
계량 시스템이 명목상 완벽하고 계량 결과에 툴 유도 시프트나 다른 형태의 계통적 바이어스(bias)를 유발하지 않더라도 이러한 모호성은 존재함에 유의한다. 스캐테로메트리(scatterometry) 계량에서 특히 중요한 추가적인 타겟 관련 특성은 계량이 대개는 계량 타겟 내의 하나 이상의 셀에 대해 수행된다는 사실과 관계있다. 셀간 변동성과 연관된 계량 모호성도 여기서 설명된 방법에 의해 추정된다. 조명 비대칭성의 소스는 i) 이전 층과 현재 층의 측벽각 비대칭성; ii) 현재 층과 이전 층의 높이차; iii) 측정된 층과 아래 층 간의 중간 층들의 높이차; 및 iv) 국소 결함으로 인한 변동(이에 한정되는 것은 아님)을 포함할 수 있다.
하기 설명은 비대칭 유도 오버레이 오버레이 정확성에 대한 이론적인 설명이다. 이미징 기반 오버레이 계량의 경우에, 비대칭성을 가진 타겟층에 대응하는 수집 영상 부분은 하기와 같이 기술될 수 있다.
Figure 112013101247418-pct00001
여기서, a0, a+1, a+1, ...는 영상을 형성하는데 이용되는 신호의 전기장의 회절 차수의 진폭이고, φ0, φ+1, φ+1, ...는 영상을 형성하는데 이용된 신호의 위상이다. 신호 대칭의 추정은 하기와 같이 표현될 수 있다.
Figure 112013101247418-pct00002
전기장의 위상이 신호의 기하학적 중심을 결정하므로, 위상 대칭의 붕괴는 기하학적 오버레이 모호성에 해당한다. 더욱이, 진폭 a+n과 a-n의 대칭의 붕괴는 기하학적 모호성을 훨씬 넘을 수 있는 오버레이 부정확성에 이른다. 예컨대, 측정 에러의 대부분이 제1 회절 차수로부터 나오는 경우에는 오버레이 부정확성 Δ는 하기와 같이 표현된다.
Figure 112013101247418-pct00003
여기서, α는 계량 구성과 연관된 하나 이상의 재료 파라미터(예컨대, 파장, 초점, 조명각 등)의 함수이다. 수학식 3에서 첫 째 항은 기하학적 모호성을 나타낸다. 적당한 오버레이 타겟 설계를 이용하면 기하학적 모호성은 1nm 미만이 될 수 있는 것으로 예상된다. 게다가, 도 3의 둘 째 항은 주어진 계량 기술의 오버레이 타겟 비대칭성에 대한 감도와 연관된 추가적인 부정확성을 나타낸다. 어떤 재료 파라미터에 대해서는 α는 10 정도의 값일 수 있는데, 이 경우, 도 3의 둘 째 항은 5nm 이상의 오버레이 부정확성으로 나타난다.
간략화를 위해 주어진 오버레이 타겟의 비대칭성은 오버레이 타겟의 단 한 층(예컨대, 공정층이나 레지스트층)에만 존재한다고 가정한다. 또, 타겟 구조는 본래 주기 P로 주기적이라고 가정한다. 그러나, 양 타겟 층에 비대칭성이 존재하고 타겟이 비주기적이라도 유사한 결과가 달성될 수 있다고 할 것이다.
회절 기반 오버레이(DBO) 계량의 경우, 오버레이 마크는 게이팅 오버 그레이팅(gating-over-grating) 구조들로 이루어지며, 상기 추정에 따라서 이 구조들 중 하나는 대칭적이고 다른 구조는 비대칭적이다. 오버레이는 +1st 회절 차수와 -1st 회절 차수 간의 차이로서 산출된 신호로부터 추출될 수 있다. 이 차동 신호는 하기와 같이 표현될 수 있다.
Figure 112013101247418-pct00004
여기서, an,m은 비대칭 그레이팅으로부터의 n차 회절 차수와 대칭 그레이팅으로부터의 m차 회절 차수로 이루어진, 그레이팅 오버 그레이팅 마크로부터의 (n+m)차 회절 차수의 진폭을 나타낸다. 이미징 기반 오버레이 계량과 마찬가지로, 신호 에러의 대부분이 비대칭 그레이팅으로부터의 1차 회절 차수로부터 생기는 경우에 부정확성 Δ는 하기와 같다.
Figure 112013101247418-pct00005
여기서, α는 계량 구성과 연관된 하나 이상의 재료 파라미터(예컨대, 파장, 초점, 조명각 등)에 따라 다르다. 또, 여기서, 첫 째 항은 잘 설계된 오버레이 마크에 대해 1nm 미만이 될 것으로 예상되는 기하학적 모호성에 대응한다. 둘 째 항은 모호성 이상의 부정확성을 나타낸다. DBO 계량의 경우, 둘 째 항은 10nm 이상의 크기에 이를 수 있다. 일반적인 의미에서, DBO 계량은 이미징 오버레이 계량보다 오버레이 마크 비대칭성에 더 민감할 수 있음에 유의한다. 여기서, 이는 이미징 기반 오버레이 계량의 경우에 측정된 신호가 넓은 범위의 파장과 각도에 걸쳐 평균된다는 사실에 기인할 수 있다. 파장과 각도가 다르면 부정확성도 달라지므로 이러한 평균화는 관측된 부정확성을 통계적으로 감소시키는 기능을 한다.
도 4a 및 도 4b는 조명 파장과 비대칭각이 타겟의 측정된 오버레이에 미치는 영향을 보여준다. 도 4a에 도시된 바와 같이, 대칭적 타겟의 경우에 조명 파장은 측정된 파장의 편차에 영향을 미치지 않는다. 대조적으로, 도 4b에 도시된 바와 같이, 조명 파장은 홈 워터(home water)의 경우에 측정된 오버레이에 큰 영향을 미친다.
도 5는 반도체 웨이퍼 제조 공정에서 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하는 시스템(500)을 도시한 것이다. 일 실시예에서, 시스템(500)은 반도체 웨이퍼(506)의 식별된 위치에서 오버레이 계량을 수행하도록 구성된 오버레이 계량 시스템(504)과 같은 계량 시스템(502)을 포함할 수 있다. 다른 실시예에서, 계량 시스템(502)은 지정된 계량 계획을 실시하기 위해 시스템(500)의 다른 서브시스템으로부터 명령을 받아들이도록 구성될 수 있다. 예컨대, 계량 시스템(502)은 시스템(500)의 하나 이상의 컴퓨팅 시스템(508)으로부터 명령을 받아들일 수 있다. 계량 시스템(502)은, 컴퓨팅 시스템(508)으로부터 명령을 수신하면, 제공된 명령에서 식별된 반도체 웨이퍼(506)의 위치에서 오버레이 계량을 수행할 수 있다. 뒤에 설명하겠지만, 컴퓨터 시스템(508)이 제공한 명령은 시스템(502)의 각 오버레이 측정과 연관된 하나 이상의 품질 메트릭을 생성하도록 구성된 품질 메트릭 생성기 알고리즘(512)을 포함할 수 있다.
도 6은 본 발명의 일 실시예에 따른 품질 메트릭 생성 공정의 개념도이다. 품질 메트릭 생성 공정(600)은 N개의 오버레이 추정치(예컨대, 오버레이 추정치 1, 오버레이 추정치 2 및 오버레이 추정치 3)를 산출하기 위하여 N개의 오버레이 알고리즘(604)(예컨대, 오버레이 알고리즘 1, 오버레이 알고리즘 2 및 오버레이 알고리즘 3)을 하나 이상의 획득된(예컨대, 관련 계량 툴을 이용하여 획득된) 계량 신호(602)에 적용하는 단계를 포함할 수 있다. 그 다음, 이들 산출된 오버레이 추정치의 범위 또는 분포에 기초하여, 웨이퍼의 샘플링된 계량 타겟 각각에 대한 품질 메트릭(608)이 생성될 수 있다. 이런 의미에서, 각 오버레이 계량 타겟에 대해 구해진 품질 메트릭(608)은 오버레이 알고리즘 세트의 함수로서의 오버레이 결과의 변동의 척도 또는 추정치이다.
여기서, 본 발명의 품질 메트릭은 주어진 계량 타겟에 대한 관련 오버레이 결과의 정확도의 정량적 평가를 제공함에 유의한다. 이런 의미에서, 웨이퍼의 계량 타겟의 각 오버레이 값은 당해 타겟의 특정 오버레이 측정의 정확도에 관련된 대응 품질 메트릭을 수반한다. 더욱이, 본 발명의 품질 메트릭은 BiB, AIM, AIMid, Blossom 및 멀티레이어 AIMid와 같은(이에 한정되지 않음) 모든 이미징 계량 타겟에 적용될 수 있는 것으로 예상된다.
다시 도 5를 참조로 설명하면, 다른 양상에서, 품질 메트릭 생성기 알고리즘(512)의 결과는 다양한 목적으로 이용될 수 있음에 유의한다. 일 실시예에서, 시스템(500)은 오버레이 측정 레시피 최적화기(514)를 포함할 수 있다. 오버레이 측정 레시피 최적화기(514)는 최적의 또는 개선된 오버레이 측정 레시피를 산출하기 위해 본 발명의 생성된 품질 메트릭 세트를 입력으로 이용하도록 구성된 알고리즘이다. 이와 관련하여, 오버레이 측정 레시피 최적화기(514)는 오버레이 정확도를 최적화하는 계량 측정 레시피(예컨대, 조명 파장, 필터링 구성, 편광 구성, 조명각 등)를 결정하기 위해 측정된 계량 타겟 세트로부터 획득된 복수의 품질 메트릭 세트를 이용할 수 있다. 더욱이, 레시피 최적화기 알고리즘(514)의 결과는 그 웨이퍼 또는 웨이퍼 로트 중의 다른 웨이퍼에 대한 후속 오버레이 측정 시에 구현될 수 있다. 이런 의미에서, (레시피 최적화기(514)를 이용하여 산출된) 개선된 또는 최적화된 계량 레시피는 계량 시스템(502)에 피드백될 수 있다. 본 발명의 생성된 품질 메트릭을 이용한 레시피 최적화에 대해서는 뒤에 더 자세히 설명할 것이다.
다른 실시예에서, 시스템(500)은 계량 타겟 아웃라이어 제거기(516)를 포함할 수 있다. 계량 타겟 아웃라이어 제거기(516)는 본 발명의 생성된 품질 메트릭 세트를 입력으로 이용하여 아웃라이어 계량 타겟을 식별하여 제거하도록 구성된 알고리즘이다. 이와 관련하여, 아웃라이어 제거기(516)는 품질 메트릭값이 큰, 따라서 오버레이 부정확도가 큰 계량 타겟을 식별하고, 이어지는 공정 툴 커렉터블 산출을 위해 이 값을 폐기할 수 있다. 커렉터블 산출에서 아웃라이어 타겟의 제거는 정확도가 큰 타겟에 커렉터블 산출시 큰 가중치를 부여하여 커렉터블 산출을 개선하므로 유리하다. 본 발명의 생성된 품질 메트릭을 이용한 계량 타겟 아웃라이어 제거에 대해서는 뒤에 더 자세히 설명한다.
다른 실시예에서, 시스템(500)은 샘플링 계획 생성기(519)를 포함할 수 있다. 샘플링 계획 생성기(519)는 본 발명의 생성된 품질 메트릭 세트를 입력으로 이용하여 하나 이상의 오버레이 계량 샘플링 계획을 생성하도록 구성된 알고리즘이다. 이와 관련하여, 샘플링 계획 생성기(519)는 식별된 고품질 타겟에는 큰 가중치를 부여하고 저품질 계량 타겟에는 작은 가중치를 부여할 수 있는 서브샘플링 계획과 같은 샘플링 계획을 생성한다. 다른 양상에서, 샘플링 계획 생성기(519)는 식별된 저품질 타겟 그룹의 샘플링 레이트를 증가시킴으로써 저품질 타겟의 존재를 완화시키는 샘플링 계획을 생성할 수 있다. 본 발명의 생성된 품질 메트릭을 이용한 계량 샘플링 계획에 대해서는 뒤에 더 자세히 설명한다.
다른 실시예에서, 시스템(500)은 커렉터블즈 생성기(518)를 포함할 수 있다. 커렉터블즈 생성기(518)는 생성된 품질 메트릭을 이용하여 하나 이상의 공정 툴 커렉터블즈를 생성하도록 구성된 알고리즘이다. 컴퓨터 시스템(508)에 의해 산출된 커렉터블즈는 스캐너 툴이나 리소그래피 툴과 같은 시스템(500)의 공정 툴에 피드백될 수 있음에 유의한다. 또한, 커렉터블즈 생성기(518)는 공정 툴 커렉터블즈 세트를 산출하기 위해 본 발명의 다른 분석 루틴의 출력을 이용할 수 있음에 유의한다. 예컨대, 본 발명의 커렉터블즈 생성기(518)는 공정 툴 커렉터블즈 세트를 산출하기 전에 아웃라이어 제거 알고리즘(516)의 출력을 이용할 수 있다. 공정 툴 산출에 대해서는 뒤에 더 자세히 설명한다.
일 실시예에서, 하나 이상의 컴퓨터 시스템(508)은 웨이퍼 로트 중 하나 이상의 웨이퍼의 샘플링 공정에서 계량 시스템(502)(예컨대, 오버레이 계량 시스템(504))에 의해 수행된 측정 세트를 수신하도록 구성될 수 있다. 하나 이상의 컴퓨터 시스템(508)은 샘플링 공정으로부터 수신된 측정을 이용하여 품질 메트릭 세트, 최적화된 측정 레시피, 하이 밸류 타겟 세트(즉, 커렉터블즈 산출로부터 제거할 아웃라이어 타겟을 식별하는 것), 또는 공정 툴 커렉터블즈 세트를 산출하거나 식별하도록 더 구성될 수 있다. 더욱이, 하나 이상의 컴퓨터 시스템(508)은 공정 툴을 조정하는 명령을 관련 공정 툴(예컨대, 스캐너 툴 또는 리소그래피 툴)에 전송할 수 있다. 대안으로서 및/또는 추가적으로, 컴퓨터 시스템(508)은 시스템의 하나 이상의 공정 툴을 모니터하는데 이용될 수 있다. 이런 의미에서, 잔류 분포의 잔차(residual)가 소정 레벨을 초과하는 경우에, 컴퓨터 시스템(508)은 웨이퍼 로트를 "처리하지 못할(fail)"수 있다. 그러면, 이 웨이퍼 로트는 "재작업될(reworked)" 수 있다.
전술한 단계들은 본 발명의 나머지 부분 전체에서 단일 컴퓨터 시스템(508) 또는 복수의 컴퓨팅 시스템(508)에 의해 실시될 수 있다. 더욱이, 계량 시스템(502)과 같은, 시스템(500)의 다른 서브시스템은 전술한 단계들 중 적어도 일부를 실시하는데 적합한 컴퓨팅 시스템을 포함할 수 있다. 그러므로, 상기 설명은 본 발명을 한정하는 것으로 해석해서는 않되며, 예시한 것일 뿐이다.
다른 실시예에서, 하나 이상의 컴퓨터 시스템(508)은 여기서 설명된 공정 중 어느 하나로부터 도출된 공정 툴 커렉터블즈를 표시하는 명령을 하나 이상의 공정 툴에 전송할 수 있다. 더욱이, 하나 이상의 컴퓨터 시스템(508)은 여기서 설명된 방법 실시예의 다른 단계(들)을 수행하도록 구성될 수 있다.
다른 실시예에서, 컴퓨터 시스템(508)은 계량 시스템(502) 또는 공정 툴에 종래의 공지된 방식으로 통신가능하게 연결될 수 있다. 예컨대, 하나 이상의 컴퓨터 시스템(508)은 계량 시스템(502)의 컴퓨터 시스템(예컨대, 오버레이 계량 시스템(504)의 컴퓨터 시스템) 또는 공정 툴의 컴퓨터 시스템에 연결될 수 있다. 다른 예로서, 계량 시스템(502)과 공정 툴은 단일 컴퓨팅 시스템에 의해 제어될 수 있다. 이런 식으로, 시스템(500)의 하나 이상의 컴퓨팅 시스템(508)은 단일 계량-공정 툴 컴퓨터 시스템에 연결될 수 있다. 더욱이, 시스템(500)의 하나 이상의 컴퓨팅 시스템(508)은 유선 및/또는 유선부를 포함할 수 있는 전송 매체를 통해 다른 시스템으로부터 데이터 또는 정보(예컨대, 검사 시스템으로부터 검사 결과, 다른 계량 시스템으로부터 계량 결과, 또는 KLA-Tencor's KT Analyzer와 같은 시스템으로부터 산출된 공정 툴 커렉터블즈)를 수신 및/또는 획득하도록 구성될 수 있다. 이런 식으로, 전송 매체는 시스템(500)의 컴퓨팅 시스템(508)과 다른 서브시스템 간의 데이터 링크로서 기능할 수 있다. 더욱이, 컴퓨팅 시스템(508)은 데이터를 전송 매체를 통해 외부 시스템에 전송할 수 있다. 예컨대, 컴퓨터 시스템(508)은 산출된 품질 메트릭, 공정 툴 커렉터블즈, 및 최적화된 측정 레시피를 설명된 시스템(500)과는 독립적으로 존재하는 별도의 계량 시스템에 전송할 수 있다.
컴퓨팅 시스템(508)은 개인용 컴퓨터 시스템, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서 또는 기타 공지의 장치를 포함할 수 있으나 이에 한정되는 것은 아니다. 일반적으로, 용어 "컴퓨터 시스템"은 넓게는 메모리 매체로부터의 명령을 실행하는 하나 이상의 프로세서를 가진 임의 장치를 포괄하는 것으로 정의될 수 있다.
여기서 설명된 것과 같은 방법을 구현하는 프로그램 명령(510)은 반송 매체(520)를 통해 전송되거나 이에 저장될 수 있다. 반송 매체는 와이어, 케이블 또는 무선 전송 링크와 같은 전송 매체일 수 있다. 반송 매체는 판독 전용 메모리, 임의 접근 메모리, 자기 디스크나 광 디스크, 또는 자기 테이프와 같은 저장 매체를 포함할 수도 있다.
도 5에 도시된 시스템(500)의 실시예는 여기서 설명되는 바와 같이 더 구성될 수 있다. 게다가, 시스템(500)은 여기서 설명된 방법 실시예의 여러 다른 단계를 수행하도록 구성될 수 있다.
도 7a는 반도체 웨이퍼 제조 공정에서 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하는 방법(700)에서 수행되는 단계들을 보여주는 흐름도이다. 제1 단계(702)에서, 웨이퍼 로트의 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 제1의 선택된 측정 레시피를 이용하여 복수의 오버레이 계량 측정 신호가 얻어질 수 있다. 이런 의미에서, 계량 측정 신호는 복수의 계량 타겟 각각에 대해 얻어질 수 있다. 일 실시예에서, 계량 공정은 웨이퍼 로트의 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 타겟의 하나 이상의 특성(예컨대, 오버레이 에러)을 측정할 수 있다. 다른 실시예에서, 하나 이상의 계량 신호는 전술한 시스템(500)의 계량 시스템(502)(예컨대, 오버레이 계량 시스템(504))을 이용하여 획득될 수 있다. 이런 식으로, 계량 시스템(502)을 이용하여 획득된 계량 신호는 데이터 링크(예컨대, 유선 또는 무선 신호)를 통해 컴퓨팅 시스템(508)에 전송될 수 있다.
일 실시예에서, 방법(700)은 적어도 하나의 웨이퍼 로트 중의 하나 이상의 웨이퍼 상의 복수의 측정 지점에서 그 웨이퍼에 대한 오버레이 계량 측정을 수행하는 단계를 포함한다. 도 7b 및 도 7c에 도시된 바와 같이, 측정 지점은 하나 이상의 웨이퍼(506) 상의 하나 이상의 필드(752)를 포함할 수 있다. 예컨대, 도 7b에 도시된 바와 같이, 웨이퍼(506)는 그 위에 형성된 복수의 필드(752)를 포함한다. 도 7b에는 웨이퍼(506) 상의 특정 수와 배치의 필드(752)가 도시되어 있지만, 웨이퍼 상의 필드의 수와 배치는, 예컨대 웨이퍼 상에 형성되는 장치에 따라 달라질 수 있다. 측정은, 웨이퍼(506) 상에 형성된 복수의 필드(752)에서 그리고 적어도 제1 로트 중의 다른 웨이퍼 상의 복수의 필드에서 수행될 수 있다. 측정은, 필드에 형성된 장치 구조 및/또는 필드에 형성된 테스트 구조에 대해 수행될 수 있다. 게다가, 필드 각각에서 수행된 측정은 계량 공정 중에 수행된 모든 측정(예컨대, 하나 이상의 서로 다른 측정)을 포함할 수 있다.
다른 실시예에서, 샘플링 공정에서 측정된 측정 지점 모두는 주어진 로트 중의 웨이퍼의 각 측정된 필드 내에 복수의 타겟을 포함할 수 있다. 예컨대, 도 7c에 도시된 바와 같이, 웨이퍼(506) 상에 형성된 필드(752)는 복수의 타겟(754)을 포함할 수 있다. 도 7b에는 필드(752) 내의 특정 수와 배치의 타겟(754)이 도시되어 있지만, 필드(754) 내의 타겟(754)의 수와 배치는, 예컨대 웨이퍼 상에 형성되는 장치에 따라 달라질 수 있다. 타겟(754)은 장치 구조 및/또는 테스트 구조를 포함할 수 있다. 그러므로, 이 실시예에서, 각 필드(752)에 형성된 임의 수의 타겟(754)에 대해 측정이 수행될 수 있다. 측정은 계량 공정 중에 수행된 모든 측정(예컨대, 하나 이상의 서로 다른 측정)도 포함할 수 있다.
다른 실시예에서, 샘플링 단계에서 수행된 측정의 결과는 측정 공정의 변동에 관한 정보를 포함한다. 측정 변동은 임의의 공지된 방식(예컨대, 표준 편차, 변동량, 등)으로 결정될 수 있다. 측정 변동은 일반적으로 공정 또는 공정 익스커션(excursion)의 변동을 표시할 것이므로, 샘플링 단계에서 측정된 웨이퍼 로트의 수는 공정 또는 공정 익스커션에 따라 달라질 수 있다. 이 단계에서 식별 또는 결정되는 변동의 원천은 오버레이 변동, 웨이퍼의 다른 특성의 변동, 로트간 변동, 웨이퍼간 변동, 필드간 변동, 사이드간 변동, 변동의 통계적 원천 등, 또는 이들의 조합(이에 한정되는 것은 아님)을 포함하는임의의 변동의 원천을 포함할 수 있다.
추가적인 양상에서, 하나 이상의 계량 신호는 제1의 선택된 측정 레시피를 이용하여 웨이퍼의 하나 이상의 계량 타겟으로부터 획득될 수 있다. 당업자라면 계량 레시피가 다양한 파라미터 선택을 포함할 수 있다는 것을 잘 알 것이다. 예컨대, 측정 레시피는 조명 파장, 조명각, 초점, 필터 특성, 편광 등(이에 한정되지 않음)을 포함할 수 있다. 본 발명의 다른 양상에서, 뒤에 더 자세히 설명되겠지만, 시스템(500)에 의해 구현된 계량 레시피는 공정 흐름(700)에 따라 생성된 품질 메트릭 결과를 부분적으로 이용하여 최적화 또는 적어도 개선될 수 있다.
본 발명에서 구현에 적합한 계량 공정과 시스템은 미국 특허출원 12/107,346(2008년 4월 22일 출원)에 개괄적으로 기재되어 있다. 이 특허출원은 본 명세서에 원용된다.
제2 단계(704)에서, 복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 단계(302)의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치가 결정될 수 있다.
일 양상에서, 각 계량 신호에 대한 오버레이 추정치를 결정하기 위하여 웨이퍼(506)의 선택된 복수의 계량 타겟 각각으로부터 획득된 각 계량 신호에 다수의 상이한 알고리즘이 적용될 수 있다. 예컨대, 각각이 각 타겟에 대한 독립적인 오버레이 추정치를 산출하는 오버레이 추정 알고리즘 1 내지 N은 웨이퍼의 측정된 계량 타겟 세트 각각으로부터 획득된 각 신호에 적용될 수 있다. 다른 양상에서, 구현된 알고리즘 각각은 대칭 신호의 정확한 대칭 중심을 제공하도록 구성될 수 있다. 그러나, 신호가 대칭적인 경우에는 복수의 알고리즘마다 근사 대칭 중심에 대해 서로 다른 추정치를 제공할 수 있다. 이런 의미에서, 계량 타겟이 논-제로 대칭도를 갖는다면 알고리즘 1...N은 측정된 각 타겟에 대한 타겟 오버레이에 대해 서로 다른 값들을 산출할 것이다.
제3 단계(706)에서, 단계(704)에서 구한 오버레이 추정치 세트를 이용하여 계량 타겟 각각으로부터 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 오버레이 추정치 분포 세트가 생성될 수 있다. 이와 관련하여, 웨이퍼의 측정된 복수의 타겟 각각에 대해, 알고리즘 1-N에 의해 생성된 여러 가지 추정치가 단일의 오버레이 추정치 분포로 모아질 수 있다. 따라서, 단계(706)는 각 측정된 계량 타겟에 대한 오버레이 추정치 분포를 생성한다. 여기서, 오버레이 모호성 증강과 함께 기하학적 오버레이 모호성은 각 분석된 계량 신호에 대한 오버레이 추정치 분포의 크기의 확산 함수 또는 스팬(span)으로서 나타남에 유의한다. 따라서, 주어진 계량 신호의 오버레이 모호성이 클수록 (단계(704)의 알고리즘 1-N에 따라서 생성된) 관련 오버레이 추정치 세트의 스팬 또는 폭이 커진다.
제4 단계(708)에서, 복수의 품질 메트릭이 생성될 수 있다. 일 양상에서, 복수의 품질 메트릭값은 공정(700)의 단계(706)에서 생성된 오버레이 추정치 분포를 이용하여 생성될 수 있다. 이와 관련하여, 생성된 품질 메트릭 각각은 단계(706)의 오버레이 추정치 분포들 중 어느 하나와 연관된다. 생성된 품질 메트릭 각각은 대응하는 오버레이 추정치 분포의 폭 또는 스팬의 함수이며, 주어진 계량 타겟으로부터 획득된 소정 신호와 연관된 오버레이 모호성 및 부정확성의 척도 또는 추정치를 나타낸다. 다른 양상에서, 단계(708)의 품질 메트릭은 완전히 대칭적인 신호에 대해 제로이고, 주어진 비대칭적 신호와 연관된 오버레이 부정확성에 비례하도록 구성된다. 대칭적 신호가 제로의 품질 메트릭을 산출하기 위해서는 단계(704)의 오버레이 알고리즘 각각이 그 대칭적 신호에 대해 동일한 오버레이 추정치를 생성하도록 구성되어야 함에 유의한다. 각각 오버레이 계량 타겟에 대해 구해진 품질 메트릭은 적용된 오버레이 알고리즘의 함수로서의 오버레이 결과의 비대칭 유도 변동의 척도 또는 추정치이다. 따라서, 하나 이상의 계량 타겟으로부터 획득된 오버레이 측정치 세트와 연관된 하나 이상의 품질 메트릭값의 분석은 비대칭 유도 오버레이 부정확성을 분석하기 위한 '메트릭'을 제공한다.
도 8a는 본 발명에 따른 오버레이 부정확성 맵을 보여준다. 도 8a의 웨이퍼 맵(800)은 관련 오버레이 신호의 오버레이 부정확성의 방향과 크기를 보여준다. 이런 의미에서, 맵(800)에서 화살표의 X와 Y 성분은 각각 X와 Y 오버레이의 부정확성에 대응한다. 도 8b는 본 발명의 실시예에 따른 발생된 복수의 품질 메트릭을 보여준다. 도 8b의 각 품질 메트릭은 샘플링된 계량 타겟 세트 중의 한 계량 타겟에 대응함에 유의한다. 또, 품질 메트릭 분포 또는 품질 메트릭 '클라우드'가 X-Y 방향에서 확장할수록 대응하는 오버레이 계량 측정은 더욱 부정확하게 됨에 유의한다. 뒤에 더 자세히 설명하겠지만, 품질 메트릭 클라우드의 크기를 줄이는 방법과 시스템은 아웃라이어 제거와 레시피 최적화를 포함한다.
본 발명의 다른 실시예에서, 측정된 계량 타겟 세트 중 각 계량 타겟으로부터 획득된 오버레이 계량 신호는 품질 메트릭 생성 공정(700)의 구현 전에 툴 유도 시프트(tool induced shift; TIS)에 대해 보정될 수 있다. 이는 본 발명의 품질 메트릭이 계량 시스템의 광학계에 의해 발생된 비대칭성을 비롯하여 획득된 계량 신호에 존재하는 임의의 비대치성을 검출하도록 구성되기 때문에 특히 유리하다. 그러므로, 큰 TIS를 발생시키는 광학 성분을 가진 계량 시스템(502)에 대해서는 먼저, 획득된 계량 신호에 TIS 보정을 적용하여 타겟 유도 오버레이 부정확성의 평가를 더 정확하게 하는 것이 바람직하다.
도 9는 본 발명의 다른 실시예에 따른 추가적인 공정 흐름(900)을 나타낸 흐름도이다. 공정 흐름(900)은 공정(700)에서 생성된 품질 메트릭을 이용하여 웨이퍼의 샘플링된 계량 타겟 세트 중의 아웃라이어 계량 타겟을 식별하는 것에 관한 것이다. 단계(902)에서, 복수의 계량 타겟 중 하나 이상의 아웃라이어 계량 타겟이 식별된다. 이와 관련하여, 샘플링된 타겟들 중 나머지 계량 타겟의 분포의 품질 메트릭값으로부터 크게 벗어나는 품질 메트릭을 표시하는 계량 타겟이 식별될 수 있다. 예컨대, 도 8b에 도시된 바와 같이, 3개의 아웃라이어 품질 메트릭값이 (원으로 디마크된(demarked) 것과 같이) 식별된다. 이들 아웃라이어 품질 메트릭값은 복수의 샘플링된 계량 타겟 중에서 (논아웃라이어 타겟에 비해) 높은 비대칭도를 가진, 따라서, 높은 오버레이 부정확도를 가진 계량 타겟에 대응한다. 여기서, 공정(700)에서 생성된 품질 메트릭 분포 내의 아웃라이어의 식별은 임의의 공지된 방식으로 구현될 수 있다. 이런 의미에서, 임의의 정량적 분석 패키지를 이용하여 계량 타겟 아웃라이어를 식별할 수 있다. 더욱이, 계량 타겟의 품질 메트릭은 임계치 정의 및 분석 루틴으로 프로그래밍된 통계적 분석 패키지를 통해 사용자에 의해 또는 자동적으로 아웃라이어로서 정의될 수 있다. 이와 관련하여, 예컨대, 시스템(500)은 i) 선택된 레벨을 초과하는 샘플링된 타겟의 품질 메트릭의 크기; 또는 ii) 선택된 비율의 가장 큰 아웃라잉(outlying) 품질 메트릭값(최대 10%의 품질 메트릭을 아웃라잉이라 정의함)에 기초하여 아웃라이어 메트릭값을 자동적으로 식별하도록 프로그래밍될 수 있다. 사용자 선택의 경우, 품질 메트릭 분포(예컨대, 도 8b의 품질 메트릭 분포)는 시스템(500)의 디스플레이 장치(미도시) 상에 표시될 수 있다. 그러면 사용자는 아웃라이어인 것으로 생각되는 품질 메트릭값을 수동으로 선택할 수 있다.
제2 단계(904)에서, 보정된 계량 타겟 세트는 단계(902)에서 식별된 아웃라이어 타겟을 제외함으로써 생성될 수 있다. 이와 관련하여, 보정된 계량 타겟 세트는 보정가능한 산출에 이용된 계량 타겟으로부터 단계(902)의 식별된 아웃라이어 계량 타겟을 제거함으로써 생성될 수 있다.
제3 단계(906)에서, 단계(904)에서 구한 보정된 계량 타겟 세트를 이용하여 공정 툴 커렉터블즈 세트가 산출된다. 의런 의미에서, 보정된 계량 타겟 세트에 남아있는 계량 타겟에 대한 오버레이 정보만을 이용하여 오버레이 커렉터블즈 세트를 산출한다. 추가 단계에서, 컴퓨팅 시스템(508)을 통해 산출된 공정 툴 커렉터블즈는 통신가능하게 연결된 공정 툴(예컨대, 스텝퍼 또는 스캐너)에 전송될 수 있다. 오버레이 계량 결과를 이용한 공정 툴(예컨대, 스텝퍼 또는 스캐너) 커렉터블즈의 산출은 미국 특허 7,876,438(2011년 1월 25일 특허)에 개괄적으로 기재되어 있다. 이 특허출원은 본 명세서에 원용된다.
도 10은 본 발명의 추가 실시예에 따른 추가적인 공정 흐름을 나타낸 흐름도이다. 공정 흐름(1000)은 공정(700)에서 생성된 품질 메트릭을 이용하여 개선된 또는 최적화된 오버레이 측정 레시피를 식별하는 것에 관한 것이다. 제1 단계(1002)에서, 적어도 추가적인 측정 레시피를 이용하여 복수의 계량 타겟으로부터 추가적인 복수의 오버레이 계량 측정 신호가 획득될 수 있다. 제2 단계(1004)에서, 복수의 오버레이 알고리즘을 적어도 추가적인 복수의 측정 신호의 각 오버레이 측정 신호에 적용함으로써 적어도 추가적인 복수의 오버레이 측정 신호 각각에 대한 적어도 추가적인 복수의 오버레이 추정치가 결정될 수 있다. 제3 단계(1006)에서, 복수의 오버레이 추정치를 이용하여 복수의 계량 타겟으로부터 적어도 추가적인 복수의 오버레이 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 적어도 추가적인 복수의 오버레이 추정치 분포가 생성될 수 있다. 제4 단계(1008)에서, 그 생성된 적어도 추가적인 복수의 오버레이 추정치 분포를 이용하여 적어도 추가적인 복수의 품질 메트릭이 생성될 수 있다. 제5 단계(1010)에서, 제1 측정 레시피와 연관된 제1의 복수의 품질 메트릭의 분포를 적어도 하나의 추가적인 측정 레시피와 연관된 적어도 추가적인 복수의 품질 메트릭의 분포와 비교함으로써 개선된 또는 최적화된 공정 측정 레시피가 결정될 수 있다.
이와 관련하여, 각 품질 메트릭 생성 사이클마다 타겟 측정 레시피를 달리하면서 품질 메트릭 생성 공정을 복수회 수행함으로써 개선된 또는 최적화된 오버레이 측정 레시피가 구해질 수 있다. 예컨대, 제1 사이클에서, 샘플링된 계량 타겟에 대한 품질 메트릭은 제1 측정 레시피를 이용하여 실행되는 오버레이 측정 세트를 이용하여 구해질 수 있다. 그러면, 제2 사이클에서, 샘플링된 계량 타겟에 대한 품질 메트릭은 제2 측정 레시피를 이용하여 실행되는 오버레이 측정 세트를 이용하여 구해질 수 있다. 여기서, 제2 측정 레시피는 제1 측정 레시피에 상대적으로 변한다(예컨대, 파장, 초점 위치, 조명 방향 등이 달라진다). 그런 다음, 최소 품질 메트릭 분포를 생성하는 측정 레시피를 알아내기 위해 각 품질 메트릭 생성 사이클에서 획득된 복수의 품질 메트릭 분포들이 서로 비교될 수 있다.
도 11은 제1 필터와 제2 필터를 이용하여 구해진 품질 메트릭 분포를 보여준다. X-Y 품질 메트릭 분포 중에 떠 있는 작은 분포로 나타낸 바와 같이, 컬러 필터(2)는 대응하는 오버레이 계량 측정의 부정확성을 줄여준다. 그러므로, 후속되는 계량 측정에서 필터 1과 필터 2 간에 선택할 때, 필터 2를 이용하면 오버레이 정확성이 높아지며, 따라서 공정 툴 커렉터블즈가 개선될 것이다. 또한, 이 공정은 임의 수의 레시피 파라미터(예컨대, 파장, 초점 위치, 조명 방향, 편광 구성, 필터 구성 등)에 대해 소정 횟수만큼(예컨대, 1, 2, 3, 또는 N회까지) 증분적으로 반복될 수 있다.
도 12a는 본 발명의 실시예에 따른, 공정 툴 커렉터블즈 제공 방법(1200)에서 수행된 단계들을 보여주는 흐름도이다. 공정(1200)은 공정(700)의 생성된 품질 메트릭에 기초하여 공정 툴 커렉터블즈 세트를 산출하는 것에 관한 것이다. 제1 단계(1202)에서, 웨이퍼 로트 중 어느 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟 각각에 대한 오버레이 계량 결과가 획득된다. 일 실시예에서, 복수의 계량 타겟 각각에 대한 오버레이 계량 결과는 계량 시스템(502)을 이용하여 계량 타겟에 대해 한번 이상의 계량 측정을 수행함으로써 획득될 수 있다. 제2 단계(1204)에서, 각 획득된 오버레이 계량 결과와 연관된 품질 메트릭이 획득될 수 있다. 일 실시예에서, 본 발명에서 기술된 여러 가지 방법 및 실시예와 일관된 공정을 이용하여 품질 메트릭이 생성될 수 있다. 따라서, 시스템(500)은, 측정 계량 타겟 세트 중의 각 타겟에 대한 계량 결과를 획득하면, 계량 측정 각각에 대한 품질 메트릭을 산출할 수 있다.
제3 단계(1206)에서, 각 계량 타겟에 대해 획득된 오버레이 계량 결과와 그 관련 품질 메트릭 결과를 이용하여 각 계량 타겟에 대한 변경된 오버레이값이 결정될 수 있다. 일 양상에서, 각 계량 타겟에 대한 변경된 오버레이값은 계량 시나리오의 (예컨대, 파장, 초점 위치, 조명각 등에 종속된) 적어도 하나의 재료 파라미터 팩터 α의 함수이다. 예컨대, 변경된 오버레이는 다음과 같이 표현될 수 있다.
Figure 112013101247418-pct00006
여기서, OVLaccute는 변경된 오버레이를 나타내고, OVLmeasured는 측정된 오버레이를 나타내고, f(QM)은 계량 타겟 각각과 연관된 품질 메트릭(QM)에 종속된 품질 함수를 나타낸다. 일 실시예에서, 품질 함수는 재료 파라미터 팩터 α에 대해 선형인 함수로 표현될 수 있다. 이 경우, 변경된 오버레이는 다음과 같이 표현될 수 있다.
Figure 112013101247418-pct00007
여기서, α는 재료 파라미터 팩터를 나타내고, QM은 산출된 품질 메트릭 또는 본 발명의 오버레이 측정치를 나타낸다. 여기서, 상기 수학식 7의 품질 함수는 한정적인 것이 아니라 예시적인 것으로 해석되어야 한다. 품질 함수 f(QM)은 다양한 수학적 형태를 취할 수 있는 것으로 예상된다.
제4 단계(1208)에서, 복수의 재료 파라미터 팩터에 대한 커렉터블즈 함수와 이에 대응하는 잔차 세트가 산출될 수 있다. 이와 관련하여, 파라미터 α는 변할 수 있으며, 각 α값에 대해 새로운 커렉터블즈 함수와 이와 관련된 잔차가 산출될 수 있다. 추가 양상에서, OVLaccute에 맞추기 위해 공지의 임의 형태의 커렉터블즈 함수가 구현될 수 있다. 예컨대, 커렉터블즈 함수는 1차 또는 그 이상 차수의 커렉터블즈 함수를 포함할 수 있다. 하나 이상의 공지의 커렉터블즈 함수를 이용하여 커렉터블즈 함수 열(각 α값에 대한 것)이 생성될 수 있다. 예컨대, α1, α2, α3, ...αN에 대해 커렉터블즈 함수와 그 대응 잔차가 산출될 수 있다. 커렉터블즈의 산출에 이용되는 함수는 미국 특허 7,876,438(2011년 1월 25일 특허)에 개괄적으로 기재되어 있다. 이 특허출원은 본 명세서에 원용된다.
제5 단계(1210)에서, 잔차 세트를 적어도 실질적으로 최소화하는데 적합한 재료 파라미터 팩터의 값이 결정된다. 이와 관련하여, α1, ...αN 각각과 연관된 잔차를 분석하여 최소 오버레이 잔차 레벨을 생성하는 α값을 결정할 수 있다. 예컨대, 도 11은 복수의 α값 각각에 대해 산출된 단계(1208)로부터의 잔차값 세트를 대응 추세선(1222)과 함께 나타낸 그래프(1220)이다. 도 11에 도시된 바와 같이, 주어진 잔차 세트에 대해, 약 -3.66의 값은 주어진 계량 시나리오에 대해 최소 잔차값을 생성한다.
단계(1212)에서, 상기 적어도 실질적으로 최소화된 잔차 세트와 연관된 커렉터블즈 세트가 식별될 수 있다. 예컨대, 단계(1210)에서 제공된 잔차 최소화의 예시에 있어서, α에 대해서 최소화된 잔차를 이용하여 커렉터블즈 세트가 산출될 수 있다. 더욱이, 단계(1210)에서 식별된 α는 웨이퍼 로트 중의 후속 웨이퍼에 관계된 커렉터블즈를 구하기 위해 그 후속 웨이퍼의 분석 중에 적용될 수 있는 것으로 예상된다.
다른 실시예에서, 단계(1212)에서 생성된 커렉터블즈 세트는 하나 이상의 공정 툴(예컨대, 스텝퍼 또는 스캐너)에 전송될 수 있다. 추가적인 양상에서, 획득된 복수의 오버레이 계량 측정 신호에 존재하는 TIS 유도 비대칭성을 줄이기 위해 분석 전에 그 신호에 TIS 보정 공정이 적용될 수 있다.
도 13은 공정 툴 커렉터블즈의 변동을 알아내는 방법(1300)에서 수행되는 단계를 보여주는 흐름도이다. 단계(1302)에서, 웨이퍼 로트 중 어느 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟 각각에 대한 오버레이 계량 결과가 획득될 수 있다. 일 실시예에서, 복수의 계량 타겟 각각에 대한 오버레이 계량 결과는 계량 시스템(502)을 이용하여 계량 타겟에 대해 한번 이상의 계량 측정을 수행함으로써 획득될 수 있다.
단계(1304)에서, 각 획득된 오버레이 계량 결과와 연관된 품질 메트릭이 획득된다. 일 실시예에서, 본 발명에서 기술된 여러 가지 방법 및 실시예와 일관된 공정을 이용하여 품질 메트릭이 생성될 수 있다. 따라서, 시스템(500)은, 측정 계량 타겟 세트 중의 각 타겟에 대한 계량 결과를 획득하면, 계량 측정 각각에 대한 품질 메트릭을 산출할 수 있다.
단계(1306)에서, 각 계량 타겟에 대해 획득된 오버레이 계량 결과와 품질 함수를 이용하여 복수의 계량 타겟에 대한 복수의 변경된 오버레이값이 결정될 수 있다. 일 양상에서, 품질 함수는 각 계량 타겟의 획득된 품질 메트릭의 함수이다. 일 실시예에서, 단계(1306)의 변경된 오버레이는 공정(1200)의 수학식 6 및/또는 7에 나타낸 형태로 표현될 수 있다. 품질 함수 f(QM)은 다양한 수학적 형태를 취할수 있다.
단계(1308)에서, 복수의 변경된 오버레이값을 이용하여 복수의 계량 타겟의 획득된 오버레이 계량 결과와 그 관련 품질 메트릭의 복수의 무작위 선택된 샘플링 각각에 대한 공정 툴 커렉터블즈 세트를 결정함으로써 복수의 공정 툴 커렉터블즈 세트가 생성될 수 있다. 여기서, 무작위 샘플링 각각은 동일한 크기를 갖고 있다. 이런 의미에서, 복수의 무작위 서버샘플링이 수행될 수 있으며, 선택된 수 또는 선택된 비율의 가용 데이터 포인트가 생성된다. 이와 관련하여, 복수의 서브샘플링 각각은 동일 수의 샘플링된 데이터 포인트(예건대, 90%, 80%, 50% 등)를 포함할 수 있다. 예컨대, 단계(1302)의 오버레이 계량 결과의 데이터 포인트의 90%의 N개의 무작위 샘플링이 수행될 수 있으며, 각 무작위 샘플링은 가용 데이터 포인트의 다른 무작위 샘플링을 나타낸다(그러나, 샘플링된 데이터 포인트 수는 동일함). 그러면, N개의 무작위 샘플링을 이용하여 공정 툴 커렉터블즈 세트가 생성될 수 있다. 커렉터블즈 각각은 동일한 품질 함수 f(QM)을 이용하여 산출될 수 있음에 유의한다.
단계(1310)에서, 복수의 공정 툴 커렉터블즈 세트의 변동이 식별될 수 있다. 여기서는 단계(1308)에서 산출된 공정 툴 커렉터블즈 세트들 간의 변동은 그 품질을 나타낸다. 더욱이, N개의 커렉터블즈의 관측된 변동이 작을수록 커렉터블즈 품질은 더 양호하다.
여기서, 각 오버레이값에 첨부된 품질값은 주어진 측정에서의 비무작위(non-random) 에러의 추정치를 제공함에 유의한다. 그러나, 이는 오버레이 측정의 무작위 에러보다 높은, 이와 연관된 무작위 에러를 가질 수 있다. 전술한 바와 같이 이를 이용하는 동기는 비무작위 에러가 무작위 에러보다 높은 경우이다. 비무작위 에러가 무작위 에러보다 큰 환경에서는, 비무작위 에러를 감소시키면서 그 무작위 에러값을 증가시키는 오버레이값을 보정할 가치가 있다(무작위 에러는 많은 측정에 걸쳐 작은 값으로 평균될 수 있을 기억해야 한다).
도 14는 본 발명의 실시예에 따른, 계량 샘플링 계획을 생성하는 방법(1400)에서 수행되는 단계들을 보여주는 흐름도이다. 공정(1400)은 공정(700)의 생성된 품질 메트릭에 기초하여 계량 샘플링 계획을 생성하는 것에 관한 것이다. 단계(1402)에서, 웨이퍼 로트 중 어느 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호가 획득된다. 단계(1404)에서, 각 오버레이 계량 측정 신호에 복수의 오버레이 알고리즘을 적용함으로써 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치가 결정된다. 단계(1406)에서, 복수의 오버레이 추정치를 이용하여 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포가 생성된다. 단계(1408)에서, 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭이 생성된다.
단계(1410)에서, 복수의 계량 타겟의 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 게획이 생성될 수 있다. 이와 관련하여, 측정된 계량 타겟 세트와 연관된 품질 메트릭에 기초하여 서브샘플링 계획 또는 다른 샘플링 계획이 선택될 수 있다. 시스템(500)은, 새로운 샘플링 계획을 식별하면, 웨이퍼 로트 중의 후속 웨이퍼의 계량 측정 중에 그 샘플링 계획을 적용할 수 있다.
일 실시예에서, 하나 이상의 저품질 타겟을 식별하기 위해 복수의 계량 타겟의 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획이 생성되며, 그 하나 이상의 저품질 타겟은 그 생성된 하나 이상의 계량 샘플링 계획으로부터 제외된다. 이와 관련하여, 저타겟 계량 타겟은 (계량 시나리오에 대한) 그 대응 품질 메트릭을 통해 식별되어, 후속 측정에 이용되는 샘플링 계획으로부터 제외될 수 있다.
도 15a 내지 15c는 3개의 상이한 조명 파장에 대한 품질 메트릭 데이터 열을 보여준다. 도 15a는 215개의 타겟의 오버레이 계량 측정 세트로부터 획득한 3개의 상이한 파장(백색, 적색 및 녹색)에 대한 품질 메트릭값을 보여준다. 도 15b는 샘플링을 위해 155개의 타겟을 남기고(즉, N=155 샘플링), 최저 품질을 가진 60개 타겟(즉, 최대 품질 메트릭 크기를 가진 60개 타겟)이 제거된 후의 나머지 품질 메트릭값을 보여준다. 도 15c는 샘플링을 위해 100개의 타겟을 남기고(즉, N=100 샘플링), 최저 품질값을 가진 115개 타겟이 제거된 후의 나머지 품질 메트릭값을 보여준다. 상기 설명은 저품질 타겟 세트를 제외시키는 것과 관련한 타겟 선택에 대한 설명하지만, 고품질 타겟 세트를 선택하여 샘플링 계획에 포함시키는 것도 간단한 일임에 유의한다.
도 16a 내지 도 16d는 y 방향에서 N=215의 초기 오버레이 샘플링과 N=155 및 N=100의 후속 조정 샘플링에 대한 잔차와 R2값을 보여준다. 도 16a 내지 도 16d에서는 샘플링된 3개 파장 모두에서 N=155와 N=100에 대한 잔차 크기가 초기 N=215 샘플링에 비해 감소되는 것을 쉽게 관찰할 수 있다. 마찬가지로, 도 16a 내지 도 16d는 각 파장에서 각 서브샘플링 계획(예컨대, N=100과 N=155)에 대한 R2의 보편적 증가를 보여준다. 당업자라면 이러한 개선된 잔차 및 R2 특성이 관련 공정 툴에 공급될 수 있는 공정 툴 커렉터블즈를 개선할 것임을 잘 알 것이다.
일 실시예에서, 하나 이상의 저품질 타겟을 식별하기 위해 복수의 계량 타겟의 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획이 생성되며, 그 하나 이상의 저품질 타겟은 그 생성된 하나 이상의 계량 샘플링 계획으로부터 제외되며, 그 하나 이상의 저품질 타겟에 근접하여 위치된 하나 이상의 추가적 계량 타겟은 그 하나 이상의 저품질 타겟을 대체하는데 이용된다. 이와 관련하여, 저타겟 계량 타겟은 (계량 시나리오에 대한) 그 대응 품질 메트릭을 통해 식별되어, 후속 측정에 이용되는 샘플링 계획으로부터 제외될 수 있으며, 그 제외된 저품질 타겟 근처의 추가적 타겟은 웨이퍼 로트의 후속 웨이퍼에 이용되는 샘플링 계획에 삽입될 수 있다.
도 18a 및 도 18b는 x와 y 방향에서 초기 오버레이 샘플링과 후속 조정 샘플링에 대한 잔차와 R2값을 보여준다. 여기서는 저품질 타겟은 제외된 저품질 타겟에 근접하여 위치된 타겟으로 대체되어 있다. 도 18a는 저품질 타겟의 근접하여 위치된 타겟으로의 대체시의 x와 y 방향에서의 감소된 잔차 레벨을 보여준다. 마찬가지로, 도 18b는 저품질 타겟의 근접하여 위치된 타겟으로의 대체시의 R2값의 증가를 보여준다. 당업자라면 이러한 개선된 잔차 및 R2 특성이 관련 공정 툴에 공급될 수 있는 공정 툴 커렉터블즈를 개선할 것임을 잘 알 것이다.
공정(1400)은 제1의 복수의 품질 메트릭을 이용하여 웨이퍼의 복수의 품질 존(zone)(각 품질 존은 거의 유사한 품질 레벨을 가진 복수의 계량 타겟을 가짐)을 식별하는 단계를 더 포함할 수 있다. 예컨대, 도 19에 도시된 바와 같이, 포함된 모든 타겟(1901)이 거의 동일한 품질을 갖는 제1 품질 존(1902-1906)이 식별될 수 있다. 다른 실시예에서, 후속 오버레이 계량 공정 중의 샘플링 레이트 구현은 주어진 식별된 품질 존의 함수일 수 있다. 예컨대, 존(1902, 1904, 1906) 내에서 샘플링된 타겟의 수는 이들 존이 포함된 타겟의 품질 레벨에 따라 다를 수 있다. 다른 양상에서, 초기 샘플링 계획에서, 계량 측정 공정은 풀 웨이퍼 맵을 측정하는 것, 풀 로트 맵을 측정하는 것, 또는 웨이퍼 서브 로트를 측정하는 것을 포함할 할 수 있다.
그 품질 메트릭에 기초하여 제1의 웨이퍼 샘플링 계획을 정한 후에, 미리 정의된 제약 조건을 제공하면서 다음 웨이퍼에 그 식별된 샘플링 계획이 적용될 수 있다. 예컨대, 제약 조건은 몇 가지 하위 제약 조건으로 이루어질 수 있으며, 각 하위 제약 조건은 샘플링 계획의 사소한 변경(예컨대, 어떤 지점을 다른 지점으로 대체하는 것)의 필요성을 제기할 것이다. 이 공정은 후속 로트에까지 누적적으로 계속될 수 있다. 제약 조건은, 샘플링 량을 고려하여, 측정된 웨이퍼/웨이퍼들 통계(예컨대, 표준 편차, 평균, 범위 등)의 퓸질 메트릭에 기초할 수 있다.
이제, 도 20a 내지 20f를 참조로, 본 발명의 실시예들에 따라서, 공정 시그너처(signature) 맵핑을 제공하는 방법과 시스템에 대해 기술한다. 이와 관련하여, 공정 시그너처 맵핑 솔루션(이후, '공정 시그너처 맵퍼'라 함)은 반도체 장치 제조에서 공정 제어의 패터닝을 개선하는데 도움이 될 수 있다.
도 20a는 리소그래피 공정 제어 루프의 일 실시예를 보여준다. 리소그래피 공정 제어 루프는 레티클(2002), 스캐너(2004), 복수의 비리소그래피 공정 경로(2008)를 추적하도록 구성된 공정 추적 모듈(2006), 계량 시스템(2010) 및 APC(Advanced Process Control) 시스템(2012)을 포함하나, 이에 한정되는 것은 아니다. 통상적인 리소그래피 공정 제어 루프(200)에서는, 리소그래피 공정 제어 루프에 피드백되는 계량 측정(2010)은 이전과 현재 공정층에 대한 리소그래피 공정(이전 층에 대한 에칭 및 폴리싱과 같은 다른 공정도 포함)에 노출된 웨이퍼의 계량 타겟에 대해 수행된다. 계량 공정(2010)의 목적은 리소그래피 드리프트를 보정하는 것이지만, 실제 측정된 오버레이는 비리소그래피 공정(2008)에 관련된 효과로 인해 바이어스될 수 있으며, 특정 웨이퍼의 지난 경로에 따라 다를 것이다. 여기서, 바이어스는 전술한 계량 모호성인 것으로 생각한다. 현 기술 상태에서는, 임의의 이전 공정 경로로부터 웨이퍼로부터 수집된 계량 데이터를 이용하여 APC 시스템(2012)에서 이력 평균 커렉터블즈를 산출하고, 이 이력 평균 커렉터블즈는 리소그래피 노광 공정(예컨대, 스캐너(2004))에 공급될 수 있다. 본 발명의 한 가지 목적은 측정된 오버레이의 웨이퍼의 특정 공정 경로에의 의존성을 정량화하는 것이다. 이 절차를 공정 시그너처 맵핑이라 한다.
도 20b는 본 발명의 일 실시예에 따른 공정 시그너처 맵핑에 대한 공정 흐름을 보여준다. 단계(2012)에서, 리소그래피 공정 후에, 에칭 공정 전후에 오버레이 계량 공정(예컨대, 이미징 계량 또는 스캐테로메트리)을 이용하여 레티클(예컨대, 테스트 레티클 또는 제품 레티클) 상에 형성된 복수의 프록시 타겟이 측정된다. 이와 관련하여, 도 20c에 도시된 바와 같이, 웨이퍼 상의 위치의 함수로서의 제1 공정 시그너처(2026)는 웨이퍼의 리소그래피 공정 후 제1 에칭 공정 전에 복수의 프록시 타겟으로부터 획득된 제1 계량 결과 세트(2022)와 웨이퍼의 제1 에칭 공정 후에 복수의 프록시 타겟으로부터 획득된 적어도 제2 계량 결과 세트(2024)를 비교함으로써(예컨대, 이들 세트 간의 차이를 결정함으로써) 결정될 수 있다.
더욱이, 도 20c에 도시된 바와 같이, 제1 공정 시그너처는 특정 공정 경로와 상관될 수 있다. 이와 관련하여, 웨이퍼 상의 위치의 함수로서의 이 2개의 계량 측정(2021, 2023) 간의 차이(이전에는 Di-Fi 바이어스라 함)는 공정 순서, 특정 공정 툴의 식별, 타임 스탬프 등을 포함하는(이에 한정되는 것은 아님) 특정 공정 경로를 규정하도록 태그될(tagged) 수 있다.
단계(2014)에서, 제1 에칭 공정 후에 장치 상관 바이어스가 측정될 수 있다. 이와 관련하여, 장치 상관 바이어스는 웨이퍼의 복수의 장치 상관 타겟에 대해 제1 계량 측정 세트를 수행함으로써 제1 에칭 공정 후에 측정될 수 있다. 여기서, 본 발명의 장치 상관 바이어스는 웨이퍼의 계량 구조와 장치 간의 바이어스를 나타내며, 계량 피처(feature)는 통상적으로 장치 피처와는 다른 치수를 갖고 있음(이보다 훨씬 더 큼)에 유의한다. 다른 실시예에서, 도 20d에 도시된 바와 같이, 장치 상관 바이어스는 장치급(device-like)과 계량급(metrology-like) 치수의 피처를 포함하는 웨이퍼의 장치 상관 타겟에 대해 계량 측정(2034)(예컨대, CD-SEM 또는 AFM 측정)을 수행함으로써 측정될 수 있다. 더욱이, 이 계량 단계는 에칭 후에 수행된다. 장치 상관 측정의 예는 「"Improved Overlay Metrology Device Correlation on 90-nm Logic Processes" by Ueno et.al, Metrology, Inspection, and Process Control for Microlithography XVIII, edited by Silver, Richard M. SPIE, Volume 5375, pp. 222-231 (2004)」에 개괄적으로 기재되어 있다. 이 논문은 본 명세서에 원용된다.
더욱이, 결정된 제1 에칭 시그너처, 추가적인 에칭 시그너처 각각, 측정된 제1 장치 상관 바이어스, 및 각 추가적인 장치 상관 바이어스를 이용하여 공정 시그너처 맵이 생성될 수 있다. 이와 관련하여, 단계(2012) 및/또는 단계(2014)의 결과는 시스템의 메모리에 저장되어 공정 시그너처 맵 데이터베이스를 구성하는데 이용될 수 있다.
단계(2016)에서, 단계(2012, 2014)는 각 층과, 제어 루프의 각 비리소그래피 공정 경로에 대해 반복될 수 있다. 이와 관련하여, 단계(2016)는 웨이퍼 상의 위치의 함수로서 각 추가적인 공정층과 웨이퍼의 각 추가적인 비리소그래피 공정 경로에 대한 추가적인 에칭 시그너처를 결정하는 단계를 포함할 수 있다. 더욱이, 단계(2016)는 각 추가적인 공정층과 웨이퍼의 각 추가적인 비리소그래피 공정 경로을 따르는 추가적인 장치 상관 바이어스를 측정하는 단계를 포함할 수 있다. 공정 경로의 가능한 순열(permutation) 리스트는 크게 변할 수 있으므로, 특성화를 위해 선택된 공정 경로 세트는 공정 툴 군 내의 매칭과 본질적 가변성에 기초하여 정해진다. 공정 툴이 양호한 매칭을 나타낸다면, 각 매칭된 툴에 대한 독립적 공정 경로의 측정은 요구되지 않을 수 있다. 추가 단계에서, 공정은 공정 시그너처 데이터베이스를 현 상태로 유지하기 위해 주기적으로 갱신되어 공정 드리프트의 영향을 모니터링할 수가 있다.
도 20e는 본 발명의 일 실시예에 따른, 리소그래피 공정 제어 루프에서의 공정 시그너처 맵퍼 데이터베이스의 구현을 보여준다. 공정 제어 루프(2040)는 스택 정보 및 설계 규칙 모듈(2042), 연산 계량 모듈(2044), 프록시 타겟 설계 및 장치 상관 타겟 설계 정보를 수신하도록 구성된 레티클(2046), 스캐너(2048), 복수의 비리소그래피 공정(2056)을 추적하도록 구성된 추적 모듈(2050), 계량 시스템(2052), 프록시 타겟(2058)과 장치 상관 타겟(2060)으로부터 계량 결과를 수신하도록 구성된 공정 시그너처 맵퍼(2054)를 포함할 수 있으나, 이에 한정되는 것은 아니다.
공정 시그너처 맵퍼 데이터베이스가 구해지고 나면, 이 데이터베이스는 APC 제어 루프(2062)에 이용될 수 있다. 도 20e에 도시된 바와 같이, 로트당 또는 웨이퍼당 특정된 경로인 공정 보정을 구현하는 공정 시그너처 맵퍼(2054)에 계량 데이터가 전달된다. 그런 다음, 이 보정된 데이터는 당업자에게 공지된 방법을 이용하여 생성되는 이력 평균화된 커렉터블즈를 생성하는 APC 루프(2062)에 전송된다. 이런 식으로, 공정 시그너처 맵퍼 모듈(2054)은 현존 제조 설비의 기존 APC 기반 시설과 양립할 수 있어야 한다. 일반적인 의미에서, 공정 시그너처 맵퍼(2054)에 의해 산출되는 경로 종속 공정 시그너처는 필드와 웨이퍼 위치의 함수로서 공정 바이어스이 형태로, 더 구체적으로는, 공정 툴의 보정 자유도와 연관된 표준 커렉터블즈의 형태로 저장될 수 있다.
도 20f는 본 발명의 실시예에 따른 공정 시그너처 맵퍼의 구현을 보여준다. 보정항 모두를 알면, n개 공정 경로 OVLppn(x,y) 각각에 대한 처리 후에 측정된 프록시 타겟의 측정(단계(20520)과 CD-SEM 또는 AFM에 대한 에칭 후의 장치 상관 타겟의 측정으로부터 생성된 교정 데이터에 기초하여 웨이퍼 상의 임의의 지점(x,y)에서 오버레이를 나타내는 주어진 장치에 대한 수학식을 표현할 수 있다. 가장 간단한 경우에, 장치 상관 보정은 처리 특성의 피처 사이즈 종속성으로 인한 웨이퍼 또는 필트 위치 또는 공정 경로에 무관한 상수 오프셋이다. 그러나, 더 일반적인 경우에, 웨이퍼 및 필드 위치는 물론 리소그래피 공정 경로를 고려할 필요가 있다. 예컨대, 장치 사이즈 피처와 계량 사이즈 피처 간의 편차가 스캐너 수차 유도 패턴 배치 에러로 인한 것이라면, 이 편차는 스캐너의 슬릿에 걸쳐 달라질 것이다. 그러므로, m개의 리소그래피 경로 각각에 대해서 장치 상관 데이터 OVLlpm(x,y)가 수집될 필요가 있다(단계(2054). 다른 실시예에서, 장치 상관 데이터는 비리소그래피 공정 경로 각각에 대해 측정될 수도 있다. 각 경우에, 다음 단계는 공지된 종래의 노광 툴 커렉터블즈 모델링에 의해 특정 데이터 세트 각각으로부터 표준 커렉터블즈 세트 Cppn과 Clpm을 생성하는 것이다(단계(2056)와 단계(2058)). 커렉터블즈 모델링은 「"Fundamental Principles of Optical Lithography" by Chris Mack, Wiley & sons, 2007」에 개괄적으로 기재되어 있다. 이 논문은 본 명세서에 원용된다. 단계(2060)에서, 각 공정/리소그래피 경로 순열에 대한 공정 시그너처 맵퍼 커렉터블즈가 생성되며 다음과 같이 표현된다.
Figure 112013101247418-pct00008
그 다음, 이 데이터는 도 20f에 도시된 바와 같이 공정 시그너처 맵퍼 데이터베이스에 저장된다(2062). 하기의 커렉터블즈 생성 절차는 다수의 상이한 가능한 모델링 시나리오를 포함할 수 있음을 알아야 한다. 예컨대, 커렉터블즈는 x와 y에서의 병진, 웨이퍼 및 필드 레벨 회전 및 웨이퍼 및 필드 레벨 확대의 표준 선형 웨이퍼 및 필드 커렉터블즈만을 포함할 수 있다. 아니면, 커렉터블즈는 노광 툴 모델과 그 보정의 자유도에 의존하는 사다리꼴과 같은 고차항이나 기타 다른 고차 웨이퍼 및 필드 항을 포함할 수 있다. 공정 커렉터블즈에 대해서는, 리소그래피 커렉터블즈과는 상관없이, 관련 공정 바이어스를 가장 효율적으로 기술한 특정 커렉터블즈를 생성하는 것이 적당할 수 있다.
이제, 통상적인 제조 계량 및 공정 제어 시나리오에 대해서 설명한다. 이 단계에서는 제품 웨이퍼에 대해 계량이 수행된다. 샘플링은 커렉터블즈 모델과 APC 계량에 따라서 달라지는 샘플 계획에 따를 수 있다. 그러면, 제품 웨이퍼 데이터 OVLpwm,n은 전술한 표준 방법에 의해 모델링되어, 리소그래피 경로 m과 공정 경로 n으로부터 나온 제품 웨이퍼 커렉터블즈 Cpwm,n을 생성하고, 그런 다음에, 공정 시그너처 맵퍼에 전송된다. 공정 시그너처 맵퍼는 현재 제품 웨이퍼 커렉터블즈로부터 공정 시그너처 맵퍼 커렉터블즈 Cpsmn,m을 감산하여 다음과 같이 주어지는 보정된 제품 웨이퍼 커렉터블즈 C'pwm,n을 생성한다.
Figure 112013101247418-pct00009
그런 다음, 보정된 제품 웨이퍼 커렉터블즈는 APC 시스템으로 전송되고, 지수 원도우 이동 평균법이나 기타 다른 공지 기법 같은 종래의 방식으로 공정 제어가 진행된다.
여기서 설명된 방법 모두는 방법 실시예의 하나 이상의 단계의 결과를 저장 매체에 저장하는 단계를 포함할 수 있다. 결과는 여기서 설명된 임의의 결과를 포함할 수 있으며, 공지의 방식으로 저장될 수 있다. 저장 매체는 여기서 설명된 임의의 저장 매체 또는 기타 다른 공지의 적당한 저장 매체를 포함할 수 있다. 결과가 저장된 후에는, 이 결과는 저장 매체에서 액세스되어 여기서 설명된 임의의 방법 또는 시스템 실시예에 의해 이용되고, 사용자에게 표시하기위해 포맷되고, 다른 소프트웨어 모듈, 방법, 시스템 등에 의해 이용될 수 있다. 예컨대, 방법이 서브샘플링 계획을 생성한 후, 이 방법은 서브샘플링 계획을 저장 매체 내의 계량 레시피에 저장하는 단계를 포함할 수 있다. 게다가, 여기서 설명된 실시예들의 결과 또는 출력은, 출력 파일이 계량 시스템에 의해 이해될 수 있다고 가정하면, 계량 시스템이 계량을 위해 서브샘플링 계획을 이용할 수 있도록 CD SEM과 같은 계량 시스템에 의해 저장 및 액세스될 수 있다. 더욱이, 결과는 "영구적으로", "반영구적으로", "임시로" 또는 일정한 기간 동안 저장될 수 있다. 예컨대, 저장 매체는 RAM(Random Access Memory)일 수 있으며, 결과는 저장 매체에 반드시 무기한으로 지속될 필요는 없다.
상기 설명된 방법의 실시예 각각은 여기서 설명된 다른 방법의 다른 단계를 포함할 수 있다고 생각된다. 게다가, 상기 설명된 방법의 실시예 각각은 여기서 설명된 임의의 시스템에 의해 수행될 수 있다.
당업자라면 여기서 설명된 공정 및/또는 시스템 및/또는 다른 기술(예컨대, 하드웨어, 소프트웨어 및/또는 펌웨어)가 실시될 수 있는 여러 가지 차량이 있고, 바람직한 차량은 공정 및/또는 시스템 및/또는 다른 기술이 전개되는 내용에 따라 달라질 것임을 잘 알 것이다. 예컨대, 구현자가 속도와 정확성이 가장 중요한 것으로 결정한다면, 구현자는 주로 하드웨어 및/또는 펌웨어 차량을 선택할 수 있고, 융통성이 가장 중요하다면, 구현자는 주로 소프트웨어 구현을 선택할 수 있고, 아나면, 구현자는 하드웨어, 소프트웨어 및/또는 펌웨어의 조합을 선택할 수 있다. 그러므로, 여기서 설명된 공정 및/또는 장치 및/또는 다른 기술이 실시될 수 있는 몇 가지 가능한 차량이 있으며, 그 중 어느 것도, 이용될 차량이 그 차량이 전개될 내용과 구현자의 특정 관심사(예컨대, 속도, 융통성 또는 예측가능성)(그 내용과 관심사는 어느 것도 달라질 수 있음)에 따른 선택사항이라는 점에서, 서로에 대해 본질적으로 우수한 것은 아니다. 당업자라면 구현의 광학적 양상은 통상적으로 광학 지향 하드웨어, 소프트웨어 및/또는 하드웨어를 채용할 것임을 잘 알 것이다.
당업자라면 여기서 설명된 방식으로 장치 및/또는 공정을 기술하고, 그 후, 공학적 관례를 이용하여 그와 같이 기술된 장치 및/또는 공정을 데이터 처리 시스템에 통합하는 것은 당업계에서는 일반적인 것임을 잘 알 것이다. 즉, 여기서 기술된 장치 및/또는 공정의 적어도 일부는 합당한 정도의 실험을 통해데이터 처리 시스템에 통합될 수 있다. 당업자라면 통상적인 데이터 처리 시스템은 일반적으로 시스템 유닛 하우징, 비디오 디스플레이 장치, 휘발성 및 불휘발성 메모리와 같은 메모리, 마이크로프로세서 및 디지털 신호 프로세서와 같은 프로세서, 운영 체제, 드라이버, 그래픽 유저 인터페이스 및 애플리케이션 프로그램과 같은 연산 실체, 터치 패드 또는 스크린과 같은 하나 이상의 인터랙션 장치, 및/또는 피드백 루프와 제어 모터(예컨대, 위치 및/또는 속도를 감지하는 피드백; 컴포넌트 및/또는 량을 이동시키고 그리고/또는 조정하는 제어 모터)를 포함하는 제어 시스템을 포함한다는 것을 잘 알 것이다. 통상적인 데이터 처리 시스템은 통상적으로 데이터 컴퓨팅/통신 및/또는 네트워크 컴퓨팅/통신 시스템에서 보는 것과 같은 임의의 적당한 상업적 컴포넌트를 이용하여 구현될 수 있다.
여기서 설명된 청구 대상은 때로는 여러 가지 컴포넌트가 다른 여러 가지 컴포넌트에 내장되거나 이들에 연결되는 것을 예시한다. 그와 같이 도시된 구조는 단지 예시적인 것이며, 실제로는, 동일 기능을 달성하는 다른 많은 구조가 구현될 수 있음을 알아야 한다. 개념적으로, 동일 기능을 달성하는 컴포넌트의 구성은 원하는 기능이 달성되도록 효과적으로 "연관"된다. 그러므로, 특정 기능을 달성하도록 여기서 조합된 임의의 2개의 컴포넌트는, 구조나 중간 컴포넌트와 상관없이 원하는 기능이 달성되도록 서로 "연관되는" 것으로 보일 수 있다. 마찬가지로, 그렇게 연관된 임의의 2개의 컴포넌트는 원하는 기능을 달성하기 위해 서로 "연결" 또는 "결합"되는 것으로 보일 수도 있으며, 그렇게 연관될 수 있는 임의의 2개의 컴포넌트는 원하는 기능을 달성하기 위해 서로 "결합가능"한 것으로 보일 수도 있다. "결합가능"의 특정 예는 물리적 접합가능 및/또는 물리적 상호작용 컴포넌트 및/또는 무선 상호작용가능 및/또는 무선 상호작용 컴포넌트 및/또는 논리적 상호작용 및/또는 논리적 상호작용가능 컴포넌트를 포함하나 이에 한정되는 것은 아니다.
여기서 설명된 본 청구 대상의 특정 양상에 대해 도시하고 기술하였지만, 당업자라면 본 발명의 교시에 따라서 여기서 기술된 청구 대상으로부터 벗어남이 없이 여러 가지 변경과 변형이 가능하고, 따라서 첨부된 청구범위는 여기서 기술된 청구 대상의 진정한 본질과 범위 내에 있는 그와 같은 변경과 변형을 전부 다 포함하는 것임을 잘 알 것이다.
더욱이, 본 발명은 첨부된 청구범위에 의해 정해진다는 것을 알아야 한다.
본 발명의 특정 실시예들이 예시되었지만, 당업자라면 본 발명의 범위와 본질로부터 벗어남이 없이 본 발명의 여러 가지 변형과 실시예가 가능함을 잘 알 것이다. 따라서, 본 발명의 범위는 첨부된 청구범위에 의해서만 한정되어야 한다.
본 발명과 그에 수반된 많은 이점은 상기 상세한 설명으로부터 이해될 것으로 생각하며, 개시된 청구 대상으로부터 벗어남이 없이 또는 그 실체적 이점을 희생함이 없이 컴포넌트의 형태, 구조 및 배치에 있어 다양한 변경이 가능함이 명백할 것이다. 기술된 형태는 단지 설명적인 것이며, 하기 청구범위의 의도는 그와 같은 변경을 포괄하는 것이다.

Claims (41)

  1. 반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 품질 메트릭(quality metric)을 제공하는 컴퓨터-구현된 방법에 있어서,
    제1 측정 레시피를 이용하여, 웨이퍼 로트(lot) 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량(metrology) 타겟으로부터 복수의 오버레이(overlay) 계량 측정 신호를 획득하는 단계 - 각 오버레이 계량 측정 신호는 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - ;
    복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하는 단계 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ;
    상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포를 생성하는 단계; 및
    상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하는 단계
    를 포함하고, 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 대응하는 생성된 오버레이 추정치 분포의 폭의 함수이고, 각 품질 메트릭은 또한 연관된 계량 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수인 것인, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  2. 제1항에 있어서,
    상기 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호를 획득하는 단계는, 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟에 대해 오버레이 계량 측정을 수행하는 단계를 포함하는 것인, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  3. 제1항에 있어서,
    상기 획득된 복수의 오버레이 계량 측정 신호 중 적어도 일부에 대해 툴 유도 시프트(tool induced shift; TIS) 보정 공정을 수행하는 단계를 더 포함하는, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  4. 제1항에 있어서,
    상기 생성된 복수의 품질 메트릭 각각은 대칭적인 타겟 구조를 가진 계량 타겟으로부터의 오버레이 편차(overlay deviation)를 식별하도록 구성되는 것인, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  5. 제1항에 있어서,
    상기 복수의 계량 타겟에 대해 생성된 상기 복수의 품질 메트릭의 분포로부터 적어도 하나의 방향을 따라 선택된 아웃라이어(outlier) 레벨보다 큰 품질 메트릭을 가진 상기 복수의 계량 타겟 중 하나 이상의 계량 타겟을 식별하는 단계;
    상기 복수의 계량 타겟으로부터 선택된 아웃라이어 레벨 이상으로 벗어난 품질 메트릭을 가진 상기 식별된 하나 이상의 계량 타겟을 제외한 보정된(corrected) 복수의 계량 타겟을 결정하는 단계; 및
    상기 결정된 보정된 복수의 계량 타겟을 이용하여 커렉터블즈(correctables) 세트를 산출하는 단계를 더 포함하는, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  6. 제5항에 있어서,
    상기 커렉터블즈 세트를 하나 이상의 공정 툴에 전송하는 단계를 더 포함하는, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  7. 제1항에 있어서,
    적어도 하나의 추가적인 측정 레시피를 이용하여, 상기 웨이퍼 로트 중의 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 적어도 추가적인 복수의 오버레이 계량 측정 신호를 획득하는 단계 - 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각은 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - ;
    상기 복수의 오버레이 알고리즘을 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각에 적용함으로써 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각에 대한 적어도 추가적인 복수의 오버레이 추정치를 결정하는 단계 - 상기 적어도 추가적인 복수의 오버레이 추정치 각각은 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ;
    상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 적어도 추가적인 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 적어도 추가적인 복수의 오버레이 추정치 분포를 생성하는 단계;
    상기 생성된 적어도 추가적인 복수의 오버레이 추정치 분포를 이용하여 적어도 추가적인 복수의 품질 메트릭을 생성하는 단계 - 상기 적어도 추가적인 복수의 품질 메트릭 각각은 상기 생성된 적어도 추가적인 복수의 오버레이 추정치 분포 중 하나에 대응하고, 상기 적어도 추가적인 복수의 품질 메트릭 각각은 상기 적어도 추가적인 복수의 오버레이 추정치 분포 중 대응하는 생성된 오버레이 추정치 분포의 폭의 함수임 - ; 및
    상기 제1 측정 레시피와 연관된 상기 제1의 복수의 품질 메트릭의 분포를, 상기 적어도 하나의 추가적인 측정 레시피와 연관된 상기 적어도 추가적인 복수의 품질 메트릭의 분포와 비교함으로써 공정 측정 레시피를 결정하는 단계를 더 포함하는, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  8. 제7항에 있어서,
    상기 제1 측정 레시피와 연관된 상기 제1의 복수의 품질 메트릭의 분포를, 상기 적어도 하나의 추가적인 측정 레시피와 연관된 상기 적어도 추가적인 복수의 품질 메트릭의 분포와 비교함으로써 공정 측정 레시피를 결정하는 단계는, 상기 제1 측정 레시피와 연관된 상기 제1의 복수의 품질 메트릭의 분포를, 상기 적어도 하나의 추가적인 측정 레시피와 연관된 상기 적어도 추가적인 복수의 품질 메트릭의 분포와 비교함으로써 최적 측정 레시피를 결정하는 단계를 포함하고, 상기 최적 측정 레시피는 적어도 하나의 방향으로 최소 분포를 가진 상기 적어도 추가적인 복수의 메트릭과 상기 제1의 복수의 메트릭의 복수의 품질 메트릭과 연관되는 것인, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  9. 제7항에 있어서,
    상기 제1 측정 레시피 또는 상기 적어도 추가적인 측정 레시피 중 적어도 하나는, 조명 파장, 필터 구성, 조명 방향, 초점 위치 및 편광 구성 중 적어도 하나를 포함하는 것인, 품질 메트릭을 제공하는 컴퓨터-구현된 방법.
  10. 반도체 웨이퍼 제조 공정에서 공정 제어를 개선하는데 적합한 품질 메트릭을 결정하는 컴퓨터-구현된 방법에 있어서,
    웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드의 하나 이상의 계량 타겟으로부터 계량 측정 신호를 획득하는 단계;
    복수의 오버레이 알고리즘을 상기 획득된 오버레이 계량 측정 신호에 적용함으로써 복수의 오버레이 추정치를 결정하는 단계 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ;
    상기 복수의 오버레이 추정치를 이용하여 오버레이 추정치 분포를 생성하는 단계; 및
    상기 생성된 오버레이 추정치 분포를 이용하여 상기 하나 이상의 계량 타겟에 대한 품질 메트릭을 생성하는 단계
    를 포함하고, 상기 품질 메트릭은 상기 생성된 오버레이 추정치 분포의 폭의 함수이고, 상기 품질 메트릭은 비대칭 오버레이 측정 신호에 대해 논-제로(non-zero)가 되도록 구성되고, 상기 품질 메트릭은 상기 생성된 오버레이 추정치 분포의 폭의 함수이고, 상기 품질 메트릭은 또한 연관된 계량 타겟으로부터 획득된 계량 측정 신호에 존재하는 비대칭성의 함수인 것인, 품질 메트릭을 결정하는 컴퓨터-구현된 방법.
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법에 있어서,
    웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호를 획득하는 단계 - 각 오버레이 계량 측정 신호는 상기 복수의 계량 타겟 중의 계량 타겟에 대응함 - ;
    복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하는 단계 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ;
    상기 복수의 오버레이 추정치를 이용하여, 상기 복수의 계량 타겟으로부터 상기 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포를 생성하는 단계;
    상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하는 단계 - 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 또한 연관된 계량 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수임 - ; 및
    상기 복수의 계량 타겟의 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획을 생성하는 단계
    를 포함하는, 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법.
  22. 제21항에 있어서,
    상기 복수의 계량 타겟의 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획을 생성하는 단계는, 하나 이상의 저품질 타겟을 식별하기 위해 상기 복수의 계량 타겟의 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획을 생성하는 단계를 포함하고, 상기 하나 이상의 저품질 타겟은 상기 생성된 하나 이상의 계량 샘플링 계획으로부터 제외되는 것인, 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법.
  23. 제21항에 있어서,
    상기 복수의 계량 타겟의 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획을 생성하는 단계는, 상기 웨이퍼의 하나 이상의 저품질 타겟을 식별하기 위해 상기 복수의 계량 타겟의 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 계량 샘플링 계획을 생성하는 단계를 포함하고, 상기 하나 이상의 저품질 타겟은 상기 생성된 하나 이상의 계량 샘플링 계획으로부터 제외되고, 상기 하나 이상의 저품질 타겟에 근접하여 위치된 하나 이상의 추가적인 계량 타겟이 상기 하나 이상의 저품질 타겟을 대체하는데 이용되는 것인, 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법.
  24. 제21항에 있어서,
    상기 제1의 복수의 품질 메트릭을 이용하여 상기 웨이퍼의 복수의 품질 존(zone)을 식별하는 단계를 더 포함하고, 상기 품질 존 각각은 유사한 품질 레벨을 가진 복수의 계량 타겟을 포함하는 것인, 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법.
  25. 제24항에 있어서,
    상기 웨이퍼에 걸친 하나 이상의 위치에서의 계량 샘플링 레이트는 상기 복수의 품질 존 각각에 의해 정해지는 것인, 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법.
  26. 제21항에 있어서,
    상기 생성된 샘플링 계획을 이용하여 후속 웨이퍼에 대해 하나 이상의 계량 측정을 수행하는 단계를 더 포함하는, 계량 샘플링 계획을 생성하는 컴퓨터-구현된 방법.
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 반도체 웨이퍼 제조에서 공정 제어를 개선하는데 적합한 품질 메트릭을 제공하는 시스템에 있어서,
    계량 시스템; 및
    컴퓨팅 시스템
    을 포함하고,
    상기 계량 시스템은 제1 측정 레시피를 이용하여 웨이퍼 로트 중의 한 웨이퍼의 하나 이상의 필드에 걸쳐 분포된 복수의 계량 타겟으로부터 복수의 오버레이 계량 측정 신호를 획득하도록 구성되고, 각 오버레이 계량 측정 신호는 상기 복수의 계량 타겟 중의 계량 타겟에 대응하며,
    상기 컴퓨팅 시스템은,
    복수의 오버레이 알고리즘을 각 오버레이 계량 측정 신호에 적용함으로써 상기 복수의 오버레이 계량 측정 신호 각각에 대한 복수의 오버레이 추정치를 결정하고 - 각 오버레이 추정치는 상기 오버레이 알고리즘들 중 하나를 이용하여 결정됨 - ,
    상기 복수의 오버레이 추정치를 이용하여 상기 복수의 계량 타겟으로부터 상기 복수의 오버레이 계량 측정 신호 각각에 대한 오버레이 추정치 분포를 생성함으로써 복수의 오버레이 추정치 분포를 생성하고,
    상기 생성된 복수의 오버레이 추정치 분포를 이용하여 제1의 복수의 품질 메트릭을 생성하도록
    구성되며, 각 품질 메트릭은 상기 생성된 복수의 오버레이 추정치 분포 중 하나에 대응하고, 각 품질 메트릭은 대응하는 생성된 오버레이 추정치 분포의 폭의 함수이고, 각 품질 메트릭은 또한 연관된 계량 타겟으로부터의 오버레이 계량 측정 신호에 존재하는 비대칭성의 함수인 것인, 품질 메트릭을 제공하는 시스템.
  37. 제36항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 아웃라이어 계량 타겟을 식별하도록 구성되는 것인, 품질 메트릭을 제공하는 시스템.
  38. 제36항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 최적 오버레이 측정 레시피를 결정하도록 구성되는 것인, 품질 메트릭을 제공하는 시스템.
  39. 제36항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 공정 툴 커렉터블즈를 생성하도록 구성되는 것인, 품질 메트릭을 제공하는 시스템.
  40. 제36항에 있어서,
    상기 컴퓨팅 시스템은 또한, 상기 생성된 제1의 복수의 품질 메트릭을 이용하여 하나 이상의 샘플링 계획을 생성하도록 구성되는 것인, 품질 메트릭을 제공하는 시스템.
  41. 제36항에 있어서,
    상기 컴퓨팅 시스템은 또한, 공정 시그너처 맵핑 데이터베이스를 생성하도록 구성되는 것인, 품질 메트릭을 제공하는 시스템.
KR1020137029524A 2011-04-06 2012-04-04 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템 KR101943593B1 (ko)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US201161472545P 2011-04-06 2011-04-06
US61/472,545 2011-04-06
US201161474167P 2011-04-11 2011-04-11
US61/474,167 2011-04-11
US201161509842P 2011-07-20 2011-07-20
US61/509,842 2011-07-20
US201261597504P 2012-02-10 2012-02-10
US61/597,504 2012-02-10
US201261598140P 2012-02-13 2012-02-13
US61/598,140 2012-02-13
PCT/US2012/032169 WO2012138758A1 (en) 2011-04-06 2012-04-04 Method and system for providing a quality metric for improved process control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197002171A Division KR102090915B1 (ko) 2011-04-06 2012-04-04 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템

Publications (2)

Publication Number Publication Date
KR20140031894A KR20140031894A (ko) 2014-03-13
KR101943593B1 true KR101943593B1 (ko) 2019-01-30

Family

ID=46969534

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197002171A KR102090915B1 (ko) 2011-04-06 2012-04-04 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
KR1020137029524A KR101943593B1 (ko) 2011-04-06 2012-04-04 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020197002171A KR102090915B1 (ko) 2011-04-06 2012-04-04 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템

Country Status (6)

Country Link
US (2) US11372340B2 (ko)
EP (2) EP2694983B1 (ko)
JP (2) JP6099626B2 (ko)
KR (2) KR102090915B1 (ko)
CN (1) CN103582819B (ko)
WO (1) WO2012138758A1 (ko)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
CN104471484B (zh) * 2012-07-05 2018-02-06 Asml荷兰有限公司 用于光刻术的量测
US9329033B2 (en) 2012-09-05 2016-05-03 Kla-Tencor Corporation Method for estimating and correcting misregistration target inaccuracy
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
TWI649572B (zh) * 2012-11-09 2019-02-01 美商克萊譚克公司 用於計量目標之特性化之方法、計量系統及用於計量系統之視覺使用者介面
US10242290B2 (en) * 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
CN105051611B (zh) 2013-03-14 2017-04-12 Asml荷兰有限公司 图案形成装置、在衬底上生成标记的方法以及器件制造方法
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR101850407B1 (ko) 2013-08-13 2018-04-19 에이에스엠엘 네델란즈 비.브이. 구조체의 관심 파라미터 값의 재구성의 품질을 평가하는 방법, 검사 장치 및 컴퓨터 프로그램 제품
JP2015052573A (ja) * 2013-09-09 2015-03-19 株式会社東芝 パターン計測装置及びパターン計測方法
US10012494B2 (en) 2013-10-25 2018-07-03 Applied Materials, Inc. Grouping spectral data from polishing substrates
JP6509225B2 (ja) * 2013-12-11 2019-05-08 ケーエルエー−テンカー コーポレイション 要件に対するターゲット及びプロセス感度の分析
CN105934716A (zh) * 2013-12-19 2016-09-07 Asml荷兰有限公司 检查方法和设备以及光刻设备
NL2013677A (en) * 2014-01-24 2015-07-29 Asml Netherlands Bv Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program.
WO2015124391A1 (en) * 2014-02-21 2015-08-27 Asml Netherlands B.V. Measuring a process parameter for a manufacturing process involving lithography
KR102237698B1 (ko) 2014-04-15 2021-04-08 삼성전자주식회사 오버레이 마크의 비대칭부 검출 방법 및 이를 포함하는 오버레이 계측 방법
JP6408610B2 (ja) * 2014-06-02 2018-10-17 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジターゲットの設計方法、メトロロジターゲットを有する基板、オーバーレイの測定方法、およびデバイス製造方法
US9673113B2 (en) * 2014-06-05 2017-06-06 Applied Materials, Inc. Method and system for real-time polishing recipe control
US10228320B1 (en) 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets
WO2016037003A1 (en) * 2014-09-03 2016-03-10 Kla-Tencor Corporation Optimizing the utilization of metrology tools
KR20170092522A (ko) 2014-09-08 2017-08-11 더 리서치 파운데이션 포 더 스테이트 유니버시티 오브 뉴욕 금속 격자 및 이의 측정 방법
KR102548650B1 (ko) 2014-10-03 2023-06-27 케이엘에이 코포레이션 검증 계측 타겟 및 그 설계
US9286675B1 (en) 2014-10-23 2016-03-15 Applied Materials Israel Ltd. Iterative defect filtering process
CN107078074B (zh) * 2014-11-25 2021-05-25 科磊股份有限公司 分析及利用景观
CN107430350B (zh) * 2015-02-04 2019-10-18 Asml荷兰有限公司 计量方法和设备、计算机程序和光刻系统
US9903711B2 (en) * 2015-04-06 2018-02-27 KLA—Tencor Corporation Feed forward of metrology data in a metrology system
CN107533020B (zh) * 2015-04-28 2020-08-14 科磊股份有限公司 计算上高效的基于x射线的叠盖测量系统与方法
JP6630369B2 (ja) * 2015-06-17 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
US10754260B2 (en) * 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
CN107850862B (zh) 2015-07-13 2020-06-05 Asml荷兰有限公司 光刻设备和器件制造方法
NL2017271A (en) 2015-08-20 2017-02-22 Asml Netherlands Bv Metrology method and apparatus, substrates for use in such methods, lithographic system and device manufacturing method
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
CN108028210B (zh) * 2015-09-21 2022-07-12 科磊股份有限公司 用于使用灵活取样的过程控制的方法及系统
KR102390720B1 (ko) 2015-10-08 2022-04-26 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치의 제어 방법 및 디바이스의 제조 방법, 리소그래피 장치를 위한 제어 시스템 및 리소그래피 장치
WO2017060080A1 (en) 2015-10-08 2017-04-13 Asml Netherlands B.V. Methods & apparatus for controlling an industrial process
US11036146B2 (en) 2015-10-19 2021-06-15 Asml Netherlands B. V. Method and apparatus to reduce effects of nonlinear behavior
WO2017067752A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US10719011B2 (en) 2015-10-19 2020-07-21 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
KR102132373B1 (ko) * 2015-10-19 2020-07-10 에이에스엠엘 네델란즈 비.브이. 패터닝 프로세스 오차를 정정하기 위한 방법 및 장치
US11016397B2 (en) 2015-12-17 2021-05-25 Asml Netherlands B.V. Source separation from metrology data
JP6703612B2 (ja) 2016-02-26 2020-06-03 エーエスエムエル ネザーランズ ビー.ブイ. 構造を測定する方法、検査装置、リソグラフィシステム、およびデバイス製造方法
CN109073992B (zh) 2016-04-22 2021-09-28 Asml荷兰有限公司 堆叠差异的确定和使用堆叠差异的校正
JP6895985B2 (ja) * 2016-04-28 2021-06-30 エーエスエムエル ネザーランズ ビー.ブイ. Hhg源、検査装置、および測定を実施する方法
IL263765B2 (en) * 2016-07-15 2023-04-01 Asml Netherlands Bv Method and device for designing a target field for metrology
US10897566B2 (en) 2016-09-28 2021-01-19 Kla-Tencor Corporation Direct focusing with image binning in metrology tools
US10190991B2 (en) 2016-11-03 2019-01-29 Applied Materials Israel Ltd. Method for adaptive sampling in examining an object and system thereof
EP3321740A1 (en) * 2016-11-11 2018-05-16 ASML Netherlands B.V. Determining an optimal operational parameter setting of a metrology system
EP3333633A1 (en) * 2016-12-09 2018-06-13 ASML Netherlands B.V. Methods and apparatus for predicting performance of a measurement method, measurement method and apparatus
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
WO2018172027A1 (en) * 2017-03-23 2018-09-27 Asml Netherlands B.V. Asymmetry monitoring of a structure
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
EP3422105A1 (en) * 2017-06-30 2019-01-02 ASML Netherlands B.V. Metrology parameter determination and metrology recipe selection
US11403453B2 (en) * 2017-07-12 2022-08-02 Asml Netherlands B.V. Defect prediction
EP3435162A1 (en) * 2017-07-28 2019-01-30 ASML Netherlands B.V. Metrology method and apparatus and computer program
US10401738B2 (en) 2017-08-02 2019-09-03 Kla-Tencor Corporation Overlay metrology using multiple parameter configurations
EP3444674A1 (en) * 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10699969B2 (en) * 2017-08-30 2020-06-30 Kla-Tencor Corporation Quick adjustment of metrology measurement parameters according to process variation
KR102378617B1 (ko) * 2017-09-28 2022-03-23 에이에스엠엘 네델란즈 비.브이. 리소그래피 방법
EP3489756A1 (en) 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10474040B2 (en) 2017-12-07 2019-11-12 Kla-Tencor Corporation Systems and methods for device-correlated overlay metrology
US10473460B2 (en) 2017-12-11 2019-11-12 Kla-Tencor Corporation Overlay measurements of overlapping target structures based on symmetry of scanning electron beam signals
EP3499311A1 (en) * 2017-12-14 2019-06-19 ASML Netherlands B.V. Method for controlling a manufacturing apparatus and associated aparatuses
WO2019129632A1 (en) * 2017-12-28 2019-07-04 Datalogic Ip Tech S.R.L. System and method for selecting a quality grade metric profile for assuring optimal control of symbol quality in a dpm process
CN111670411B (zh) * 2018-01-24 2023-11-14 Asml荷兰有限公司 基于计算量测的采样方案
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
JP7137943B2 (ja) * 2018-03-20 2022-09-15 株式会社日立ハイテク 探索装置、探索方法及びプラズマ処理装置
KR102092379B1 (ko) * 2018-04-13 2020-03-23 김대희 반도체 웨이퍼 검사방법, 이의 검사장치 및 기록매체
WO2019236084A1 (en) 2018-06-07 2019-12-12 Kla-Tencor Corporation Overlay measurement using phase and amplitude modeling
US10964566B2 (en) * 2018-06-29 2021-03-30 Taiwan Semiconductor Manufacturing Go., Ltd. Machine learning on overlay virtual metrology
KR20210044283A (ko) * 2018-09-19 2021-04-22 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 그 장치
US11062928B2 (en) 2019-10-07 2021-07-13 Kla Corporation Process optimization using design of experiments and response surface models
EP3671347A1 (en) * 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
US11333982B2 (en) 2019-01-28 2022-05-17 Kla Corporation Scaling metric for quantifying metrology sensitivity to process variation
US10928739B2 (en) * 2019-02-22 2021-02-23 Kla-Tencor Corporation Method of measuring misregistration of semiconductor devices
JP7258210B2 (ja) * 2019-07-10 2023-04-14 ケーエルエー コーポレイション データ駆動型ミスレジストレーションパラメータ設定および測定システムおよび方法
US11340060B2 (en) * 2019-07-23 2022-05-24 Kla Corporation Automatic recipe optimization for overlay metrology system
EP3770682A1 (en) * 2019-07-25 2021-01-27 ASML Netherlands B.V. Method and system for determining information about a target structure
US11360397B2 (en) 2019-09-17 2022-06-14 Kla Corporation System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements
EP3828632A1 (en) * 2019-11-29 2021-06-02 ASML Netherlands B.V. Method and system for predicting electric field images with a parameterized model
WO2021104718A1 (en) * 2019-11-29 2021-06-03 Asml Netherlands B.V. Method and system for predicting process information with a parameterized model
US11874102B2 (en) 2019-12-30 2024-01-16 Kla Corporation Thick photo resist layer metrology target
US11809090B2 (en) 2020-01-30 2023-11-07 Kla Corporation Composite overlay metrology target
CN113436133B (zh) * 2020-03-23 2022-05-31 长鑫存储技术有限公司 晶圆量测方法、装置及计算机可读存储介质
US11686576B2 (en) 2020-06-04 2023-06-27 Kla Corporation Metrology target for one-dimensional measurement of periodic misregistration
US11209737B1 (en) 2020-06-30 2021-12-28 Kla Corporation Performance optimized scanning sequence for eBeam metrology and inspection
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US11378394B1 (en) 2020-12-11 2022-07-05 Kla Corporation On-the-fly scatterometry overlay metrology target
US11460783B2 (en) 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
CN117546090A (zh) * 2021-10-21 2024-02-09 科磊股份有限公司 用于改进叠加误差计量的感应位移

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005529488A (ja) * 2002-06-05 2005-09-29 ケーエルエー−テンカー テクノロジィース コーポレイション 向上された自動プロセス制御のためのオーバレイ診断の利用
US20100091284A1 (en) * 2002-12-05 2010-04-15 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900407A (en) * 1997-02-06 1999-05-04 Inspire Pharmaceuticals, Inc. Method of treating dry eye disease with uridine triphosphates and related compounds
US7068833B1 (en) * 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7804994B2 (en) * 2002-02-15 2010-09-28 Kla-Tencor Technologies Corporation Overlay metrology and control method
US7608468B1 (en) 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
JP4734261B2 (ja) 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US7406860B2 (en) * 2006-04-28 2008-08-05 Seagate Technology Llc Atomic force microscopy scanning and image processing
US8175831B2 (en) 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
JP2009030745A (ja) * 2007-07-27 2009-02-12 Panasonic Corp 流体軸受装置およびこれを備えたスピンドルモータ
US8243273B2 (en) * 2008-06-04 2012-08-14 Kla-Tencor Corporation Enhanced OVL dummy field enabling “on-the-fly” OVL measurement methods
CN107895728B (zh) 2017-12-05 2020-07-28 京东方科技集团股份有限公司 阵列基板、其制作方法和包括阵列基板的显示装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005529488A (ja) * 2002-06-05 2005-09-29 ケーエルエー−テンカー テクノロジィース コーポレイション 向上された自動プロセス制御のためのオーバレイ診断の利用
US20100091284A1 (en) * 2002-12-05 2010-04-15 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry

Also Published As

Publication number Publication date
EP2694983A4 (en) 2014-10-29
US20230051705A1 (en) 2023-02-16
CN103582819B (zh) 2016-09-14
US11372340B2 (en) 2022-06-28
CN103582819A (zh) 2014-02-12
JP2017122920A (ja) 2017-07-13
JP6099626B2 (ja) 2017-03-22
WO2012138758A1 (en) 2012-10-11
EP2694983A1 (en) 2014-02-12
KR20140031894A (ko) 2014-03-13
JP2014512101A (ja) 2014-05-19
KR102090915B1 (ko) 2020-03-19
EP3779598A2 (en) 2021-02-17
US20130035888A1 (en) 2013-02-07
JP6462022B2 (ja) 2019-01-30
KR20190011821A (ko) 2019-02-07
EP3779598B1 (en) 2022-12-21
EP3779598A3 (en) 2021-04-14
EP2694983B1 (en) 2020-06-03

Similar Documents

Publication Publication Date Title
KR101943593B1 (ko) 공정 제어를 개선하기 위한 품질 메트릭 제공 방법 및 시스템
JP6740338B2 (ja) リソグラフィプロセスのパラメータを測定するための方法及び装置、並びにこの方法で使用される基板及びパターニングデバイス
US20230021079A1 (en) Method for controlling a lithographic apparatus and associated apparatuses
KR102188711B1 (ko) 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법
US11048174B2 (en) Method of controlling a patterning process, lithographic apparatus, metrology apparatus lithographic cell and associated computer program
KR20130007575A (ko) 스마트 보간을 갖는 최적화된 샘플링 방식을 사용하여 공정 도구 교정 가능 요인을 제공하는 방법 및 시스템
KR101869573B1 (ko) 서브 샘플링 방식을 이용하여 툴-유도 시프트를 제공하는 방법 및 시스템
EP3451061A1 (en) Method for monitoring a manufacturing process
TWI653514B (zh) 量測基板屬性之方法、檢測裝置、微影系統及元件製造方法
TWI582539B (zh) 用於改良程序控制之品質度量的方法及系統
EP4115171A1 (en) Fleet matching of semiconductor metrology tools without dedicated quality control wafers
TWI622860B (zh) 用於控制微影裝置之方法、微影裝置及元件製造方法
US11016399B2 (en) Method for controlling a manufacturing apparatus and associated apparatuses
US10928737B2 (en) Method for characterizing distortions in a lithographic process, lithographic apparatus, lithographic cell and computer program
TW202107217A (zh) 度量衡中不可校正之誤差

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant