CN107532945A - 用于倾斜装置设计的计量目标设计 - Google Patents

用于倾斜装置设计的计量目标设计 Download PDF

Info

Publication number
CN107532945A
CN107532945A CN201680021294.3A CN201680021294A CN107532945A CN 107532945 A CN107532945 A CN 107532945A CN 201680021294 A CN201680021294 A CN 201680021294A CN 107532945 A CN107532945 A CN 107532945A
Authority
CN
China
Prior art keywords
target
design
metering
target design
zernike
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201680021294.3A
Other languages
English (en)
Other versions
CN107532945B (zh
Inventor
李明俊
M·D·史密斯
M·E·阿德尔
E·阿米特
D·坎戴尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Priority to CN202011278298.4A priority Critical patent/CN112485971A/zh
Publication of CN107532945A publication Critical patent/CN107532945A/zh
Application granted granted Critical
Publication of CN107532945B publication Critical patent/CN107532945B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J9/00Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)
  • Testing Of Optical Devices Or Fibers (AREA)

Abstract

本发明提供用于测量倾斜装置设计的计量方法、模块及目标。所述方法相对于目标候选者与装置设计之间的图案放置误差PPE的泽尼克(Zernike)灵敏度的关系分析并优化目标设计。蒙特卡罗(Monte Carlo)方法可经应用以增强所述选定目标候选者对透镜像差中及/或装置设计中的变化的稳健性。此外,考虑相对于所述泽尼克灵敏度审慎地修改目标参数以改进计量测量质量且减小不精确性。

Description

用于倾斜装置设计的计量目标设计
相关申请案的交叉参考
本申请案主张2015年4月21日申请的第62/150,290号美国临时专利申请案的权益,所述美国临时专利申请案以全文引用的方式并入本文中。
技术领域
本发明涉及计量领域,且更特定来说,本发明涉及计量目标设计。
背景技术
在半导体计量领域中,一种计量工具可包括:照明系统,其照明目标;收集系统,其捕获由所述照明系统与目标、装置或特征的相互作用(或无相互作用)提供的相关信息;及处理系统,其使用一或多个算法分析收集到的信息。计量工具可用来测量与各种半导体制造工艺相关联的结构及材料特性(例如,材料组合物、结构及膜的尺寸特性(例如膜厚度及/或结构的临界尺寸)、叠加等等)。这些测量用来促进半导体裸片的制造中的过程控制及/或产率。计量工具可包含一或多个硬件配置,所述一或多个硬件配置可结合本发明的某些实施例使用以(例如)测量各种上述半导体结构及材料特性。此类硬件配置的实例包含下列内容:光谱椭偏仪(SE)、具有多个照明角度的SE、测量穆勒矩阵元素(例如,使用旋转补偿器)的SE、单波长椭偏仪、光束轮廓椭偏仪(角度分辨椭偏仪)、光束轮廓反射计(角度分辨反射计)、宽带反射式光谱仪(光谱反射计)、单波长反射计、角度分辨反射计、任何成像系统、光瞳成像系统、光谱成像系统、散射计(例如,散斑分析仪)等等。
硬件配置可被分为离散操作系统。另一方面,一或多个硬件配置可被组合成单个工具。多个硬件配置到单个工具的此组合的一个实例由第7,933,026号美国专利提供(包含(例如)宽带SE、具有旋转补偿器的SE、光束轮廓椭偏仪、光束轮廓反射计、宽带反射式光谱仪,及深紫外反射式光谱仪),所述美国专利以全文引用的方式并入本文中。另外,此类系统中通常存在众多光学元件,包含某些透镜、准直器、镜、四分之一波板、偏光器、检测器、相机、光圈及/或光源。用于光学系统的波长可从约120nm变化到3微米。对于非椭偏仪系统,收集的信号可为偏光分辨或未偏光的。多个计量头可被集成在相同工具上,然而,在许多情况中,多个计量工具用于对单个或多个计量目标测量,如(例如)在第7,478,019号美国专利中所描述,所述专利以全文引用的方式并入本文中。
某些硬件配置的照明系统包含一或多个光源。所述光源可产生仅具有一个波长的光(即,单色光)、具有若干离散波长的光(即,多色光)、具有多个波长的光(即,宽带光)及/或在波长之间连续或跳跃地扫过波长的光(即,可调谐源或扫频源)。适当光源的实例是:白光源、紫外(UV)激光器、弧灯或无电极灯、激光器持续等离子体(LSP)源、超连续源(例如宽带激光源)或较短波长源,例如x射线源、极UV源或其一些组合。光源也可经配置以提供具有足够亮度的光,所述亮度在某些情况中可为大于约1W/(nm cm2Sr)的亮度。计量系统也可包含到光源的快速反馈以供稳定其功率及波长。光源的输出可经由自由空间传播递送,或在一些情况中经由任何类型的光纤或光导递送。
计量目标可拥有各种空间特性且通常由可包含一或多个层中的特征的一或多个单元构造,所述一或多个层可能已在一或多个光刻相异曝光中印刷。目标或单元可拥有各种对称性(例如双重或四重旋转对称性、反射对称性),如(例如)在第6,985,618号美国专利中所描述,所述美国专利以全文引用的方式并入本文中。不同单元或单元组合可属于相异层或曝光步骤。个别单元可包括隔离的非周期性特征,或替代地个别单元可由一维、二维或三维周期性结构或非周期性与周期性结构的组合构造,如(例如)在第2013/042089号美国专利公开案中,所述美国专利公开案以全文引用的方式并入本文中。周期性结构可为非分割的,或周期性结构可由精细分割特征构造,所述特征可能以或接近用来印刷特征的光刻工艺的最小设计规则。计量目标也可与计量结构的相同层中或计量结构的上方、下方的层或计量结构的层之间的层中的虚拟化结构并置或紧邻。目标可包含多个层(或膜),其厚度可由计量工具进行测量。目标可包含放置(或已存在)于半导体晶片上以供(例如)搭配对准及/或叠加配准操作使用的目标设计。某些目标可被定位在半导体晶片上的各种位置处。举例来说,目标可被定位在切割道(例如,在裸片之间)内及/或定位在裸片自身中。可由相同或多个计量工具(同时或在不同时间)测量多个目标,如(例如)在第7,478,019号美国专利中描述,所述美国专利以全文引用的方式并入本文中。可组合来自此类测量的数据。来自计量工具的数据用于半导体制造过程中(例如)以将校正前馈、反向馈送及/或侧向馈送到工艺(例如,光刻、蚀刻),参见(例如)第8,930,156号美国专利,所述美国专利以全文引用的方式并入本文中,其揭示用于再使用计量目标单元的前馈方法;且因此,可能产生完整过程控制解决方案。计量工具经设计以进行与半导体制造相关的许多不同类型的测量,(例如)测量一或多个目标的特性(例如临界尺寸、叠加、侧壁角度、膜厚度、工艺相关参数(例如,聚焦及/或剂量))。目标可包含本质上是周期性的某些所关注区域,例如(举例来说)存储器裸片中的光栅。
随着半导体装置图案尺寸持续缩小,往往要求较小计量目标。此外,测量精确性及与实际装置特性的匹配增加对于类装置目标以及裸片中及甚至装置上测量的需求。各种计量实施方案已被提出来实现那个目的。举例来说,基于主要反射式光学器件的聚焦光束椭圆偏振测量描述于(例如)第5,608,526号美国专利中,所述美国专利以全文引用的方式并入本文中。变迹器(apodizer)可用来缓解导致照明点扩散超出由几何光学器件界定的大小的光学衍射效应,如(例如)在第5,859,424号美国专利中所描述,所述美国专利以全文引用的方式并入本文中。具有同时多入射角照明的高数值孔径工具的使用是实现小目标能力的另一方式,如(例如)在第6,429,943号美国专利中所描述,所述美国专利以全文引用的方式并入本文中。其它测量实例可包含测量半导体堆叠的一或多个层的组合物、测量晶片上(或内)的某些缺陷,及测量曝露于晶片的光刻辐射量。在某些情况中,计量工具及算法可经配置用于测量非周期性目标,如(例如)在第14/294540号美国专利申请案及在第2014/0222380号美国专利公开案中所描述,所述案以全文引用的方式并入本文中。
所关注参数的测量通常涉及通过相应计量工具中的对应分析单元实施的若干算法。举例来说,入射光束与样本的光学相互作用是使用EM(电磁)解算器建模且使用如RCWA(严格耦合波分析)、FEM(有限元法)、矩量法、表面积分法、体积积分法、FDTD(有限差分时域)及其它的此类算法。通常使用几何引擎或在一些情况中使用过程建模引擎或两者的组合来建模(参数化)所关注目标。过程建模的使用描述于例如,第2014/0172394号美国专利公开案中,所述美国专利公开案以全文引用的方式并入本文中。几何引擎实施于例如,科磊公司(KLA-Tencor)的AcuShape软件产品中。
可通过若干数据拟合及优化技术及科技分析收集的数据,包含库、快速降级模型;回归;机器学习算法,例如神经网络、支持向量机(SVM);降维算法,例如(例如)PCA(主分量分析)、ICA(独立分量分析)、LLE(局部线性嵌入);稀疏表示,例如傅立叶(Fourier)或小波变换;卡尔曼滤波器;促进来自相同或不同工具类型的匹配的算法,及其它。收集到的数据也可通过不包含建模、优化及/或拟合建模的算法分析,如(例如)在第2014/0257734号美国专利公开案中描述,所述美国专利公开案以全文引用的方式并入本文中。计算算法通常经优化用于计量应用,其中使用一或多个方法,例如计算硬件的设计及实施方案、并行化、计算的分布、负载平衡、多服务支持、动态负载优化等等。算法的不同实施方案可在固件、软件、FPGA(现场可编程门阵列)、可编程光学器件组件等等中完成。数据分析及拟合步骤通常追求下列目的中的一或多者:CD(临界尺寸)、SWA(侧壁角度)、形状、应力、组合物、膜、带隙、电气性质、聚焦/剂量、叠加、产生过程参数(例如,抗蚀剂状态、分压、温度、聚焦模型)及/或其任何组合的测量;计量系统的建模及/或设计;及计量目标的建模、设计及/或优化。
需要一般来说的计量目标及特定来说的叠加计量目标来精确表示不同层中的装置特征之间的相对放置。已知曝光工具中的光学像差的存在可引发取决于特征的空间特性变化的图案放置误差。此外,与包含OPC的掩模组合的极端离轴照明通常用于高级半导体制造节点中的最关键层,从而增大对扫描仪像差的放置误差灵敏度。因此,在装置的空间特性相对于计量目标的空间特性之间的任何差异很可能引发计量结果与实际装置边缘放置之间的偏差,寻求所述偏差以便将可校正控制提供回到光刻曝光工具。举例来说,第8,214,771号及第7,925,486号美国专利(其以全文引用的方式并入本文中)教示使用光刻或计量模拟以便预测计量目标在精密度、精确性及装置相关方面的性能。使用光刻模拟以便预测计量目标的像差引发的图案放置误差并比较像差引发的图案放置误差与在相同像差条件下由装置特征引起的放置误差。
图1是相对于两种不同类型的叠加计量标记的扫描仪像差对装置图案的影响的实例的高级示意说明,其说明根据现有技术的现有技术图案放置误差(PPE)分析。计量目标与装置特征之间的图案放置误差中的差异针对给定实例透镜像差展示,如由光刻模拟通过各种方法(包含用于掩模模拟的克契霍夫(Kirchoff)近似及用于抗蚀的全物理模型)实现。针对两个不同光刻层(栅极层—在底端,及在图1的顶端的栅极切口层)展现装置与不同类型的计量目标(SCOL—散射测量叠加目标及AIM—高级成像计量目标)之间的PPE差异。照明源示意地描绘于图1的左侧—偶极Y照明源用于栅极层(底端)且C-四源用于栅极切口层(顶端)。在图1的底端展示用于这些PPE计算的实例透镜像差(RMS=8.4毫波,毫波表达像差,例如单位波长的透镜像差—即,所使用波长的千分之一)。图1示范存在针对两个过程的大且非相关PPE,从而导致大的测量误差。归因于装置及计量目标的不同特征大小的使用,其衍射波前几乎始终不相同。因此,计量目标与装置特征之间的PPE差异是不可避免的且PPE量强烈取决于特征大小及照明形状。
发明内容
下列是提供对本发明的初步理解的简化概要。所述概要并不一定识别关键要求,也不限制本发明的范围,而仅用作对下列描述的介绍。
本发明的一个方面提供一种计量目标设计的方法,所述方法包括计算至少一个装置设计及多个计量目标设计的图案放置误差(PPE)的泽尼克(Zernike)灵敏度,及根据从计算得到的泽尼克灵敏度导出的成本函数的值来选择最佳计量目标设计,所述成本函数量化至少一个装置设计与多个计量目标设计之间的泽尼克灵敏度的类似性。
本发明的一个方面提供一种计量目标设计的方法,所述方法包括:针对至少一个装置设计及多个目标设计候选者中的每一者:(i)重复地针对多个运行:针对多个泽尼克多项式Zi中的每一者产生多个Ni(Ni>100)泽尼克系数值,值相对于指定范围内的指定分布伪随机地产生,针对泽尼克多项式中的每一者计算PPE,及针对运行计算相应PPE测量;及导出计算得到的相应PPE测量的分布;(ii)使导出的目标设计候选者分布中的每一者与至少一个导出的装置设计分布相关以针对每一目标设计候选者产生装置对应测量;及(iii)根据导出的装置对应测量选择最佳计量目标设计。
本发明的一个方面提供一种优化计量目标设计的方法,所述方法包括以根据计量性能要求确定的初始目标设计开始且使用模拟工具:相对于至少两个方向,比较初始目标设计与至少一个装置设计之间的图案放置误差(PPE)的泽尼克灵敏度,估计初始目标设计的过程窗,及通过修改初始目标设计而从所述初始目标设计导出经改进计量目标设计以增大泽尼克灵敏度中的对应并增大过程窗。
本发明的一个方面提供一种相对于装置设计确定目标设计的方法,所述方法包括:模拟初始目标设计及装置设计的零阶及一阶衍射信号的光瞳平面位置,及修改初始目标设计中的至少一个参数以产生经改进目标设计,实施所述修改以提供对应于其在装置设计中的关系的经改进目标中的零阶及一阶衍射信号的光瞳平面位置之间的关系。
本发明的这些、额外及/或其它方面及/或优点在随后的详细描述中陈述;可能可从所述详细描述推断;及/或可通过实践本发明而学习。
附图说明
为了更好地理解本发明的实施例且为展示可如何实现本发明的实施例,现在将纯粹通过实例参考附图,其中贯穿全文相似元件符号指定对应元件或区段。
在附图中:
图1时相对于两种不同类型的叠加计量标记的扫描仪像差对装置图案的影响的实例的高级示意说明,其说明根据现有技术的现有技术图案放置误差(PPE)分析。
图2是根据现有技术且根据本发明的一些实施例的呈现在光学系统的光瞳平面中的各种照明的高级示意说明。
图3A说明根据本发明的一些实施例的针对包括在偶极Y照明下具有100nm间距及50nm CD的在x方向上对准的线及空间结构的装置的泽尼克灵敏度的示范性模拟结果。
图3B示范根据本发明的一些实施例的具有不同参数的三个计量目标候选者与装置之间的泽尼克灵敏度的比较。
图4是根据本发明的一些实施例的计量系统中用于目标设计及/或选择的计量模块的高级示意框图。
图5及6时根据本发明的一些实施例的目标设计及/或选择的方法的高级示意流程图。
图7是根据本发明的一些实施例的针对方法的蒙特卡罗(Monte Carlo)分析阶段的说明性实例。
图8是根据本发明的一些实施例的针对应用于常规及两个不同分割AIM(高级成像计量)目标设计的方法的蒙特卡罗分析阶段的说明性实例。
图9是根据现有技术的装置设计、用来制造此类装置的照明条件及典型成像计量目标的高级示意说明。
图10是根据本发明的一些实施例的目标设计及/或优化的系统300的高级示意框图。
图11A及11B呈现根据本发明的一些实施例的各自相对于其泽尼克灵敏度而与相同装置比较的不同目标设计的示范性比较。
图12A是解释无对Y奇数像差的现有技术目标灵敏度的示意性说明。
图12B是根据本发明的一些实施例的倾斜照明的高级示意模型。
图12C是根据本发明的一些实施例的光瞳平面处目标间距修改的效应的高级示意说明。
图13A及13B是根据本发明的一些实施例的光瞳平面处目标间距修改的效应的高级示意说明。
图13C是根据本发明的一些实施例的目标间距对不同Y彗形像差的PPE的效应的的高级示意说明。
图14是根据本发明的一些实施例的相对于装置确定目标分割的建议方法的高级示意说明。
图15A及15B示意地说明根据相对于现有技术(图15A)的本发明的一些实施例(图15B)的用于改进并优化过程窗的方式。
图16是根据本发明的一些实施例的优化计量目标设计的方法的高级示意流程图。
图17是根据本发明的一些实施例的倾斜目标的高级示意说明。
具体实施方式
在下列描述中,描述本发明的各种方面。出于解释的目的,陈述特定配置及细节以便提供对本发明的详尽理解。然而,所属领域的技术人员也将明白可在无本文中呈现的特定细节的情况下实践本发明。此外,众所周知的特征可能已被省略或简化以免混淆本发明。具体参考图式,强调展示的细节是作为实例且仅出于本发明的说明性论述的目的,且为了提供据信为本发明的原理及概念方面的最有用且容易理解描述的内容而呈现。在此点上,不尝试比对于本发明的基本理解所必要的更详细地展示本发明的结构细节,结合图式进行的描述使所述领域的技术人员明白可如何在实践中体现本发明的数个形式。
在详细解释本发明的至少一个实施例之前,应理解,本发明在其应用方面并不限于下列描述中陈述或图式中说明的组件的构造与布置的细节。本发明可应用于可以各种方式实践或实施的其它实施例以及可应用于所揭示实施例的组合。此外,应理解,本文中采用的措词及术语是出于描述的目的且不应被视为具限制性。
除非另外明确规定,否则如从下列论述显而易见,应了解贯穿说明书,利用例如“处理”、“计算(computing)”、“计算(calculating)”、“确定”、“提高”或类似物的术语的论述是指计算机或计算系统或类似电子计算装置的动作及/或过程,所述计算机或计算系统或类似电子计算装置将表示为计算系统的寄存器及/或存储器内的物理(例如电子)数量的数据操纵及/或变换成类似表示为计算系统的存储器、寄存器或其它此类信息存储、传输或显示器设备内的物理数量的其它数据。
本发明的实施例提供有效且经济的方法及机构用于以改进目标与装置之间的对应的方式相对于计量参数、光学像差及工艺参数优化计量目标设计。
提供计量方法、模块及目标用于测量倾斜装置设计。方法相对于目标候选者与装置设计之间的图案放置误差(PPE)的泽尼克灵敏度的关系分析并优化目标设计。蒙特卡罗方法可经应用以提高选定目标候选者对透镜像差中及/或装置设计中的变化的稳健性。此外,考虑相对于泽尼克灵敏度审慎地修改目标参数以改进计量测量质量并减小不精确性。
图2是根据现有技术且根据本发明的一些实施例的呈现在光学系统的光瞳平面90中的各种照明的高级示意说明。照明80、85表示在制造几十到几百纳米(nm)(例如,当前通常80nm)的间距的装置时的扫描仪照明。照明80表示x轴照明(在数值孔径坐标NAx中)且照明85表示可应用于某些装置(例如,存储器装置)的倾斜照明(即,具有Nay分量)。照明95表示用于测量比装置大至少一个量级(通常1000到2000nm)的目标的现有技术计量工具照明。归因于较大间距,照明95覆盖不同于扫描仪照明80的光瞳坐标,且通常无Nay分量。箭头91表示使用偶数及奇数泽尼克多项式(分别具有对应泽尼克径向多项式分析光瞳平面像差的实践方式。由于扫描仪照明80、85通常使用光瞳平面90中不同于现有技术计量照明95使用的区域的区域,所以其经历不同像差且计量测量遭受对应不精确性。
在本发明中,光瞳平面像差经由其在泽尼克多项式中的表示的分析用来修改计量目标间距及计量照明101以提供计量测量对装置参数的更好对应并减小计量不精确性。所揭示方法实现优化计量目标且特别针对在透镜像差存在时从垂直或水平轴倾斜的图案预测图案放置误差(PPE)差异。所揭示方法涉及将在半导体制造期间用于测量装置或测试特征的光学及/或结构及/或放置特性的计量目标的设计及/或设计的优化。光学或结构特性的实例包括临界尺寸,例如高度、侧壁角度、间距、线宽、膜厚度、折射率及不同层之间或单个层内的曝光之间的叠加。所设计计量目标也可用来测量半导体装置的光刻图案化的聚焦及剂量。方法实现计量目标的设计及/或优化,所述计量目标比现有技术更有效地跟踪由装置特征引起的像差引发的图案放置误差。所述方法实现稳健计量目标的设计,所述稳健计量目标特别针对倾斜装置特征相对于垂直/水平方向最小化放置误差差异。有利地,所揭示方法优化特别针对存储器应用中的倾斜线及空间图案的成像叠加目标,且可产生图案放置误差的26%的估计减小。
某些实施例包括目标设计方法,所述方法(i)运用成本函数实施泽尼克灵敏度分析及/或(ii)使用蒙特卡罗方法来分析灵敏度,且实现设计优化用于装置像差跟踪。所揭示方法可以各种方式集成在计量目标设计过程中,例如:(i)产生分割替代物,即,可定义计量目标的详细构造且可通过如下文描述的PPE分析分析此类设计置换的所有或子集。获胜竞争者的子集随后可被发送到第二计量模拟步骤,所述步骤将剩余竞争者量化并排序。(ii)PPE分析可与计量模拟步骤并行实施以便最小化产生结果的时间。(iii)由计量模拟步骤排序为高的竞争者的子集可用作PPE分析的输入。
PROLITH模拟可用来针对装置以及目标候选者建置光刻模型,且使用Matlab的PROLITH编程接口(PPI)可用于泽尼克灵敏度及蒙特卡罗分析。为展现概念,以非限制方式使用针对浸入光刻工具的使用的现实光刻条件。装置被假定为在x方向上对准的线及空间结构,因此照明的正确选择是如图1中展示的偶极Y源。具有正色调显影过程的明场掩模(BFM)用来在抗蚀剂中印刷图案。将全物理抗蚀剂模型连同克契霍夫掩模模拟模式一起使用。强调类似方法可应用于任何现实类型的装置、目标及照明。
泽尼克灵敏度分析
实际上,由于制作完美透镜的困难,所以从未实现无像差成像系统,因此像差是针对光刻透镜的基本问题。此透镜像差可引起印刷特征的从标称中心位置的位置误差,其被称为图案放置误差(PPE)。可由36个泽尼克系数的数值特性化透镜像差行为,且透镜像差的任何实例(参见(例如)图1的底端)可被表达为泽尼克多项式项的混合物。特定来说,可如方程式1中表达那样建模在透镜像差的影响下的PPE,其中PPE(Z=0)表示无透镜像差的放置误差且PPE(Zi)表示针对第i个泽尼克系数值的放置误差。
对PPE的泽尼克灵敏度可被定义为提供关于叠加性能的关键信息。应注意,由于对应于阶段的倾斜的Z2及Z3项通常在校正之后维持为零,所以方程式1仅使用i=4、…、36。针对i=4、…、36的装置Y-PPE可最初依据泽尼克系数值计算,且可在约-80毫波到+80毫波之间变化。发明者已发现Y-PPE仅对在类似于图1的条件下模拟的奇数泽尼克多项式,例如Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35(如图3A中说明)具有灵敏度。图3A说明根据本发明的一些实施例的针对包括在偶极Y照明下具有100nm间距及50nm CD的在x方向上对准的线及空间结构的装置的泽尼克灵敏度的示范性模拟结果。图3A说明在说明的情况泽尼克系数Z8、Z11、Z15及Z20中PPE与泽尼克系数变化的线性关系(111A、111B)。PPE的灵敏度对不同泽尼克系数不同,从而导致系数对PPE的不同影响。可针对X-PPE实施类似分析。
不同目标可相对于其泽尼克灵敏度进行比较,且具有其最接近装置的泽尼克灵敏度的泽尼克灵敏度的目标可选定以提供适当计量测量。理想地,鉴于光刻工具的某一透镜像差,理想叠加目标具有类装置泽尼克灵敏度。图3B示范根据本发明的一些实施例的具有不同参数的三个计量目标候选者及装置之间的泽尼克灵敏度的比较。图3B呈现依据每一泽尼克项(Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35)的计算装置及目标的Y-PPE的结果。此处,针对Z4…Z36假定20毫波的恒定泽尼克系数值。在实例中,目标T1、T2、T3具有相同间距450nm,且在CD上不同以分别具有100nm、150nm、200nm的值。
任何目标比较数据可用来用成本函数度量提取可用目标候选者的排序,例如如在方程式2中所提供,其中PPED及PPET分别表示装置PPE及目标PPE。
方程式2中表达的成本函数提供装置与目标之间的PPE差异,且因此实现针对泽尼克系数的给定标称值选择最佳执行目标。在表1中概述针对图3B中呈现的示范性非限制比较的结果。在此说明性实例中,目标4具有最高排序且选定以提供在模拟透镜像差及条件下最佳表示装置的计量测量。在某些实施例中,排序方法可考虑额外因素,例如光刻度量及其它计量度量。
表1:使用方程式2的成本函数的候选者目标相对于装置的示范性比较。
间距(nm) CD(nm) 成本(nm) 排序
装置 100 50
目标1 450 100 7.0803 2
目标2 450 150 8.4300 3
目标3 450 200 10.629 4
目标4 400 100 6.564 1
图4是根据本发明的一些实施例的用于计量系统中的目标设计及/或选择的计量模块100的高级示意框图。计量模块100可与具有光学系统81及测量模块82的计量工具及/或扫描仪75相关联,所述计量工具及/或扫描仪75经配置以制造装置84且测量如下文所解释由计量模块100提供的计量目标。目标设计及/或选择模块100包括至少一个计算机处理器89,至少一个计算机处理器89经配置以计算至少一个装置设计84及多个计量目标设计108的图案放置误差(PPE)的泽尼克灵敏度,且根据从计算得到的泽尼克灵敏度导出的成本函数的值选择最佳计量目标设计86,成本函数量化至少一个装置设计84与计量目标设计108之间的泽尼克灵敏度的类似性。计量目标设计及/或选择模块100可经配置以实施本文中所描述的目标设计及/或选择方法中的任何者。由计量模块100设计的计量目标及其目标设计文件是本发明的相同意义。
图5是根据本发明的一些实施例的目标设计及/或选择的方法150的高级示意流程图。方法150的步骤可用于本文中描述的任何其它方法。某些实施例包括计算机程序产品,包括具有随其体现且经配置以实施方法150的相关阶段的计算机可读程序的计算机可读存储媒体。某些实施例包括由方法150的实施例设计的相应目标的目标设计文件。
方法150可包括计算至少一个装置设计及多个计量目标设计的图案放置误差(PPE)泽尼克灵敏度(阶段160)(例如,根据方程式1),及根据从计算得到的泽尼克灵敏度的成本函数导出的值选择最佳计量目标设计(阶段170)(例如,根据方程式2)。成本函数可经定义(阶段165)以量化至少一个装置设计与多个计量目标设计之间的泽尼克灵敏度的类似性。可由至少一个计算机处理器(例如,处理器89)实施计算160及选择170中的至少一者(阶段190)。可相对于泽尼克系数Z4…Z36;仅相对于奇数泽尼克系数;或仅相对于泽尼克系数Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35计算泽尼克灵敏度。成本函数可包括至少一个装置设计的PPE泽尼克灵敏度与多个计量目标设计的PPE泽尼克灵敏度之间的距离度量。
蒙特卡罗泽尼克分析
某些实施例提供较大像差下(例如,在图3A的线性近似条件不成立时)的泽尼克灵敏度分析。揭示的方法针对透镜像差中的较大泽尼克漂移或在无精确透镜像差数据而仅具有时间及空间特征的情况下实现目标优化。可(例如)通过归因于特别在高级技术节点中是严重问题的极端离轴照明的透镜加热引起此类泽尼克漂移。另外,揭示的方法提供稳健分析且针对其中透镜像差在批次、晶片及狭缝之间变化的情况实现目标优化。
蒙特卡罗(MC)方法可用来优化强泽尼克变化下的目标。经由蒙特卡罗取样分析泽尼克漂移也实现将优化扩展到替代装置并提供目标统计资料。如下文所描述,使用MC在无关于来自光刻扫描仪的像差指纹的先前知识的情况下,实现类装置目标优化,从而产生更精确目标。
图6是根据本发明的一些实施例的目标设计及/或选择的方法200的高级示意流程图。方法200的步骤可用于本文中所描述的任何其它方法中。某些实施例包括计算机程序产品,所述计算机程序产品包括具有随其体现且经配置以实施方法200的相关阶段的计算机可读程序的计算机可读存储媒体。某些实施例包括由方法200的实施例设计的相应目标的目标设计文件。
方法200包括,针对至少一个装置设计及多个目标设计候选者中的每一者(阶段210):针对多个运行重复地(阶段220):针对多个泽尼克多项式Zi中的每一者产生多个Ni(Ni>100)泽尼克系数值(阶段230),针对泽尼克多项式中的每一者计算PPE(阶段240),及针对运行计算相应PPE测量(阶段250)。值可相对于指定范围(例如,对应于规范中定义的透镜像差容许度)内的指定分布(例如,均匀分布)伪随机地产生(阶段235)。对于每多个运行,方法200包括导出计算得到的相应PPE测量的分布(阶段260)。接着,方法200包括使导出的目标设计候选者分布中的每一者与至少一个导出的装置设计分布相关以针对每一目标设计候选者产生装置对应测量(阶段265);及根据导出的装置对应测量选择最佳计量目标设计(阶段270)。可由至少一个计算机处理器(例如,由处理器89)实施产生230、235、计算240、250、导出260、使相关265及选择270中的至少一者(阶段290)。
方法200可进一步包括选择目标设计候选者以表示指定目标设计的分割替代物(阶段275)及使用装置对应测量来对所述分割替代物进行排序(阶段276)。方法200可进一步包括:与计量模拟过程并行实施计算阶段(240及/或250)(阶段280);及集成使用装置对应测量的排序与从计量模拟过程导出的排序(阶段282)。方法200可进一步包括针对多个装置设计实施所述方法(阶段285)及相对于针对所有装置设计导出的装置对应测量实施选择(270)(阶段286)。任选地,可相对于从多个装置对应测量导出的稳健测量实施选择270(阶段288)。
可由计量模块100实施方法200(参见图4)。计量模块100包括至少一个计算机处理器89,至少一个计算机处理器89可经配置以针对至少一个装置设计及多个目标设计候选者中的每一者:(i)针对多个运行重复地:针对多个泽尼克多项式Zi中的每一者产生多个Ni(Ni>100)泽尼克系数值,所述值相对于指定范围内的指定分布伪随机产生,针对泽尼克多项式中的每一者计算PPE,及针对运行计算相应PPE测量;及导出计算得到的相应PPE测量的分布;(ii)使导出的目标设计候选者分布中的每一者与至少一个导出的装置设计分布相关以针对每一目标设计候选者产生装置对应测量;及(iii)根据导出的装置对应测量选择最佳计量目标设计。
计量模块100可进一步经配置以选择目标设计候选者以表示指定目标设计的分割替代物且任选地使用装置对应测量来对所述分割替代物进行排序。计量模块100可进一步经配置以:与计量模拟过程并行实施计算阶段;及集成使用装置对应测量的排序与从计量模拟过程导出的排序。计量模块100可进一步经配置以使用多个装置设计且相对于针对所有装置设计导出的装置对应测量选择最佳目标,且任选地相对于从多个装置对应测量导出的稳健测量选择最佳目标。由计量模块100设计的计量目标及其目标设计文件是本发明的相同意义。
图7是根据本发明的一些实施例的用于方法200的蒙特卡罗分析阶段的说明性实例。在所述实例中,使用非限制参数:间距=100nm,CD=50nm,N=500,在0与20毫波之间的范围及项Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35的使用。通过产生来自针对每一泽尼克项的所关注现实范围(例如,在0与0.02λ之间,项Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35)的较大数目(N,例如,N=ΣNi=500)的均匀分布式伪随机系数而展现产生230。通过依据每一泽尼克项(Zi)计算Y-PPE(Zi)(作为非限制实例,替代地X-PPE或两者)并计算统计数据(例如针对每一泽尼克项的均数、标准偏差及范围)而展现计算PPE 240。通过依据每一MC运行250A计算Y-PPE(Z)(其可为方程式1中的i=4…36的Y-PPE(Zi)的总和)并计算针对总共N个样本250B且可用作PPE测量的统计数据而展现计算PPE测量250。在针对每一计量目标候选者而对多个运行220重复阶段230到250之后,可由计算相关系数R及装置与目标之间的均数差或通过直接计算装置与目标之间的PPE差异而实施导出PPE测量分布260及使目标与装置相关265。可基于已知统计相关参数(例如R2、3Σ、斜率或截距)计算PPE测量。
图7进一步说明针对表1中定义的装置及每一目标候选者T1、…、T4的导出的分布的相关(265),且在表2中概述其统计数据及排序。如相关分布中所说明,方法200可用来在变化条件下且在无线性泽尼克灵敏度的假定的情况下提供各种目标候选者与装置设计的详细比较。
表2:运用蒙特卡罗方法的针对装置及四个不同散射测量目标候选者的统计数据。基于相关系数值及均数差来对所有目标候选者进行排序。
某些实施例可对任何数目个候选目标、装置设计、对成像目标以及对散射测量目标,且也对简单或复杂二维装置及目标实施方法200。可取决于给定环境设置模拟(条件、运行等等)的任何参数值。
图8是根据本发明的一些实施例的应用于常规及两个不同分割的AIM(高级成像计量)目标设计的方法200的蒙特卡罗分析阶段的说明性实例。装置CD及间距作为分割CD及间距用于分割目标。第一分割的目标无辅助特征,而第二分割的目标使用两个次分辨率辅助特征(SRAF),一个特征经定位紧邻顶端分割线且另一特征经定位紧邻底端分割线。使用蒙特卡罗方法200从穿过具有随机像差的光刻透镜的传播衍射阶计算统计数据及相关系数。针对具有SRAF的分割AIM目标的PPE值在给定500随机像差下与装置中获得的值几乎相同,从而导致强相关R=0.985,其可能归因于分割AIM目标与装置之间的透镜出射光瞳处的衍射阶的振幅及相位分布的良好匹配。然而,如预期在装置与常规AIM目标之间由于其间的大尺寸差异而未观察到相关。图8呈现针对装置及三个目标候选者的导出分布的相关(265)。在表3中呈现非限制数据及所得相关系数及排序。
表3:运用蒙特卡罗方法的针对装置及三个不同AIM目标候选者的统计资料。目标候选者基于相关系数值排序。
有利地,方法150及特定来说方法200(i)最小化对于扫描仪透镜的精确像差特性的输入的需求,(ii)确保更加光刻稳健目标设计,这是因为可在选择过程中考虑多种扫描仪透镜像差图案替代物,及(iii)在可变透镜像差的条件下量化装置与目标之间的相关。举例来说,展示AIM分割方案以将装置及目标相关改进到超过98%。
某些实施例包括用于存储器有源层中的倾斜图案的透镜像差感知基于成像的叠加目标优化方法。这些方法提供计量解决方案用于测量倾斜装置(即,具有沿着相对于计量目标的X及Y轴倾斜的方向的间距的装置)。
图9是根据现有技术的装置设计30、用来制造此类装置的照明条件35A、35B及典型成像计量目标38A、38B的高级示意说明。存储器有源层装置30大体上由间距装置PD(典型间距PD=80nm且CD=40nm)处的旋转线及空间(LS)构成,且由优化的照明源(例如使其电极及倾斜角度α根据装置间距及要求配置的旋转偶极X照明)制造。在源处展示光瞳平面照明35A且在出射光瞳处展示光瞳平面35B,其中衍射阶0及1依据设计重叠以优化装置的印刷过程。由角度α示意地指示装置设计30的倾斜及照明的旋转。现有技术目标沿着水平及垂直方向(X及Y)对准,如(例如)由第7,408,642号及第7,667,842号美国专利分别针对目标38A(标准AIM目标,PT通常是1600到2000nm)及38B(分割AIM目标,参见上文)所教示,所述美国专利作为现有技术以全文引用的方式并入本文中。目标方向的差异导致可印刷性问题及放置误差,例如,如上文针对透镜像差所描述(也参见图2中的对应装置照明85相对于目标照明95)。应注意,现有技术分割计量目标设计经实施以优化计量性能(例如,对比度、衍射效率及精密度),参见(例如)勒雷(Leray)等人,2015年,“三重图案化方案中的叠加计量解决方案(Overlay metrology solutions in a triple patterning scheme)”,国际光学工程学会9424 94240E卷(SPIE Vol 9424 94240E),其作为现有技术以全文引用的方式并入本文中。
某些实施例包括目标设计方法,所述方法将光刻效应并入到目标设计考虑中且允许对计量目标的分割间距、临界尺寸及其它参数进行审慎确定。有利地,揭示的方法实现:(i)改进叠加测量,其可促进增加的产率,(ii)克服归因于优化照明源的透镜加热问题,所述问题导致图案放置误差(也参见上文),(iii)选择符合优化(旋转)照明的分割方案,(iv)减小归因于衍射阶的位置之间的差异及对Y奇数像差项的PPE灵敏度的差异的大PPE偏移,对Y奇数像差项的PPE灵敏度的差异在装置中是强的(归因于倾斜设计)且不存在于目标中,(v)克服使用装置分割的目标的较小过程窗(参见下文),且(vi)导出优化的目标间距,考虑装置间距及具有倾斜极端偶极源的倾斜LS图案的倾斜两者。
图10是根据本发明的一些实施例的目标设计及/或优化的系统300的高级示意框图。图10中说明的元件中的任何者可经实施作为与计量工具中的计算机处理器相关联的模块及/或作为具有随其体现且经配置以实施下文所描述的相应操作的计算机可读程序的计算机可读存储媒体。
系统300包括光刻模型68,光刻模型68相对于计量参数(例如对比度、精密度及衍射效率(在SCOL中))用于计量性能模拟60,示范性分割目标元件(在涉及图9时的单条)展示在模拟模块60下方。接着,设计的目标(例如)通过应用OPC(光学接近校正)及SRAF(及/或其它分割)增强50。展示示范性增强目标元件,其中由箭头指示SRAF分割。接着,增强目标相对于其泽尼克灵敏度100优化(如上文所描述,且在下文详细描述)且也可相对于其过程窗40(例如,相对于DOF(聚焦深度)及EL(曝光宽容度))优化。这两个优化可与额外计量性能模拟60相关地320及对应地310实施以相对于所有三组考虑产生优化的目标330,例如,具有基于(i)最小PPE偏移(来自100)、(ii)最大过程窗(来自40)及(iii)最大计量性能(来自60)选择的分割间距的目标。系统300经配置以在通过减小目标与装置之间的放置误差偏移而改进晶片上的目标可印刷性(即,较大过程窗)及装置-目标匹配两者的同时,计算光学分割间距。设计的叠加目标可用于ADI(显影后检验)及AEI(蚀刻后检验)级两者。分割通常增大过程窗且揭示的方法提供分割间距及设计的优化。举例来说,目标相对于图9的条元件的典型粗糙间距通常是1500nm,所述分割间距的范围可(例如)在80到100nm之间。此范围上的任何值为不同目标提供不同岩石学及过程参数。
图11A及11B呈现根据本发明的一些实施例的各自相对于其泽尼克灵敏度而与相同装置比较的不同目标设计的示范性比较。在两种情况中,装置间距是80nm,CD=40nm且使用20毫波的恒定Z系数。在图11A中,目标分割具有与装置相同的间距(80nm),而在图11B中,目标分割间距不同于装置间距(90nm及100mm;包含80nm目标用于比较)。两个图式都呈现根据泽尼克项的装置及目标模拟的PPE,其中目标与装置灵敏度之间的良好对应指示为340且目标与装置灵敏度之间的良好对应指示为345(在图11A中记号涉及80nm目标,而在图11B中记号涉及90nm及100nm目标)。贡献给图11A中的PPE最多的项是X奇数像差项Z14及Z26,及Y奇数像差项Z3、Z8、Z11、Z20及Z27。如下文更详细地说明,Y奇数像差项Z3、Z8、Z11、Z20及Z27特性化装置归因于其倾斜定向而对Y奇数像差的PPE灵敏度。
应注意,与使用不同于用于目标分割(图11B中的90nm及100nm目标)的装置间距的间距相比,使用用于目标分割的装置间距(图11A)导致更低的装置与目标的灵敏度之间的对应。此结果是意外的,这是因为惯例是以与装置相同的间距分割计量目标。
表4提供目标分割间距之间相对于装置目标匹配(100)及过程窗参数(40)的比较,其展示针对100nm的目标分割间距的最佳结果,所述间距比装置间距大25%。100nm分割的目标具有导致35%PPE偏移减小的较好装置匹配及较大过程窗(PW)。对于具有大于100nm的分割间距的目标,重叠PW开始减少且目标也变得非SADP(自对准双重图案化)过程友好的,从而导致AEI级中减小的目标对比度。针对设计中的沟槽以5%曝光程度(EL)计算DOF,左侧及右侧沟槽具有相同值。在图15B中更详细地说明过程窗参数数据。
表4:目标分割间距之间相对于装置目标匹配及过程窗参数的比较(粗体—最佳目标330,目标是具有指示的分割间距的分割AIM目标)。
发明者已发现目标设计中的改进的源,其在下文中说明。图12A到C示意地说明无如图11A中说明的对Y奇数像差的现有技术目标灵敏度,图13A到C示意地说明图11B中说明的经改进目标对Y奇数像差的灵敏度,图14提供规范用于改进目标与装置之间的匹配,且图15B说明相对于图15A中说明的现有技术的经改进过程窗。
图12A是说明无对Y奇数像差的现有技术目标灵敏度的示意说明。图12A说明出射光瞳处的倾斜照明源35A及装置衍射信号35B,其具有全等零阶及一阶衍射(也参见图9),以及以与装置30相同的间距(两者都是80nm)分割而非倾斜的现有技术计量目标38B的响应。应注意,归因于不同定向及相同间距,零阶及一阶衍射信号不重叠而散布遍及光瞳平面,从而改变目标38B相对于装置30的像差灵敏度。特定来说,虽然装置30具有对Y奇数像差的大PPE灵敏度,但现有技术目标38B具有对Y奇数像差的相对较小PPE灵敏度或无PPE灵敏度,这是因为针对目标38B的衍射图案沿着x方向对准,而装置30提供沿着对角线(倾斜)方向的衍射图案。
图12B是根据本发明的一些实施例的倾斜照明38A的高级示意模型。照明38A被模拟为包括两个点源35C。图12B示意地说明来自点源36A、36B的零阶及一阶衍射信号的路径及所得相差,其在计量目标针对给定旋转照明35C垂直定向时导致PPE,这是因为一阶及零阶衍射行进不同距离。如方程式3中所表达,针对单极源的航空成像的强度是电场量值的平方。
当照明源针对装置参数(在实例中,间距80nm及21°的倾斜(α))优化,一阶衍射信号穿过与零阶衍射信号完全相反的位置。
图12C是根据本发明的一些实施例的光瞳平面处目标间距修改335的效应的高级示意说明。图12C示意地说明照明源36A、其针对现有技术目标36C的零阶及一阶衍射信号及针对经改进目标330的零阶及一阶衍射信号,其中一阶衍射信号的位置相对于零阶衍射信号而从其先前并置位置移动。相对于Y奇数泽尼克多项式(Z8)中的一者展示目标间距修改335的效应以说明沿着一阶衍射信号的X轴的移动。应注意,如图13A到C中所说明,虽然使用X方向照明源36A并不改变目标330在Y方向上的灵敏度,但一旦照明源倾斜,修改335就导致针对目标330的Y奇数泽尼克灵敏度。
图13A及13B是根据本发明的一些实施例的光瞳平面处目标间距修改335的效应的高级示意说明。图13A及13B说明具有现有技术目标35C及经改进目标330的出射光瞳图像的偏离X轴的照明源(单极源,在图13A中的X轴上方,在图13B中的X轴下方),其通过有关泽尼克多项式的一阶信号(指示为Δφ1)的位置从等效于35C(Δφ0=Δφ1)处所展示零阶信号(指示为Δφ0)的位置的位置改变为非等效于330(Δφ0≠Δφ1)处所展示零阶信号Δφ0的位置的位置而说明经改进目标330的Y奇数泽尼克灵敏度,其因此对沿着Y轴的像差敏感。图13A、13B中的曲线图展示PPE对针对Y彗形像差变化的目标间距的依赖性(相对于Z8),从针对以装置间距分割的现有技术目标的零PPE开始且PPE(及泽尼克灵敏度)随着目标分割间距偏离装置间距而增大(330)。图13C是根据本发明的一些实施例的目标间距对不同Y彗形像差的PPE的效应的高级示意说明。针对间距80nm(装置间距38B)、120nm(经改进目标330A)及160nm(经改进目标330B)展示示范性出射光瞳图像。曲线图展示灵敏度随目标间距偏离装置间距的增大。双光束成像区域表示在仅零阶及一阶衍射被扫描仪透镜阵列捕获且所述两个光束彼此干扰的情况。通过增大间距,可捕获更多衍射阶。在零阶、一阶及二阶衍射被捕获且彼此干扰时三光束干扰成像适用。
图14是根据本发明的一些实施例的相对于装置确定目标分割的建议方法250的高级示意说明。在出射光瞳上相对于至少一个泽尼克多项式(例如,Z8)计算用于制造装置30的模拟照明源35C且针对现有技术目标38B实施对应计算,其中PT=PD。接着,分析零阶及一阶衍射信号(Δφ0、Δφ1)的相对光瞳平面位置且目标参数经修改(330)以提供相对光瞳平面位置,所述位置在其与PPE灵敏度的关系上更好地对应于零阶及一阶衍射信号(Δφ0、Δφ1)的相对装置位置,其由对应泽尼克多项式值反映。
图15A及15B示意地说明根据相对于现有技术(图15A)的本发明的一些实施例(图15B)的用于改进并优化过程窗的方式。在实例中针对与40nm的标称沟槽CD的±10%CD变化计算过程窗。对于现有技术目标38B,左侧沟槽及中心沟槽过程窗被呈现在图15A中,且相应小于针对具有90nm及100nm的间距的经改进目标331、330的左侧沟槽及中心沟槽过程窗,如上文表4上列出。目标330是说明的实例中的最佳目标,其提供最大过程窗。
类似于方程式1,方程式1A依据泽尼克灵敏度及透镜像差信息ΔZi表达PPE。
如方程式2A中所表达,类似于方程式2,可相对于装置与目标的泽尼克灵敏度之间的差异定义成本函数ε。PPE_D(Z1)表示针对Z1的装置PPE且PPE_T(Z1)表示针对Z1的目标PPE(即,PPE_D(Z1)对于零透镜像差等于零),而PPE_D(Zi)表示第i个装置PPE且PPE_T(Zi)表示第i个目标PPE。ΔZi表示自扫描仪测量的实际漂移且表示用于计算灵敏度的漂移系数。
假定(例如=0.02),方程式4从方程式2A得出以表示LS情况中的欧几里得距离。
图16是根据本发明的一些实施例的优化计量目标设计的方法400的高级示意流程图。方法400的步骤可用于本文中描述的任何其它方法。某些实施例包括计算机程序产品,所述产品包括具有随其体现且经配置以实施方法400的相关阶段的计算机可读程序的计算机可读存储媒体。某些实施例包括由方法400的实施例设计的相应目标的目标设计文件。
方法400包括:以根据计量性能要求确定的初始目标设计开始(阶段402);及使用模拟工具(阶段404);相对于至少两个方向比较初始目标设计与至少一个装置设计之间的图案放置误差(PPE)的泽尼克灵敏度(阶段410);估计用于初始目标设计的过程窗(阶段420);及通过修改初始目标设计而从所述初始目标设计导出经改进计量目标设计以增大泽尼克灵敏度中的对应且增大过程窗(阶段430)。可由至少一个计算机处理器(例如,处理器89)实施比较410、估计420及导出430中的至少一者。
导出经改进计量目标设计430可进一步包括针对连续导出的经改进目标设计反复比较及估计(阶段440)。至少两个方向可包括目标设计方向及垂直于其的方向(分别是X、Y)。至少一个装置设计可相对于目标设计方向倾斜。经改进计量目标设计的间距可比至少一个装置设计的间距大10到30%,以便遵循针对给定透镜像差的装置行为。
方法400可进一步包括相对于装置设计确定目标设计450,包括模拟初始目标设计及装置设计的零阶及一阶衍射信号的光瞳平面位置(阶段455)、修改初始目标设计的至少一个参数以产生经改进目标设计(阶段460),例如以提供经改进目标中的零阶及一阶衍射信号的光瞳平面位置之间的关系,所述关系对应于其在装置设计中的关系(阶段465)。方法400可进一步包括相对于至少一个泽尼克多项式(例如,如上文所描述,相对于初始目标的分割方向不对称的至少一个泽尼克多项式)评价关系的对应(阶段470)。
系统300可至少部分由包括至少一个计算机处理器89的计量模块100(参见图4)实施,所述至少一个计算机处理器89经配置从而以根据计量性能要求确定的初始目标设计开始,且使用模拟工具:相对于至少两个方向比较初始目标设计与至少一个装置设计之间的图案放置误差(PPE)的泽尼克灵敏度;估计针对初始目标设计的过程窗;且通过修改初始目标设计而从所述初始目标设计导出经改进计量目标设计以增大泽尼克灵敏度中的对应且增大过程窗。计量模块100可经配置以针对连续导出的经改进目标设计反复比较及估计。至少两个方向可包括目标设计方向及垂直于其的方向。至少一个装置设计可相对于目标设计方向倾斜。经改进计量目标设计的间距可比至少一个装置设计的间距大10到30%。由计量模块100设计的计量目标及其目标设计文件是本发明的相同意义。
计量模块100可经配置以通过模拟初始目标设计及装置设计的零阶及一阶衍射信号的光瞳平面位置,且修改初始目标设计的至少一个参数以产生经改进目标设计而相对于装置设计确定目标设计,实施修改以提供经改进目标中的零阶及一阶衍射信号的光瞳平面位置之间的关系,所述关系对应于其在装置设计中的关系。计量模块100可进一步经配置以相对于至少一个泽尼克多项式评价关系的对应,任选地其中所述至少一个泽尼克多项式相对于初始目标的分割方向不对称。计量模块100可进一步经配置以相对于装置设计确定目标分割。由计量模块100设计的计量目标及其目标设计文件是本发明的相同意义。
图17是根据本发明的一些实施例的倾斜目标360的高级示意说明。某些实施例包括倾斜计量目标360,其具有(例如)以与待测量装置相同的倾斜角度倾斜的元件及/或分割,可能添加SRAF特征,所述SRAF特征特定来说相对于线边缘改进目标360的制造精确性。
某些实施例组合上文提供的揭示内容与来自下列公开案的信息:李(Lee)等人,2016年,“对角定向的DRAM层的计量目标设计(MTD)(Metrology target design(MTD)solution for diagonally orientated DRAM layer)”(国际光学工程学会会刊9778,“用于显微光刻XXX的计量、检验及过程控制(Metrology,Inspection,and Process Controlfor Microlithography XXX)”,97782R(2016年3月8日);doi:10.1117/12.2218659)及Lee等人,2016年,“光刻感知叠加计量目标设计方法(Lithography aware overlay metrologytarget design method)”(国际光学工程学会会刊9778,“用于显微光刻XXX的计量、检验及过程控制(Metrology,Inspection,and Process Control for Microlithography XXX)”,97781L(2016年3月24日);doi:10.1117/12.2218653),以相同第一发明者及同事。这些公开案以全文引用的方式并入本文中。
上文参考根据本发明的实施例的方法、设备(系统)及计算机程序产品的流程图说明及/或部分图式描述本发明的方面。将理解,可由计算机程序指令实施流程图说明及/或部分图式的每一部分及流程图说明及/或部分图式中的部分的组合。这些计算机程序指令可被提供到通用计算机、专用计算机或其它可编程数据处理设备的处理器以制造机器,使得经由计算机或其它可编程数据处理设备的处理器实施的指令形成用于实施流程图及/或部分图式部分或若干部分中指定的功能/动作的方法。
这些计算机程序指令也可存储在计算机可读媒体中,所述计算机可读媒体可指示计算机、其它可编程数据处理设备或其它装置以特定方式运行,使得存储在所述计算机可读媒体中的指令制造制品,所述制品包含实施流程图及/或部分图式部分或若干部分中指定的功能/动作的指令。
计算机程序指令也可加载到计算机、其它可编程数据处理设备或其它装置上以导致一系列操作步骤在计算机、其它可编程设备或其它装置上执行,以产生计算机实施的过程,使得在计算机或其它可编程设备上执行的指令提供过程用于实施流程图及/或部分图式部分或若干部分中指定的功能/动作。
前述流程图及图式说明根据本发明的各种实施例的系统、方法及计算机程序产品的可能实施方案的架构、功能性及操作。在此点上,流程图或部分图式中的每一部分可表示模块、片段或码的部分,其包括用于实施指定的逻辑函数的一或多个可执行指令。也应注意,在一些替代实施方案中,部分中注明的功能可能脱离图式中注明的顺序发生。举例来说,取决于涉及的功能性,连续展示的两个部分事实上可基本上同时执行,或所述部分有时可以相反顺序执行。也将注意,可由基于专用硬件的系统实施部分图式及/或流程图说明的每一部分及部分图式及/或流程图说明中的部分的组合,所述基于专用硬件的系统实施指定的功能或动作或专用硬件及计算机指令的组合。
在上述描述中,实施例是本发明的实例或实施方案。“一个实施例”、“实施例”、“某些实施例”或“一些实施例”的各种出现并不一定都指代相同实施例。虽然可在单个实施例的上下文中描述本发明的各种特征,但所述特征也可分开或以任何适当组合提供。相反地,虽然本文中可为了清楚而在单独实施例的上下文中描述本发明,但本发明也可在单个实施例中实施。本发明的某些实施例可包含来自上文揭示的不同实施例的特征,且某些实施例可并入来自上文揭示的其它实施例的元件。在特定实施例的上下文中的本发明的元件的揭示内容不应被理解为将其使用单独限于特定实施例中。此外,应理解,本发明可以各种方式实施或实践且本发明可在除上文描述中概括的实施例外的特定实施例中实施。
本发明并不限于那些图式或对应描述。举例来说,流程无需移动经过每一说明的框或状态,或以与说明及描述完全相同的顺序移动。除非另外定义,否则本文中使用的技术及科学术语的涵义将由本发明所属领域中的一般技术者普遍理解。虽然已参考有限数目个实施例描述本发明,但这些实施例不应被解释为对本发明的范围的限制,而是作为优选实施例的部分示范。其它可能变化、修改及应用也在本发明的范围内。因此,本发明的范围不应由目前为止已描述的内容而应由所附权利要求书及其合法等效物限制。

Claims (59)

1.一种计量目标设计的方法,所述方法包括:计算至少一个装置设计及多个计量目标设计的图案放置误差PPE的泽尼克灵敏度;及根据从所述计算得到的泽尼克灵敏度导出的成本函数的值选择最佳计量目标设计,所述成本函数量化所述至少一个装置设计与所述多个计量目标设计之间的所述泽尼克灵敏度的类似性,其中由至少一个计算机处理器实施所述计算及所述选择中的至少一者。
2.根据权利要求1所述的方法,其中相对于泽尼克系数Z4…Z36计算所述泽尼克灵敏度。
3.根据权利要求2所述的方法,其中仅相对于奇数泽尼克系数计算所述泽尼克灵敏度。
4.根据权利要求3所述的方法,其中仅相对于泽尼克系数Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35计算所述泽尼克灵敏度。
5.根据权利要求1到4中任一权利要求所述的方法,其中所述成本函数包括所述至少一个装置设计的所述PPE泽尼克灵敏度与所述多个计量目标设计的所述PPE泽尼克灵敏度之间的距离度量。
6.一种计量目标,其由根据权利要求1到5中任一权利要求所述的方法设计。
7.一种根据权利要求6所述的计量目标的目标设计文件。
8.一种计量模块,其包括至少一个计算机处理器,所述至少一个计算机处理器经配置以:计算至少一个装置设计及多个计量目标设计的图案放置误差PPE的泽尼克灵敏度;及根据从所述计算得到的泽尼克灵敏度导出的成本函数的值选择最佳计量目标设计,所述成本函数量化所述至少一个装置设计与所述多个计量目标设计之间的所述泽尼克灵敏度的类似性。
9.根据权利要求8所述的计量模块,其中相对于泽尼克系数Z4…Z36计算所述泽尼克灵敏度。
10.根据权利要求9所述的计量模块,其中仅相对于奇数泽尼克系数计算所述泽尼克灵敏度。
11.根据权利要求10所述的计量模块,其中仅相对于泽尼克系数Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35计算所述泽尼克灵敏度。
12.根据权利要求8到11中任一权利要求所述的计量模块,其中所述成本函数包括所述至少一个装置设计的所述PPE泽尼克灵敏度与所述多个计量目标设计的所述PPE泽尼克灵敏度之间的距离度量。
13.一种计量目标,其由根据权利要求8到12中的任一权利要求所述的计量模块设计。
14.一种根据权利要求13所述的计量模块的目标设计文件。
15.一种计量目标设计的方法,所述方法包括:
针对至少一个装置设计及多个目标设计候选者中的每一者:
针对多个运行重复地:
针对多个泽尼克多项式Zi中的每一者产生多个Ni(Ni>50)泽尼克系数值,所述值相对于指定范围内的指定分布伪随机产生,
针对所述泽尼克多项式中的每一者计算PPE,及
针对所述运行计算相应PPE测量;及
导出所述计算得到的相应PPE测量的分布;
使所述导出的目标设计候选者分布中的每一者与所述至少一个导出的装置设计分布相关以针对每一目标设计候选者产生装置对应测量;及
根据所述导出的装置对应测量选择最佳计量目标设计,
其中由至少一个计算机处理器执行所述产生、所述计算、所述导出、所述使相关及所述选择中的至少一者。
16.根据权利要求15所述的方法,其进一步包括:选择所述目标设计候选者以表示指定目标设计的分割替代物。
17.根据权利要求16所述的方法,其进一步包括:使用所述装置对应测量来对所述分割替代物进行排序。
18.根据权利要求15所述的方法,其进一步包括:与计量模拟过程并行执行所述计算阶段;及集成使用所述装置对应测量的排序与从所述计量模拟过程导出的排序。
19.根据权利要求15所述的方法,其进一步包括:针对多个装置设计实施所述方法;及相对于针对所有所述装置设计导出的所述装置对应测量实施所述选择。
20.根据权利要求19所述的方法,其中相对于从所述多个装置对应测量导出的稳健性测量实施所述选择。
21.一种计量目标,其由根据权利要求15到20中任一权利要求所述的方法设计。
22.一种根据权利要求21所述的计量目标的目标设计文件。
23.一种计量模块,其包括至少一个计算机处理器,所述至少一个计算机处理器经配置以针对至少一个装置设计及多个目标设计候选者中的每一者:
针对多个运行重复地:
针对多个泽尼克多项式Zi中的每一者产生多个Ni(Ni>100)泽尼克系数值,所述值相对于指定范围内的指定分布伪随机产生,
针对所述泽尼克多项式中的每一者计算PPE;及
针对所述运行计算相应PPE测量;及
导出所述计算得到的相应PPE测量的分布;
使所述导出的目标设计候选者分布中的每一者与所述至少一个导出的装置设计分布相关以针对每一目标设计候选者产生装置对应测量;及
根据所述导出的装置对应测量选择最佳计量目标设计。
24.根据权利要求23所述的计量模块,其进一步经配置以选择所述目标设计候选者以表示指定目标设计的分割替代物。
25.根据权利要求24所述的计量模块,其进一步经配置以使用所述装置对应测量来对所述分割替代物进行排序。
26.根据权利要求23所述的计量模块,其进一步经配置以:与计量模拟过程并行实施所述计算阶段;及集成使用所述装置对应测量的排序与从所述计量模拟过程导出的排序。
27.根据权利要求23所述的计量模块,其进一步经配置以使用多个装置设计且相对于针对所有所述装置设计导出的所述装置对应测量选择所述最佳目标。
28.根据权利要求27所述的计量模块,其进一步经配置以相对于从所述多个装置对应测量导出的稳健性测量选择所述最佳目标。
29.一种计量目标,其由根据权利要求23到28中任一权利要求所述的计量模块设计。
30.一种根据权利要求29所述的计量模块的目标设计文件。
31.一种优化计量目标设计的方法,所述方法包括以根据计量性能要求确定的初始目标设计开始,及使用模拟工具:
相对于至少两个方向,比较所述初始目标设计与至少一个装置设计之间的图案放置误差PPE的泽尼克灵敏度,
估计用于所述初始目标设计的过程窗,及
通过修改所述初始目标设计而从所述初始目标设计导出经改进计量目标设计以增大所述泽尼克灵敏度中的对应且增大所述过程窗,
其中由至少一个计算机处理器实施所述比较、所述估计及所述导出中的至少一者。
32.根据权利要求31所述的方法,其中所述导出进一步包括:针对连续导出的经改进目标设计反复所述比较及所述估计。
33.根据权利要求31所述的方法,其中所述至少两个方向包括目标设计方向及垂直于其的方向。
34.根据权利要求33所述的方法,其中所述至少一个装置设计相对于所述目标设计方向倾斜。
35.根据权利要求31到33中任一权利要求所述的方法,其中所述经改进计量目标设计的间距比所述至少一个装置设计的间距大10到30%。
36.一种计量目标,其由根据权利要求31到35中任一权利要求所述的方法设计。
37.一种根据权利要求36所述的计量目标的目标设计文件。
38.一种计量模块,其包括至少一个计算机处理器,所述至少一个计算机处理器经配置而以根据计量性能要求确定的初始目标设计开始,且使用模拟工具:
相对于至少两个方向,比较所述初始目标设计与至少一个装置设计之间的图案放置误差PPE的泽尼克灵敏度,
估计用于所述初始目标设计的过程窗,及
通过修改所述初始目标设计而从所述初始目标设计导出经改进计量目标设计以增大所述泽尼克灵敏度中的对应且增大所述过程窗。
39.根据权利要求38所述的计量模块,其进一步经配置以针对连续导出的经改进目标设计反复所述比较及所述估计。
40.根据权利要求38所述的计量模块,其中所述至少两个方向包括目标设计方向及垂直于其的方向。
41.根据权利要求40所述的计量模块,其中所述至少一个装置设计相对于所述目标设计方向倾斜。
42.根据权利要求38到41中任一权利要求所述的计量模块,其中所述经改进计量目标设计的间距比所述至少一个装置设计的间距大10%到30%。
43.一种计量目标,其由根据权利要求38到42中任一权利要求所述的计量模块设计。
44.一种根据权利要求43所述的计量模块的目标设计文件。
45.一种相对于装置设计确定目标设计的方法,所述方法包括:
模拟初始目标设计及所述装置设计的零阶及一阶衍射信号的光瞳平面位置,及
修改所述初始目标设计中的至少一个参数以产生经改进目标设计,实施所述修改以提供所述经改进目标中的零阶及一阶衍射信号的所述光瞳平面位置之间的关系,所述关系对应于其在所述装置设计中的关系。
46.根据权利要求45所述的方法,其中相对于至少一个泽尼克多项式评价所述关系的对应。
47.根据权利要求46所述的方法,其中所述至少一个泽尼克多项式相对于所述初始目标的分割方向不对称。
48.根据权利要求45所述的方法,其中所述确定包括:相对于所述装置设计确定目标分割。
49.一种计量目标,其由根据权利要求45到48中任一权利要求所述的方法设计。
50.一种根据权利要求49所述的计量目标的目标设计文件。
51.一种计量模块,其包括至少一个计算机处理器,所述至少一个计算机处理器经配置以通过下列方式相对于装置设计确定目标设计:
模拟初始目标设计及所述装置设计的零阶及一阶衍射信号的光瞳平面位置,及
修改所述初始目标设计中的至少一个参数以产生经改进目标设计,实施所述修改以提供所述经改进目标中的零阶及一阶衍射信号的所述光瞳平面位置之间的关系,所述关系对应于其在所述装置设计中的关系。
52.根据权利要求51所述的计量模块,其进一步经配置以相对于至少一个泽尼克多项式评价所述关系的对应。
53.根据权利要求52所述的计量模块,其中所述至少一个泽尼克多项式相对于所述初始目标的分割方向不对称。
54.根据权利要求51所述的计量模块,其进一步经配置以相对于所述装置设计确定目标分割。
55.一种计量目标,其由根据权利要求51到54中任一权利要求所述的计量模块设计。
56.一种根据权利要求55所述的计量模块的目标设计文件。
57.一种计量目标,其使其元件中的至少一者及其元件分割相对于目标方向倾斜,其中所述倾斜经选择以对应于倾斜装置。
58.根据权利要求57所述的计量目标,其进一步包括经配置以改进所述倾斜目标相对于其线边缘的制造精确性的SRAF(次分辨率辅助特征)。
59.一种根据权利要求57或58所述的计量目标的目标设计文件。
CN201680021294.3A 2015-04-21 2016-04-19 用于倾斜装置设计的计量目标设计 Active CN107532945B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011278298.4A CN112485971A (zh) 2015-04-21 2016-04-19 用于倾斜装置设计的计量目标设计

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562150290P 2015-04-21 2015-04-21
US62/150,290 2015-04-21
PCT/US2016/028314 WO2016172122A1 (en) 2015-04-21 2016-04-19 Metrology target design for tilted device designs

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202011278298.4A Division CN112485971A (zh) 2015-04-21 2016-04-19 用于倾斜装置设计的计量目标设计

Publications (2)

Publication Number Publication Date
CN107532945A true CN107532945A (zh) 2018-01-02
CN107532945B CN107532945B (zh) 2020-12-01

Family

ID=57143392

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201680021294.3A Active CN107532945B (zh) 2015-04-21 2016-04-19 用于倾斜装置设计的计量目标设计
CN202011278298.4A Pending CN112485971A (zh) 2015-04-21 2016-04-19 用于倾斜装置设计的计量目标设计

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202011278298.4A Pending CN112485971A (zh) 2015-04-21 2016-04-19 用于倾斜装置设计的计量目标设计

Country Status (7)

Country Link
US (1) US20170023358A1 (zh)
JP (3) JP6843764B2 (zh)
KR (2) KR102557599B1 (zh)
CN (2) CN107532945B (zh)
SG (1) SG11201708164YA (zh)
TW (2) TWI714575B (zh)
WO (1) WO2016172122A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109900356A (zh) * 2019-03-15 2019-06-18 中国科学院微电子研究所 关联成像方法及装置
CN109900355A (zh) * 2019-03-15 2019-06-18 中国科学院微电子研究所 成像方法及装置
WO2020186395A1 (zh) * 2019-03-15 2020-09-24 中国科学院微电子研究所 关联成像方法及装置
CN113260925A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10095122B1 (en) 2016-06-30 2018-10-09 Kla-Tencor Corporation Systems and methods for fabricating metrology targets with sub-resolution features
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10748821B2 (en) 2017-04-26 2020-08-18 Samsung Electronics Co., Ltd. Method and system for measuring pattern placement error on a wafer
WO2019029933A1 (en) * 2017-08-07 2019-02-14 Asml Netherlands B.V. COMPUTER METROLOGY
KR102408316B1 (ko) * 2018-01-12 2022-06-10 케이엘에이 코포레이션 경사진 주기적 구조물을 갖는 계측 타겟 및 방법
JP7074489B2 (ja) * 2018-02-08 2022-05-24 株式会社Screenホールディングス データ処理方法、データ処理装置、および、データ処理プログラム
CN109190273B (zh) * 2018-09-13 2022-10-25 合肥工业大学 一种产品等离子喷焊修复工艺参数优化方法
JP7277101B2 (ja) * 2018-10-11 2023-05-18 キヤノン株式会社 収差推定方法、収差推定装置、プログラムおよび記憶媒体
WO2020091733A1 (en) 2018-10-30 2020-05-07 Kla-Tencor Corporation Estimation of asymmetric aberrations
CN113272736A (zh) * 2018-12-31 2021-08-17 Asml荷兰有限公司 用于过程控制的管芯内量测方法和系统
CN111639659B (zh) * 2019-03-01 2023-11-14 中国科学院声学研究所 一种水下沉底小目标融合分类方法
CN110009673B (zh) * 2019-04-01 2020-04-21 四川深瑞视科技有限公司 深度信息检测方法、装置及电子设备
JP7361787B2 (ja) 2019-04-08 2023-10-16 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ測定のためのセンサ装置及び方法
US11933717B2 (en) * 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
WO2023016752A1 (en) * 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
JP2023053800A (ja) * 2021-10-01 2023-04-13 キヤノン株式会社 基板上の複数のショット領域の配列を求める方法、露光方法、露光装置、物品の製造方法、プログラム及び情報処理装置
WO2023203025A1 (en) * 2022-04-20 2023-10-26 Raja Shyamprasad Natarajan Method and system for detecting and/or quantifying manufacturing inaccuracies

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100442144C (zh) * 2003-12-19 2008-12-10 国际商业机器公司 微分临界尺寸和覆盖计量装置以及测量方法
WO2010080732A3 (en) * 2009-01-08 2010-10-07 Kla-Tencor Corporation Scatterometry metrology target design optimization
CN102681358A (zh) * 2012-04-18 2012-09-19 中国科学院上海光学精密机械研究所 基于空间像检测的投影物镜波像差原位测量方法
US20150048525A1 (en) * 2013-08-15 2015-02-19 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4528464B2 (ja) * 2000-06-08 2010-08-18 株式会社東芝 アライメント方法、重ね合わせ検査方法及びフォトマスク
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
AU2003289427A1 (en) * 2002-12-24 2004-07-22 Nikon Corporation Aberration measuring method, exposure method and exposure system
EP1496397A1 (en) * 2003-07-11 2005-01-12 ASML Netherlands B.V. Method and system for feedforward overlay correction of pattern induced distortion and displacement, and lithographic projection apparatus using such a method and system
US7001830B2 (en) * 2003-09-02 2006-02-21 Advanced Micro Devices, Inc System and method of pattern recognition and metrology structure for an X-initiative layout design
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
JP4835921B2 (ja) * 2006-01-31 2011-12-14 株式会社ニコン 計測方法、露光方法、デバイス製造方法、及びマスク
DE102008011501A1 (de) * 2008-02-25 2009-08-27 Carl Zeiss Smt Ag Verfahren zum Betreiben eines Beleuchtungssystems einer mikrolithographischen Projektionsbelichtungsanlage
JP5510865B2 (ja) * 2009-03-25 2014-06-04 住友化学株式会社 防眩処理方法、防眩フィルムの製造方法および金型の製造方法
EP2622411B1 (en) * 2010-09-28 2015-11-04 Carl Zeiss SMT GmbH Optical system of a microlithographic projection exposure apparatus and method of reducing image placement errors
US9068904B2 (en) * 2011-01-18 2015-06-30 Arizona Board Of Regents On Behalf Of The University Of Arizona System and method for non-contact metrology of surfaces
US8924490B2 (en) * 2011-06-30 2014-12-30 Microsoft Corporation Service based event planning
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
CN103748515A (zh) * 2011-08-23 2014-04-23 Asml荷兰有限公司 量测方法和设备以及器件制造方法
NL2009508A (en) * 2011-10-24 2013-04-25 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US9097978B2 (en) * 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
WO2013144906A2 (en) * 2012-03-30 2013-10-03 Gokmen Muhittin System and method for object detection and recognition by local moments representation
CN105874388B (zh) * 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
US10228320B1 (en) * 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100442144C (zh) * 2003-12-19 2008-12-10 国际商业机器公司 微分临界尺寸和覆盖计量装置以及测量方法
WO2010080732A3 (en) * 2009-01-08 2010-10-07 Kla-Tencor Corporation Scatterometry metrology target design optimization
CN102681358A (zh) * 2012-04-18 2012-09-19 中国科学院上海光学精密机械研究所 基于空间像检测的投影物镜波像差原位测量方法
US20150048525A1 (en) * 2013-08-15 2015-02-19 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113260925A (zh) * 2018-12-31 2021-08-13 Asml荷兰有限公司 确定图案形成装置的光学特性的分量的子集
CN109900356A (zh) * 2019-03-15 2019-06-18 中国科学院微电子研究所 关联成像方法及装置
CN109900355A (zh) * 2019-03-15 2019-06-18 中国科学院微电子研究所 成像方法及装置
CN109900355B (zh) * 2019-03-15 2020-02-07 中国科学院微电子研究所 成像方法及装置
WO2020186395A1 (zh) * 2019-03-15 2020-09-24 中国科学院微电子研究所 关联成像方法及装置

Also Published As

Publication number Publication date
TWI786512B (zh) 2022-12-11
US20170023358A1 (en) 2017-01-26
KR20170141740A (ko) 2017-12-26
WO2016172122A1 (en) 2016-10-27
KR20230110835A (ko) 2023-07-25
TWI714575B (zh) 2021-01-01
JP2018514807A (ja) 2018-06-07
TW201707099A (zh) 2017-02-16
TW202127558A (zh) 2021-07-16
JP2023036641A (ja) 2023-03-14
SG11201708164YA (en) 2017-11-29
JP7236481B2 (ja) 2023-03-09
KR102557599B1 (ko) 2023-07-19
CN107532945B (zh) 2020-12-01
JP6843764B2 (ja) 2021-03-17
JP2021099510A (ja) 2021-07-01
CN112485971A (zh) 2021-03-12

Similar Documents

Publication Publication Date Title
CN107532945A (zh) 用于倾斜装置设计的计量目标设计
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
CN102918464B (zh) 衬底上结构的测量
JP6616416B2 (ja) 計測方法、コンピュータ製品およびシステム
KR102515228B1 (ko) 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
US8214771B2 (en) Scatterometry metrology target design optimization
CN104364605B (zh) 针对极紫外线光罩的临界尺寸均匀性监测
US6433878B1 (en) Method and apparatus for the determination of mask rules using scatterometry
CN101258498B (zh) 用于形成光刻工艺的焦点曝光模型的系统和方法
CN105452963B (zh) 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
TWI679610B (zh) 用於檢驗一光微影比例光罩之方法、檢驗系統及電腦可讀媒體
TWI623818B (zh) 用於製程參數量測之目標元件類型
CN106062634A (zh) 测量涉及光刻术的制造过程的过程参数
CN105874387A (zh) 用于设计量测目标的方法和设备
TWI667548B (zh) 檢測方法與裝置及微影裝置
KR20210027497A (ko) 위상 공개 광학 및 x 선 반도체 계측
US20200124983A1 (en) Methods and Apparatus for Inspection of a Structure and Associated Apparatuses

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant