JP7236481B2 - 計測モジュール、及び計測ターゲットの設計方法 - Google Patents

計測モジュール、及び計測ターゲットの設計方法 Download PDF

Info

Publication number
JP7236481B2
JP7236481B2 JP2021027819A JP2021027819A JP7236481B2 JP 7236481 B2 JP7236481 B2 JP 7236481B2 JP 2021027819 A JP2021027819 A JP 2021027819A JP 2021027819 A JP2021027819 A JP 2021027819A JP 7236481 B2 JP7236481 B2 JP 7236481B2
Authority
JP
Japan
Prior art keywords
target
metrology
design
tilted
ppe
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021027819A
Other languages
English (en)
Other versions
JP2021099510A (ja
Inventor
ミュンジュン リー
マーク ディー スミス
マイケル イー アデル
エラン アミット
ダニエル カンデル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021099510A publication Critical patent/JP2021099510A/ja
Priority to JP2022195545A priority Critical patent/JP2023036641A/ja
Application granted granted Critical
Publication of JP7236481B2 publication Critical patent/JP7236481B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J9/00Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Description

本発明は、計測の分野に関し、より詳細には、計測ターゲット設計に関する。
本出願は、その全体を本願に引用して援用する、2015年4月21日に出願された米国仮特許出願第62/150,290号の利益を主張する。
半導体計測の分野では、計測ツールは、ターゲットを照明する照明システムと、ターゲット、デバイスまたは機構との照明システムの相互作用(またはその欠如)によって提供される関連情報を捕捉する収集システムと、1つ以上のアルゴリズムを使用して収集された情報を分析する処理システムと、を備える場合がある。計測ツールを使用して、様々な半導体作製プロセスに関連付けられた構造ならびに材料の特性(例えば、材料組成、膜厚および/または構造の限界寸法などの、構造および膜の寸法特性、オーバーレイなど)を測定することができる。これらの測定値を使用して、半導体ダイの製造においてプロセス制御および/または歩留まり効率を促進することができる。計測ツールは、例えば、様々な前述の半導体構造および材料特性を測定するために、本発明の特定の実施形態と併せて使用され得る1つ以上のハードウェア構成を含むことがある。そのようなハードウェア構成の例には、分光エリプソメータ(SE)、複数の照明角度を有するSE、(例えば、回転補償子を使用する)SE測定ミュラー行列要素、単一波長エリプソメータ、ビームプロファイルエリプソメータ(角度分解エリプソメータ)、ビームプロファイル反射率計(角度分解反射率計)、広帯域反射分光計(分光反射率計)、単一波長反射率計、角度分解反射率計、任意の結像系、瞳結像系、スペクトル結像系、スキャタロメータ(例えば、スペックルアナライザ)などが含まれる。
ハードウェア構成は、個々の動作システムに分離することができる。一方、1つ以上のハードウェア構成は、単一のツールに組み合わせることができる。複数のハードウェア構成の単一のツールへのそのような組合せの一例は、(例えば、広帯域SE、回転補償子を有するSE、ビームプロファイルエリプソメータ、ビームプロファイル反射率計、広帯域反射分光計、および深紫外反射分光計を含む)その全体を本願に引用して援用する米国特許第7,933,026号によって提供されている。加えて、典型的には、特定のレンズ、コリメータ、ミラー、4分の1波長板、偏光子、検出器、カメラ、アパーチャ、および/または光源を含む多数の光学素子がそのようなシステムに存在する。光学系の波長は、約120nmから3ミクロンまで変化することができる。非エリプソメータシステムについては、収集された信号は、偏光分解されていても偏光されていなくてもよい。複数の計測ヘッドが同一のツールに統合されていてもよいが、多くの場合、例えば、その全体を本願に引用して援用する米国特許第7,478,019号に記載されているように、複数の計測ツールが単一または複数の計測ターゲットの測定に使用される。
特定のハードウェア構成の照明システムは、1つ以上の光源を含む。光源は、1つの波長のみを有する光(すなわち、単色光)、いくつかの離散的な波長を有する光(すなわち、多色光)、複数の波長を有する光(すなわち、広帯域光)、および/または波長間で連続的にまたはホッピングして波長全体にわたって掃引する光(すなわち、調整可能なまたは掃引される光源)を生成することができる。適切な光源の例は、白色光源、紫外線(UV)レーザ、アークランプもしくは無電極ランプ、レーザ維持プラズマ(LSP)源、超広帯域光源(広帯域レーザ源など)、またはX線源などの短波長光源、極端UV光源、あるいはそれらのいくつかの組合せである。また、光源は、十分な輝度を有する光を提供するように構成されてもよく、その輝度は、ある場合には約1W/(nm cmSr)よりも大きな輝度であってもよい。また、計測システムは、光源のパワーおよび波長を安定させるために光源への高速のフィードバックを含むことができる。光源の出力は、自由空間伝搬を介して送出されても、ある場合には、任意のタイプの光ファイバまたは光導波路を介して送出されてもよい。
計測ターゲットは、様々な空間特性を有することがあり、典型的には、1つ以上のリソグラフィ的に別個の露光で印刷されてもよい1つ以上の層内に機構を含むことができる1つ以上のセルから構築される。ターゲットまたはセルは、例えば、その全体を本願に引用して援用する米国特許第6,985,618号に記載されているように、2回または4回回転対称、鏡映対称などの様々な対称性を有することができる。異なるセルまたはセルの組合せは、別個の層または露光ステップに属してもよい。個々のセルは、孤立した非周期的な機構を備えてもよく、あるいは、例えば、その全体を本願に引用して援用する米国特許出願公開第2013/042089号のように、1次元、2次元、もしくは3次元の周期構造、または非周期構造と周期構造の組合せから構築されてもよい。周期構造は、分割されていなくてもよく、あるいは、周期構造を印刷するために使用されるリソグラフィプロセスの最小のデザインルールでまたはその近くであってもよい細かく分割された機構から構築されていてもよい。また、計測ターゲットは、計測構造の同一層内の、もしくは上、下の層内の、または層間のダミー化構造と一緒に配置されていても、あるいはダミー化構造に近接していてもよい。ターゲットは、厚さを計測ツールによって測定することができる複数の層(または膜)を含むことができる。ターゲットは、例えば、位置合わせおよび/またはオーバーレイレジストレーション作業と共に使用するための半導体ウェーハ上に配置される(あるいは既に存在する)ターゲット設計を含むことができる。特定のターゲットは、半導体ウェーハ上の様々な場所に置かれることがある。例えば、ターゲットは、(例えば、ダイ間の)スクライブ線内部におよび/またはダイ自体に置かれることがある。複数のターゲットは、例えば、その全体を本願に引用して援用する米国特許第7,478,019号に記載されているものと同一の、または複数の計測ツールによって(同時にまたは異なる時間に)測定されてもよい。そのような測定からのデータが組み合わせられてもよい。計測ツールからのデータは、例えば、プロセス(例えば、リソグラフィ、エッチング)に補正をフィードフォーワード、フィードバック、および/またはフィードサイドウェイするために、半導体製造プロセスで使用され(例えば、その全体を本願に引用して援用する、計測ターゲットセルを再利用するためのフィードフォーワード方法を開示している米国特許第8,930,156号参照)、したがって、完全なプロセス制御ソリューションを生成することができる。計測ツールは、半導体製造に関連する多くの異なるタイプの測定を行うために、例えば、限界寸法、オーバーレイ、側壁角度、膜厚、プロセス関連パラメータ(例えば、焦点および/または線量)などの、1つ以上のターゲットの特性を測定するために設計される。ターゲットは、本質的に周期的な特定の関心領域、例えば、メモリダイの格子などを含むことができる。
半導体デバイスパターンの寸法が縮小し続けるにつれ、より小さな計測ターゲットがしばしば必要とされている。さらに、実デバイス特性に対する測定精度およびマッチングは、デバイス様のターゲットならびにインダイ(in-die)さらにはオンデバイス(on-device)の測定の必要性を増大させる。様々な計測実施態様がこの目標を実現するために提案された。例えば、主として反射光学系に基づく集束ビームエリプソメトリが、その全体を本願に引用して援用する米国特許第5,608,526号に記載されている。例えば、その全体を本願に引用して援用する米国特許第5,859,424号に記載されているように、アポダイザを使用して、幾何光学によって規定されるサイズを上回る照明スポットの広がりを引き起こす光回折の影響を軽減することができる。例えば、その全体を本願に引用して援用する米国特許第6,429,943号に記載されているように、同時に複数の入射角照明を用いる高開口数ツールの使用は、小ターゲットの能力を実現する別の手法である。他の測定例には、半導体スタックの1つ以上の層の組成を測定すること、ウェーハ上の(または内部の)特定の欠陥を測定すること、およびウェーハに露光されるフォトリソグラフィ放射線量を測定することが含まれることがある。ある場合には、計測ツールおよびアルゴリズムは、例えば、その全体を本願に引用して援用する米国特許出願第14/294540号および米国特許出願公開第2014/0222380号に記載されているように、非周期的なターゲットを測定するために構成されることがある。
対象とするパラメータの測定は、通常、それぞれの計測ツール内の対応する解析ユニットによって実行されるいくつかのアルゴリズムを含む。例えば、照射ビームとサンプルとの光学的な相互作用は、EM(電気磁気)ソルバーを使用してモデル化され、RCWA(厳密結合波解析)、FEM(有限要素法)、モーメント法、表面積分法、体積積分法、FDTD(有限差分時間ドメイン)のようなアルゴリズムを使用する。対象とするターゲットは、通常、幾何学エンジン、またはある場合には、プロセスモデリングエンジン、あるいは両方の組合せを使用してモデル化される(パラメータ化される)。プロセスモデリングの使用は、例えば、その全体を本願に引用して援用する米国特許出願公開第2014/0172394号に記載されている。幾何学エンジンは、例えば、KLA-TencorのAcuShapeソフトウェア製品に実装されている。
収集されたデータは、ライブラリ、高速次数低減モデル、回帰、ニューラルネットワーク、サポートベクターマシン(SVM)などの機械学習アルゴリズム、例えば、PCA(主成分分析)、ICA(独立成分分析)、LLE(局所線形埋込み)などの次元削減アルゴリズム、フーリエ変換またはウェーブレット変換などのスパース表現、カルマンフィルタ、同じまたは異なるツールタイプからのマッチングを促進するアルゴリズムなどを含む、いくつかのデータフィッティングおよび最適化技法および技術によって分析することができる。また、収集されたデータは、例えば、その全体を本願に引用して援用する米国特許出願公開第2014/0257734号に記載されているようなモデリング、最適化および/またはフィッティングモデリングを含まないアルゴリズムによって分析されてもよい。計算アルゴリズムは、通常、例えば、計算ハードウェアの設計および実装、計算の並列化、分散、ロードバランシング、マルチサービスサポート、ダイナミックロード最適化などの1つ以上の手法が使用されている計測用途のために最適化される。異なるアルゴリズムの実装は、ファームウェア、ソフトウェア、FPGA(フィールドプログラマブルゲートアレイ)、プログラマブル光学部品などで行われてもよい。データ分析およびフィッティングステップは、通常、以下の目標、すなわち、CD(限界寸法)、SWA(側壁角度)、形状、応力、組成、膜、バンドギャップ、電気的特性、焦点/線量、オーバーレイの測定、プロセスパラメータ(例えば、レジスト状態、分圧、温度、焦点調整モデル)の生成、および/またはそれらの任意の組合せ、計測システムのモデリングおよび/または設計、ならびに計測ターゲットのモデリング、設計および/または最適化の1つ以上を追求する。
一般に計測ターゲット、特にオーバーレイ計測ターゲットは、異なる層内のデバイス機構間の相対的配置を正確に表現する必要がある。露光ツールの光学収差の存在は、機構の空間特性に応じて変化するパターン配置誤差を誘発することがあることが知られている。さらに、OPCを含むマスクと組み合わされた極端な軸外照明は、高度の半導体製造ノードの最も重要な層に一般的に使用され、スキャナ収差に対する配置誤差感度を増加させる。したがって、デバイスの空間特性と計測ターゲットの空間特性との間のいかなる不一致も、リソグラフィ露光ツールに対して補正可能な制御を行うために求められる、計測結果と実際のデバイスエッジ配置との間の偏りを誘発する可能性がある。例えば、その全体を本願に引用して援用する米国特許第8,214,771号および第7,925,486号は、精密さ、精度、およびデバイス相関の点から計測ターゲットの性能を予測するために、リソグラフィシミュレーションまたは計測シミュレーションの使用を教示する。リソグラフィシミュレーションは、計測ターゲットの収差誘発パターン配置誤差を予測し、その誤差を同一の収差条件下でデバイス機構によって引き起こされる配置誤差と比較するために使用される。
米国特許出願公開第2015/0048525号 米国特許出願公開第2010/0103433号
図1は、2つの異なるタイプのオーバーレイ計測マークに関してデバイスパターンに対するスキャナ収差の影響の一例の高レベル概略図であり、先行技術による先行技術のパターン配置誤差(PPE)解析を示す。マスクシミュレーションのためのキルヒホッフ近似およびレジストのための完全な物理モデルを含む様々な方法によるリソグラフィシミュレーションによって可能になるような、計測ターゲットとデバイス機構との間のパターン配置誤差不一致が所定の例示的なレンズ収差について示されている。デバイスと、異なるタイプの計測ターゲット(SCOL(散乱計測オーバーレイターゲット)およびAIM(高度イメージング計測ターゲット))との間のPPE不一致が、2つの異なるリソグラフィ層(図1の下部のゲート層および上部のゲートカット層)について示されている。照明源は、図1の左側に概略的に表されており、二重極Y照明源がゲート層(下部)に使用され、C四重光源がゲートカット層(上部)に使用されている。これらのPPE計算に使用される例示的なレンズ収差(RMS=8.4mWave、Milliwaveは、波長を単位とするレンズ収差などの収差を表わし、すなわち使用される波長の1000分の1である)が図1の下部に示されている。図1は、2つのプロセスに対して大きな、相関性のないPPEが存在し、結果として大きな測定誤差が生じていることを例示する。異なる機構サイズのデバイスおよび計測ターゲットを使用するため、それらの回折された波面は、ほとんど常に同一ではない。その結果、計測ターゲットとデバイス機構との間のPPE不一致は、避けられず、PPEの量は、機構サイズおよび照明形状に強く依存する。
以下は、本発明についての初期の理解を提供する簡略化された概要である。本概要は、必ずしも重要な要素を特定するものでもなく、本発明の範囲を限定するものでもなく、以下の記載に対する前置きとして役立つものに過ぎない。
本発明の一態様は、計測ターゲット設計の方法を提供し、本方法は、少なくとも1つのデバイス設計および複数の計測ターゲット設計のパターン配置誤差(PPE)のゼルニケ感度を計算するステップと、計算されたゼルニケ感度から導出された費用関数の値に従って、最良の計測ターゲット設計を選択するステップであって、費用関数が少なくとも1つのデバイス設計と複数の計測ターゲット設計との間のゼルニケ感度の類似度を定量化する、選択するステップと、を含む。
本発明の一態様は、計測ターゲット設計の方法を提供し、本方法は、少なくとも1つのデバイス設計および複数のターゲット設計候補のそれぞれについて、(i)複数の実行に対して繰り返し、複数のゼルニケ多項式Zのそれぞれに対して複数のN(N>100)個のゼルニケ係数値を生成し、この値が、指定された範囲にわたって指定された分布に関して擬似ランダムに生成され、ゼルニケ多項式のそれぞれに対してPPEを計算し、この実行に対してそれぞれのPPE尺度を計算するステップと、計算されたそれぞれのPPE尺度の分布を導出するステップと、(ii)各ターゲット設計候補についてデバイス対応尺度を生成するために、導出されたターゲット設計候補分布のそれぞれを少なくとも1つの導出されたデバイス設計分布と相関させるステップと、(iii)導出されたデバイス対応尺度に従って、最良の計測ターゲット設計を選択するステップと、を含む。
本発明の一態様は、計測ターゲット設計を最適化する方法を提供し、本方法は、計測性能要件に従って決定された最初のターゲット設計から開始し、シミュレーションツールを使用して、少なくとも2つの方向に関して、最初のターゲット設計と少なくとも1つのデバイス設計との間のパターン配置誤差(PPE)のゼルニケ感度を比較するステップと、最初のターゲット設計に対してプロセスウィンドウを推定するステップと、ゼルニケ感度の対応を向上させ、プロセスウィンドウを増加させるために最初のターゲット設計を変更することによって、最初のターゲット設計から改善された計測ターゲット設計を導出するステップと、を含む。
本発明の一態様は、デバイス設計に対してターゲット設計を決定する方法を提供し、本方法は、最初のターゲット設計およびデバイス設計の0次および1次の回折次数信号の瞳面位置をシミュレートするステップと、改善されたターゲット設計を生成するために最初のターゲット設計の少なくとも1つのパラメータを変更するステップであって、この変更が、デバイス設計の0次と1次の回折次数信号の瞳面位置間の関係に対応した、改善されたターゲットの0次と1次の回折次数信号の瞳面位置間の関係を提供するように実行される、変更するステップと、を含む。
本発明のこれらの態様、追加の態様、および/もしくは他の態様ならびに/またはこれらの利点、追加の利点、および/もしくは他の利点は、以下の詳細な説明において述べられ、本詳細な説明からおそらくは推測可能であり、および/または本発明の実施によって学習可能である。
本発明の実施形態をよりよく理解するために、およびその実施形態をどのように実施することができるかを示すために、純粋に例として、同様の符号が全体を通して対応する要素または部分を示す添付の図面をここで参照する。
2つの異なるタイプのオーバーレイ計測マークに関してデバイスパターンに対するスキャナ収差の影響の例の高レベル概略図であり、先行技術による先行技術のパターン配置誤差(PPE)解析を示す図である。 先行技術による、および本発明のいくつかの実施形態による、光学系の瞳面内に提示された様々な照明の高レベル概略図である。 本発明のいくつかの実施形態による、二重極Y照明下での、100nmピッチおよび50nmCDでx方向に整列したラインアンドスペース構造を備えるデバイスのゼルニケ感度の例示的なシミュレーション結果を示す図である。 本発明のいくつかの実施形態による、異なるパラメータを有する3つ計測ターゲット候補とデバイスとの間のゼルニケ感度の比較を例示する図である。 本発明のいくつかの実施形態による、計測システムにおける、ターゲット設計および/または選択のための計測モジュールの高レベル概略ブロック図である。 本発明のいくつかの実施形態による、ターゲット設計および/または選択の方法の高レベルの概略流れ図である。 本発明のいくつかの実施形態による、ターゲット設計および/または選択の方法の高レベルの概略流れ図である。 本発明のいくつかの実施形態による、ターゲット設計および/または選択の方法の高レベルの概略流れ図である。 本発明のいくつかの実施形態による、ターゲット設計および/または選択の方法の高レベルの概略流れ図である。 本発明のいくつかの実施形態による、方法のモンテカルロ解析段階に対する例示的な例である。 本発明のいくつかの実施形態による、方法のモンテカルロ解析段階に対する例示的な例である。 本発明のいくつかの実施形態による、通常のおよび2つの異なる分割されたAIM(高度イメージング計測)ターゲット設計に適用された、本方法のモンテカルロ解析段階に対する例示的な例である。 先行技術による、デバイス設計、そのようなデバイスを生成するために使用される照明条件、および典型的なイメージング計測ターゲットの高レベル概略図である。 本発明のいくつかの実施形態による、ターゲット設計および/または最適化のシステム300の高レベル概略ブロック図である。 本発明のいくつかの実施形態による、異なるターゲット設計の例示的な比較を提示する図であり、それぞれがそれらのゼルニケ感度に関して同じデバイスと比較されている図である。 本発明のいくつかの実施形態による、異なるターゲット設計の例示的な比較を提示する図であり、それぞれがそれらのゼルニケ感度に関して同じデバイスと比較されている図である。 Y奇数収差に対する先行技術のターゲット感度の欠如を説明する概略図である。 本発明のいくつかの実施形態による、傾斜照明の高レベル概略モデルの図である。 本発明のいくつかの実施形態による、瞳面でのターゲットピッチ変更の効果の高レベル概略図である。 本発明のいくつかの実施形態による、瞳面でのターゲットピッチ変更の効果の高レベル概略図である。 本発明のいくつかの実施形態による、瞳面でのターゲットピッチ変更の効果の高レベル概略図である。 本発明のいくつかの実施形態による、異なるYコマ収差のPPEに対するターゲットピッチの効果の高レベル概略図である。 本発明のいくつかの実施形態による、デバイスに関してターゲット分割を決定するために提案された方法の高レベル概略図である。 先行技術(図15A)に関して本発明(図15B)のいくつかの実施形態による、プロセスウィンドウを改善し、最適化するための手法を示す概略図である。 先行技術(図15A)に関して本発明(図15B)のいくつかの実施形態による、プロセスウィンドウを改善し、最適化するための手法を示す概略図である。 本発明のいくつかの実施形態による、計測ターゲット設計を最適化する方法の高レベルの概略流れ図である。 本発明のいくつかの実施形態による、計測ターゲット設計を最適化する方法の高レベルの概略流れ図である。 本発明のいくつかの実施形態による、傾斜ターゲットの高レベル概略図である。
以下の記載では、本発明の様々な態様が記載される。説明を目的として、本発明についての完全な理解を提供するために、特定の構成および詳細が述べられる。しかしながら、本明細書において提示されている特定の詳細なしに、本発明が実施され得ることも、当業者には明らかであろう。さらに、周知の特徴は、本発明を不明瞭にしないように省略または簡略化されることがある。図面を特に参照すると、図示される詳細は、例としてであって、本発明の例示的な議論のみを目的としており、本発明の原理および概念的な態様の最も有用で、容易に理解される記載であると思われるものを提供するために提示されていることが強調される。この点に関して、本発明についての基本的な理解をするために必要以上に詳細に本発明の構造的詳細を示す試みはなされておらず、図面と共に本記載を読めば、本発明のいくつかの形態を実際にどのように具現化することができるかが、当業者には明らかになる。
少なくとも本発明の一実施形態を詳細に説明する前に、本発明は、その適用において、以下の記載に述べるまたは図面に示す構成要素の構造および配置の詳細に限定されないことを理解されたい。本発明は、様々な手法で実践または実行されてもよい他の実施形態、ならびに開示された実施形態の組合せに適用可能である。また、本明細書で用いる語法および術語は、記載するためのものであり、限定すると見なされるべきでないことを理解されたい。
特に別段の定めがない限り、「処理する」、「計算する」、「算出する」、「決定する」、「増強する」などの用語を利用する議論は、コンピューティングシステムのレジスタおよび/またはメモリ内部の物理的な、例えば、電子的な量として表わされるデータを、操作するならびに/あるいはコンピューティングシステムのメモリ、レジスタ、または他のそのような情報記憶、伝送、もしくは表示装置内部の物理量として同様に表わされる他のデータへ変換する、コンピュータもしくはコンピューティングシステムまたは同様の電子コンピューティング機器の動作および/または処理を指すことを認識されたい。
本発明の実施形態は、ターゲットとデバイスの対応を改善する手法で計測パラメータ、光学収差、およびプロセスパラメータに関して計測ターゲット設計を最適化するための効率的かつ経済的な方法およびメカニズムを提供する。
計測方法、モジュール、およびターゲットは、傾斜デバイス設計を測定するために提供される。本方法は、ターゲット候補とデバイス設計との間のパターン配置誤差(PPE)のゼルニケ感度の関係に関してターゲット設計を分析し最適化する。モンテカルロ法を適用して、レンズ収差および/またはデバイス設計の変化に対して、選択されたターゲット候補の堅牢性を向上させることができる。さらに、計測測定品質を改善し、不正確さを低減させるためにターゲットパラメータをゼルニケ感度に関して適切に変更するための考慮すべき点が提供される。
図2は、先行技術、および本発明のいくつかの実施形態による、光学系の瞳面90に提示された様々な照明の高レベル概略図である。照明80、85は、ピッチが数10~数100ナノメートル(nm)、例えば、現時点では、典型的には80nmのデバイスを生成する場合のスキャナ照明を表わす。照明80は、x軸照明(開口数座標NA)を表わし、照明85は、特定のデバイス、例えば、メモリデバイスに適用可能な傾斜照明(すなわち、Na成分を有する)を表わす。照明95は、デバイスよりも少なくとも1桁大きな、典型的には、1000~2000nmのターゲットを測定するための先行技術の計測ツール照明を表わす。ピッチがより大きいため、照明95は、スキャナ照明80とは異なる瞳座標をカバーし、典型的には、Na成分がない。矢印91は、偶数および奇数のゼルニケ多項式(それぞれ、
Figure 0007236481000001
および
Figure 0007236481000002
であり、ここで対応するゼルニケラジアル多項式が
Figure 0007236481000003
である)を使用して瞳面収差を分析する実践された手法を表わす。スキャナ照明80、85は、典型的には、先行技術の計測照明95が使用する領域とは異なる瞳面90内の領域を使用するため、異なる収差を受け、計測測定値は、対応する不正確さを被る。
本発明では、ゼルニケ多項式の表現を介した瞳面収差の解析を使用して、計測ターゲットピッチおよび計測照明101を変更し、計測測定値とデバイスパラメータとのより良好な対応を提供し、計測の不正確さを低減させる。開示された方法によって、計測ターゲットを最適化し、レンズ収差が存在する場合の、特に垂直軸または水平軸から傾斜したパターンに対するパターン配置誤差(PPE)の不一致を予測することができる。開示された方法は、半導体製造中にデバイスまたはテスト機構の光学的および/または構造的および/または配置特性の測定において使用される計測ターゲットの設計および/または設計の最適化に関する。光学的特性または構造的特性の例には、高さ、側壁角度、ピッチ、線幅、膜厚、屈折率、および異なる層間または単一層内部の露光間のオーバーレイなどの限界寸法が含まれる。また、設計された計測ターゲットを使用して、半導体デバイスのリソグラフィパターニングの焦点および線量を測定することができる。本方法によって、先行技術よりも効果的に、デバイス機構によって引き起こされる収差誘発パターン配置誤差を追跡する計測ターゲットの設計および/または最適化が可能になる。本方法によって、特に垂直/水平方向に対して傾斜したデバイス機構に対する配置誤差不一致を最小限にする堅牢な計測ターゲットの設計が可能になる。有利には、開示された方法は、特にメモリ用途における傾斜したラインアンドスペースパターンに対するイメージングオーバーレイターゲットを最適化し、パターン配置誤差において26%の推定削減量をもたらすことができる。
特定の実施形態は、(i)費用関数を用いてゼルニケ感度解析を実施する、および/または(ii)感度を解析するためにモンテカルロ手法を使用する、ターゲット設計法を含み、デバイス収差追跡のための設計最適化を可能にする。開示された方法は、様々な手法で計測ターゲット設計のプロセスに統合され、例えば、(i)分割選択肢を生成することができ、すなわち、計測ターゲットの詳細な構造を規定することができ、そのような設計の置換のすべてまたはサブセットを以下に記載するようなPPE解析によって解析することができる。勝利した競争者(winning contender)のサブセットは、その後、残りの競争者を定量化しランク付けする第2の計測シミュレーションステップに送られてもよい。(ii)PPE解析は、結果が出るまでの時間を最小限にするために、計測シミュレーションステップと並列に行われてもよい。(iii)計測シミュレーションステップによって高くランク付けされた競争者のサブセットは、PPE解析のための入力として使用されてもよい。
デバイス用ならびにターゲット候補用のリソグラフィモデルを構築するためにPROLITHシミュレーションが使用されてもよく、MatlabによるPROLITHプログラミングインターフェース(PPI)がゼルニケ感度およびモンテカルロ解析のために使用されてもよい。概念を示すために、浸漬リソグラフィツールを使用するための現実的なリソグラフィ条件が、非限定的な手法で使用される。デバイスは、x方向に整列したラインアンドスペース構造であると仮定され、したがって、適切な照明の選択は、図1に示すような二重極Y光源である。ポジ型現像処理による明視野マスク(BFM)を使用して、レジストにパターンを印刷する。キルヒホッフマスクシミュレーションモードと共に完全な物理的なレジストモデルが使用される。任意の現実的なタイプのデバイス、ターゲット、および照明に同様の手法を適用できることが強調される。
ゼルニケ感度解析
実際には、収差のない結像系は、完全なレンズを作るのが困難なため決して実現することができず、したがって、収差は、リソグラフィレンズにとって基本的な問題である。そのようなレンズ収差は、パターン配置誤差(PPE)と呼ばれる、名目上の中心位置からの印刷された機構の位置誤差を引き起こすことがある。レン収差の挙動は、36個のゼルニケ係数の数値によって特徴付けることができ、レンズの収差のすべての例(例えば、図1の下部参照)は、ゼルニケ多項式の項の混合として表わすことができる。具体的には、レンズ収差の影響下のPPEは、レンズ収差のない配置誤差を表わすPPE(Z=0)、およびi番目のゼルニケ係数値に対する配置誤差を表わすPPE(Z)を用いて、式1で表わされるようにモデル化することができる。
Figure 0007236481000004
PPEに対するゼルニケ感度は、∂PPE/∂Zとして定義することができ、オーバーレイ性能に関する重要な情報を提供する。ステージの傾きに対応するZ2およびZ3の項は、典型的には、補正後にゼロに維持されるため、式1は、i=4...36のみを使用することに留意されたい。i=4...36に対するデバイスのY-PPEは、ゼルニケ係数値の関数として初めに計算されてもよく、約-80mWave~+80mWaveの間で変化してもよい。本発明者らは、図3Aに示すように、図1と同様の条件下でシミュレートされたY-PPEが、Z8、Z11、Z15、Z20、Z24、Z27、Z31、およびZ35などの奇数ゼルニケ多項式に対してのみ感度を有することを見出した。図3Aは、本発明のいくつかの実施形態による、二重極Y照明下の100nmピッチおよび50nmCDを有するx方向に整列したラインアンドスペース構造を備えるデバイスに対するゼルニケ感度の例示的なシミュレーション結果を示す。図3Aは、ゼルニケ係数の変化によるPPEの線形関係を示し(111A、111B)、図示するケースではゼルニケ係数がZ8、Z11、Z15、およびZ20である。PPEの感度は、ゼルニケ係数が異なると異なり、結果としてPPEに対する係数の影響が異なることになる。同様の解析をX-PPEに対して行うことができる。
異なるターゲットがそれらのゼルニケ感度に関して比較されてもよく、デバイスのゼルニケ感度に最も近いゼルニケ感度を有するターゲットが適切な計測測定を行うために選択され得る。理想的には、リソグラフィツールの特定のレンズ収差が与えられると、理想的なオーバーレイターゲットは、デバイス様のゼルニケ感度を有する。図3Bは、本発明のいくつかの実施形態による、異なるパラメータを有する3つの計測ターゲット候補とデバイス間のゼルニケ感度の比較を例示する。図3Bは、各ゼルニケ項(Z8、Z11、Z15、Z20、Z24、Z27、Z31、およびZ35)ごとに、デバイスおよびターゲットのY-PPEを計算した結果を示す。ここでは、Z4...Z36に対して20mWaveの一定のゼルニケ係数値が仮定されている。本例では、ターゲットT1、T2、T3は、同じピッチ100nmを有し、CDがそれぞれ150nm、200nm、450nmの値を有する点が異なる。
任意のターゲット比較データを使用して、例えば、式2で提供されるような費用関数メトリックによって利用可能なターゲット候補に対するランクを抽出することができ、ここでPPEおよびPPEは、デバイスのPPEおよびターゲットのPPEをそれぞれ表わす。
Figure 0007236481000005
式2で表わされる費用関数によって、デバイスとターゲットとの間のPPE不一致が提供され、したがって、ゼルニケ係数の所定の名目上の値に対して最良の性能を示すターゲットを選択することが可能になる。図3Bに提示された例示的な非限定的な比較に対する結果が表1にまとめられている。本例示的な例では、ターゲット4が最も高いランキングを有し、シミュレートされたレンズ収差および条件の下でデバイスを最も良く表わす計測測定値を提供するために選択される。特定の実施形態では、ランク付け方法は、リソグラフィメトリックおよび他の計測メトリックなどの追加のファクターを考慮に入れてもよい。
Figure 0007236481000006
図4は、本発明のいくつかの実施形態による、計測システムにおける、ターゲット設計および/または選択のための計測モジュール100の高レベル概略ブロック図である。計測モジュール100は、以下で説明するようにデバイス84を生成し、計測モジュール100によって提供される計測ターゲットを測定するように構成された光学系81ならびに測定モジュール82を有する計測ツールおよび/またはスキャナ75に関連付けられていてもよい。ターゲット設計および/または選択モジュール100は、少なくとも1つのデバイス設計84および複数の計測ターゲット設計108のパターン配置誤差(PPE)のゼルニケ感度を計算し、計算されたゼルニケ感度から導出された費用関数の値に応じて最良の計測ターゲット設計86を選択するように構成された少なくとも1つのコンピュータプロセッサ89を備え、費用関数が少なくとも1つのデバイス設計84と計測ターゲット設計108との間のゼルニケ感度の類似度を定量化する。計測ターゲット設計および/または選択モジュール100は、本明細書に記載されたターゲット設計および/または選択の方法のいずれかを実施するように構成されてもよい。計測モジュール100によって設計された計測ターゲットおよびそれらのターゲット設計ファイルは、同様に本開示のポート(port)である。
図5は、本発明のいくつかの実施形態による、ターゲット設計および/または選択の方法150の高レベルの概略流れ図である。方法150のステップは、本明細書に記載された他の方法において使用されてもよい。特定の実施形態は、コンピュータ可読プログラムが具現化され、方法150の関連する段階を実行するように構成されたコンピュータ可読記憶媒体を含むコンピュータプログラム製品を含む。特定の実施形態は、方法150の実施形態によって設計されたそれぞれのターゲットのターゲット設計ファイルを含む。
方法150は、例えば、式1に従って、少なくとも1つのデバイス設計および複数の計測ターゲット設計のパターン配置誤差(PPE)のゼルニケ感度を計算するステップ(段階160)と、例えば、式2に従って計算されたゼルニケ感度から導出された費用関数の値に従って、最良の計測ターゲット設計を選択するステップ(段階170)と、を含むことができる。費用関数は、少なくとも1つのデバイス設計と複数の計測ターゲット設計との間のゼルニケ感度の類似度を定量化するように定義されてもよい(段階165)。計算するステップ160および選択するステップ170のうちの少なくとも1つは、少なくとも1つのコンピュータプロセッサ、例えば、プロセッサ89によって実行されてもよい(段階190)。ゼルニケ感度は、ゼルニケ係数Z4...Z36に関して、奇数のゼルニケ係数のみに関して、またはゼルニケ係数Z8、Z11、Z15、Z20、Z24、Z27、Z31、およびZ35のみに関して計算されてもよい。費用関数は、少なくとも1つのデバイス設計のPPEゼルニケ感度と複数の計測ターゲット設計のPPEゼルニケ感度との間の距離メトリックを含んでもよい。
モンテカルロゼルニケ解析
特定の実施形態は、例えば、図3Aの線形近似条件が成り立たない場合の、より大きな収差の下でのゼルニケ感度解析を提供する。開示された方法によって、レンズ収差がある場合の、または正確なレンズ収差データがなく時間的および空間的なシグネチャしかない場合の、より大きなゼルニケドリフトに対するターゲットの最適化が可能になる。そのようなゼルニケドリフトは、例えば、特に高度の技術ノードにおいて深刻な問題である極端な軸外照明によるレンズ加熱によって引き起こされることがある。加えて、開示された方法は、堅牢な解析を提供し、レンズ収差がロット、ウェーハ、およびスリット間で変化する場合のターゲットの最適化を可能にする。
モンテカルロ(MC)法を使用して、強いゼルニケ変化の下でターゲットを最適化することができる。また、モンテカルロサンプリングによるゼルニケドリフトを解析することによって、最適化を代替デバイスまで拡張することができ、ターゲット統計量が提供される。MCを使用することによって、リソグラフィスキャナからの収差指紋に関する事前の知識なしにデバイス様のターゲットの最適化が可能になり、以下に記載されるように、より正確なターゲットを生成することができる。
図6は、本発明のいくつかの実施形態による、ターゲット設計および/または選択の方法200の高レベルの概略流れ図である。方法200のステップは、本明細書に記載された他の方法において使用されてもよい。特定の実施形態は、コンピュータ可読プログラムが具現化され、方法200の関連する段階を実行するように構成されたコンピュータ可読記憶媒体を含むコンピュータプログラム製品を含む。特定の実施形態は、方法200の実施形態によって設計されたそれぞれのターゲットのターゲット設計ファイルを含む。
方法200は、少なくとも1つのデバイス設計および複数のターゲット設計候補のそれぞれについて(段階210)、複数の実行に対して繰り返し(段階220)、複数のゼルニケ多項式Zのそれぞれ対して、複数のN(N>100)個のゼルニケ係数値を生成するステップ(段階230)と、ゼルニケ多項式のそれぞれに対してPPEを計算するステップ(段階240)と、この実行に対してそれぞれのPPE尺度を計算するステップ(段階250)と、を含む。値は、(例えば、仕様で規定されたレンズ収差公差に対応する)指定された範囲にわたって指定された分布(例えば、均一な分布)に関して擬似ランダムに生成されてもよい(段階235)。複数の実行それぞれに対して、方法200は、計算されたそれぞれのPPE尺度の分布を導出するステップを含む(段階260)。次いで、方法200は、各ターゲット設計候補についてデバイス対応尺度を生成するために、導出されたターゲット設計候補分布のそれぞれを少なくとも1つの導出されたデバイス設計分布と相関させるステップ(段階265)と、導出されたデバイス対応尺度に従って、最良の計測ターゲット設計を選択するステップ(段階270)と、を含む。生成するステップ230、235、計算するステップ240、250、導出するステップ260、相関させるステップ265、および選択するステップ270のうちの少なくとも1つは、少なくとも1つのコンピュータプロセッサによって、例えば、プロセッサ89によって実行されてもよい(段階290)。
方法200は、指定されたターゲット設計の分割選択肢を表わすためにターゲット設計候補を選択するステップ(段階275)と、デバイス対応尺度を使用して分割選択肢をランク付けするステップ(段階276)と、をさらに含むことができる。方法200は、計測シミュレーションプロセスと並行して計算段階(240および/または250)を実行するステップ(段階280)と、デバイス対応尺度を使用してランキングを計測シミュレーションプロセスから導出されたランキングと統合するステップ(段階282)と、をさらに含むことができる。方法200は、複数のデバイス設計に対して本方法を実行するステップ(段階285)と、すべてのデバイス設計に対して導出されたデバイス対応尺度に関して選択するステップ(270)を実行するステップ(段階286)と、をさらに含むことができる。任意選択で、選択するステップ270は、複数のデバイス対応尺度(段階288)から導出された堅牢性尺度に関して実行されてもよい。
方法200は、計測モジュール100によって実行されてもよい(図4参照)。計測モジュール100は、少なくとも1つのデバイス設計および複数のターゲット設計候補のそれぞれについて、(i)複数の実行に対して繰り返し、複数のゼルニケ多項式Zのそれぞれに対して、指定された範囲にわたって指定された分布に関して擬似ランダムに生成される複数のN(N>100)個のゼルニケ係数値を生成し、ゼルニケ多項式のそれぞれに対してPPEを計算し、この実行に対してそれぞれのPPE尺度を計算し、計算されたそれぞれのPPE尺度の分布を導出し、(ii)各ターゲット設計候補についてデバイス対応尺度を生成するために、導出されたターゲット設計候補分布のそれぞれを少なくとも1つの導出されたデバイス設計分布と相関させ、(iii)導出されたデバイス対応尺度に従って、最良の計測ターゲット設計を選択する、ように構成されてもよい少なくとも1つのコンピュータプロセッサ89を備える。
計測モジュール100は、指定されたターゲット設計の分割選択肢を表わすためにターゲット設計候補を選択し、任意選択で、デバイス対応尺度を使用して分割選択肢をランク付けるようにさらに構成されてもよい。計測モジュール100は、計測シミュレーションプロセスと並行して計算段階を実行し、デバイス対応尺度を使用してランキングを計測シミュレーションプロセスから導出されたランキングと統合するようにさらに構成されてもよい。計測モジュール100は、複数のデバイス設計を使用し、すべてのデバイス設計に対して導出されたデバイス対応尺度に関して最良のターゲットを選択するように、および任意選択で、複数のデバイス対応尺度から導出された堅牢性尺度に関して最良のターゲットを選択するようにさらに構成されてもよい。計測モジュール100によって設計された計測ターゲットおよびそれらのターゲット設計ファイルは、同様に本開示のポートである。
図7は、本発明のいくつかの実施形態による、方法200のモンテカルロ解析段階についての例示的な例である。本例では、非限定的なパラメータが使用され、ピッチ=100nm、CD=50nm、N=500、0~20mWaveの範囲、ならびに項Z8、Z11、Z15、Z20、Z24、Z27、Z31、およびZ35が使用されている。生成するステップ230は、それぞれのゼルニケ項(例えば、0~0.02λで、項Z8、Z11、Z15、Z20、Z24、Z27、Z31、およびZ35)に対して対象とする現実的な範囲からより大きな数(N、例えば、N=ΣN=500)の一様に分散した擬似ランダムな係数を生成することによって示されている。PPEを計算するステップ240は、それぞれのゼルニケ項(Z)ごとにY-PPE(Z)(非限定的な例として、代わりに、X-PPEまたは両方)を計算することによって、ならびにそれぞれのゼルニケ項に対して平均値、標準偏差、および分布範囲などの統計量を計算することによって示される。PPE尺度を計算するステップ250は、それぞれのMC実行ごとに、式1でi=4...36に対するY-PPE(Z)の加算であってもよいY-PPE(Z)を計算すること250Aによって、ならびに全N個のサンプルに対して、PPE尺度として使用することができる統計量を計算すること250Bによって示されている。それぞれの計測ターゲット候補について複数の実行220に対して段階230~250を繰り返した後に、PPE尺度分布260を導出するステップおよびターゲットをデバイス265と相関させるステップが、デバイスとターゲットとの間の相関係数Rおよび平均差を計算することによって、またはデバイスとターゲットとの間のPPE差を直接計算することによって実行されてもよい。PPE尺度は、R、3シグマ、勾配、または切片などの公知の統計的相関パラメータに基づいて計算されてもよい。
図7は、表1で規定されたデバイスおよびそれぞれのターゲット候補T1...T4について導出された分布の相関(265)をさらに示し、それらの統計量およびランキングが表2にまとめられている。相関分布に示されるように、方法200を使用して、種々の条件下でおよび線形ゼルニケ感度を仮定することなく、様々なターゲット候補とデバイス設計との詳細な比較を行うことができる。
Figure 0007236481000007
特定の実施形態は、任意の数の候補ターゲット、デバイス設計、イメージングターゲット、および散乱計測ターゲットに関して、ならびに単純なまたは複雑な2次元デバイスおよびターゲットにも同様に方法200を実施することができる。シミュレーションの任意のパラメータ値(条件、実行など)を所定の環境に応じて設定することができる。
図8は、本発明のいくつかの実施形態による、通常のおよび2つの異なる分割されたAIM(高度イメージング計測)ターゲット設計に適用された方法200のモンテカルロ解析段階についての例示的な例である。デバイスのCDおよびピッチは、分割CDおよびピッチとして分割されたターゲットに対して使用された。第1の分割されたターゲットは、補助機構を有さないが、第2の分割されたターゲットは、2つのサブ解像度補助機構(SRAF)を使用し、1つは上部の分割された線に隣接して位置し、もう1つは下部の分割された線に隣接して位置する。統計量および相関係数は、モンテカルロ法200を使用して、ランダムな収差を有するリソグラフィレンズを通して回折次数を伝搬させることから計算される。所定の500個のランダムな収差の下で、SRAFを有する分割されたAIMターゲットに対するPPE値は、デバイスで得られたものとほぼ同一であり、結果として、おそらくは、分割されたAIMターゲットとデバイスとの間で、レンズ射出瞳における回折次数の振幅および位相分布がよくマッチングしているために強い相関R=0.985が得られている。しかしながら、予想通りに、デバイスと通常のAIMターゲットとの間には、両者間の大きな寸法差のために相関が観察されない。図8は、デバイスおよび3つのターゲット候補について導出された分布の相関(265)を示す。非限定的なデータならびに結果として得られた相関係数およびランキングが表3に示されている。
Figure 0007236481000008
有利には、方法150、および特に方法200は、(i)スキャナレンズの正確な収差特性の入力の必要性を最小限にし、(ii)選択プロセスにおいて種々様々のスキャナレンズ収差パターン選択肢が考えられるため、リソグラフィ的により堅牢なターゲット設計を保証し、(iii)可変レンズ収差の条件下でデバイスとターゲットとの間の相関を定量化する。例えば、AIM分割スキームは、デバイスとターゲットとの相関が98%を上回るまでに改善することが示された。
特定の実施形態は、メモリ活性層内の傾斜パターンに対するレンズ収差認識イメージングベースのオーバーレイターゲット最適化方法を含む。これらの方法は、傾斜デバイス、すなわち計測ターゲットのX軸およびY軸に対して傾斜した方向に沿ってピッチを有するデバイスを測定するための計測ソリューションを提供する。
図9は、先行技術による、デバイス設計30、そのようなデバイスを生成するために使用される照明条件35A、35B、および典型的なイメージング計測ターゲット38A、38Bの高レベル概略図である。メモリ活性層デバイス30は、一般にピッチデバイスP(典型的にはピッチP=80nmおよびCD=40nm)において回転させたラインアンドスペース(LS)から構成され、デバイスピッチおよび要件に従って構成された、極および傾斜角αを有する回転させた二重極X照明などの、最適化された照明源によって生成される。瞳面照明35Aが光源に示され、瞳面35Bが射出瞳に示され、デバイスの印刷プロセスを最適化するために回折次数0および1が設計ごとに重なり合っている。デバイス設計30の傾斜および照明の回転が角度αによって概略的に示されている。先行技術のターゲットは、ターゲット38A(標準AIMターゲット、Pは典型的には1600~2000nm)および38B(分割されたAIMターゲット、上記参照)について、例えば、その全体を先行技術として本願に引用して援用する米国特許第7,408,642号および第7,667,842号によってそれぞれ教示されているように、水平および垂直方向(XおよびY)に沿って整列している。ターゲット方向の不一致は、例えば、レンズ収差に関して上に記載されたように、結果として印刷適正の問題および配置誤差を生じる(図2の対応するデバイス照明85対ターゲット照明95も参照)。先行技術の分割された計測ターゲットの設計は、計測性能(例えば、コントラスト、回折効率、および精度)を最適化するように実行されることに留意されたく、例えば、その全体を先行技術として本願に引用して援用するSPIE Vol 9424 94240Eのルレーら(Leray et al.)、2015,”Overlay metrology solutions in a triple patterning scheme”を参照されたい。
特定の実施形態は、リソグラフィ効果をターゲット設計の考慮すべき点に組み込み、計測ターゲットの分割ピッチ、限界寸法、および他のパラメータの適切な決定を可能にするターゲット設計方法を含む。有利には、開示された方法によって、(i)歩留まりの向上に寄与することができるオーバーレイ測定の改善、(ii)結果としてパターン配置誤差をもたらす、最適化された照明源に起因するレンズ加熱の問題の克服(上記も参照)、(iii)最適化された(回転させた)照明に適合する分割スキームの選択、(iv)回折次数の位置間の差、およびデバイスでは(傾斜設計のため)強くターゲットでは存在しないY奇数収差項に対するPPE感度の差に起因する大きなPPEオフセットの低減、(v)デバイス分割を使用した、ターゲットに対する比較的小さなプロセスウィンドウの克服(以下参照)、ならびに、(vi)デバイスピッチ、および傾斜極端二重極光源による傾斜LSパターンの傾斜の両方を考慮に入れた最適化されたターゲットピッチの導出が可能になる。
図10は、本発明のいくつかの実施形態による、ターゲット設計および/または最適化のシステム300の高レベルの概略ブロック図である。図10に示す要素のいずれも、計測ツール内のコンピュータプロセッサに関連付けられたモジュールとして、ならびに/またはコンピュータ可読プログラムが具現化された、および以下に記載するそれぞれの動作を実施するように構成されたコンピュータ可読記憶媒体として実施されもよい。
システム300は、(SCOLの)コントラスト、精度、および回折効率などの計測パラメータに関して計測性能シミュレーション60に使用するリソグラフィモデル68を備え、例示的な分割されたターゲット要素(図9に関する場合は単一のバー)が、シミュレーションモジュール60の下方に示されている。次いで、設計されたターゲットは、例えば、OPC(光学近接効果補正)およびSRAF(ならびに/または他の分割)を適用することによって改良される(50)。例示的な改良されたターゲット要素が図示され、SRAF分割が矢印によって示されている。次いで、改良されたターゲットは、(上に記載され、以下で詳述されるように)それらのゼルニケ感度100に関して最適化され、それらのプロセスウィンドウ40に関して、例えば、DOF(焦点深度)およびEL(露光裕度)に関して最適化され得る。これらの2つの最適化は、追加の計測性能シミュレーション60に関連して(320)および対応して(310)実行され、3組の考慮すべき点すべてに関して最適化されたターゲット330、例えば、(i)(100からの)最小のPPEオフセット、(ii)(40からの)最大のプロセスウィンドウ、および(iii)(60からの)最大の計測性能、に基づいて選択された分割ピッチを有するターゲットを生成することができる。システム300は、光学分割ピッチを計算するように構成され、一方でターゲットとデバイスとの間の配置誤差オフセットを低減させることによって、ウェーハ上のターゲット印刷適性(すなわち、より大きなプロセスウィンドウ)、およびデバイスとターゲット間マッチングの両方を改善する。設計されたオーバーレイターゲットは、ADI(現像検査レベル後)およびAEI(エッチング検査レベル後)の両方に使用することができる。分割は、一般にプロセスウィンドウを増大させ、開示された方法は、分割ピッチおよび設計の最適化を提供する。例えば、分割ピッチが例えば、80~100nmの範囲にあってもよい図9のバー要素に対する、ターゲットの典型的な粗いピッチは、典型的には1500nmである。この範囲のいかなる値も、異なるペトロロジー(petrology)およびプロセスパラメータを有する異なるターゲットを提供する。
図11Aおよび図11Bは、本発明のいくつかの実施形態による、異なるターゲット設計の例示的な比較を示し、それぞれが、それらのゼルニケ感度に関して同一のデバイスと比較されている。いずれの場合も、デバイスピッチは80nm、CD=40nmであり、20mWaveの一定のZ係数が使用されている。図11Aでは、ターゲット分割は、デバイス(80nm)と同一のピッチを有するが、図11Bでは、ターゲット分割ピッチは、デバイスピッチとは異なる(90nmおよび100mmであり、80nmのターゲットが比較のために含まれている)。図は両方とも、ゼルニケ項によるデバイスおよびターゲットのシミュレートされたPPEを提示し、ターゲット感度とデバイス感度との間の良好な対応が340で表示され、ターゲット感度とデバイス感度との間の良好な対応が345で表示されている(図11Aでは、この表示は、80nmのターゲットに関するが、図11Bでは、この表示は、90nmおよび100nmのターゲットに関する)。図11AにおいてPPEに最も寄与する項は、X奇数収差項Z14およびZ26、ならびにY奇数収差項Z3、Z8、Z11、Z20、およびZ27である。以下でより詳細に説明するように、Y奇数収差項は、デバイスの傾斜配向によりY奇数収差に対するデバイスのPPE感度を特徴付ける。
デバイスピッチをターゲット分割に使用すること(図11A)は、結果として、デバイスピッチとは異なるピッチをターゲット分割に使用する場合(図11Bの90nmおよび100nmのターゲット)よりもデバイスとターゲットの感度間の対応を低下させることに留意されたい。一般的な慣例では計測ターゲットをデバイスと同一のピッチで分割しているため、この結果は、驚くべきことである。
表4は、デバイス-ターゲット間マッチング(100)およびプロセスウィンドウパラメータ(40)に関してターゲット分割ピッチ間の比較を提供し、100nmのターゲット分割ピッチに対して最適な結果を示し、このピッチは、デバイスピッチよりも25%大きい。100nm分割されたターゲットは、結果として35%のPPEオフセット低減となるより良好なデバイスマッチング、およびより大きなプロセスウィンドウ(PW)の両方を有する。分割されたピッチが100nmよりも大きなターゲットについては、オーバラップPWが減少し始め、ターゲットもSADP(自己整合ダブルパターニング)プロセスフレンドリではなくなり、結果としてAEIレベルのターゲットコントラストが低下する。DOFは、設計に際してトレンチに対して5%の露光レベル(EL)で計算され、左右のトレンチが同一の値を有する。プロセスウィンドウパラメータのデータは、図15Bにより詳細に示されている。
Figure 0007236481000009
本発明者らは、以下に示す、ターゲット設計における改善のためのよりどころを見出した。図12A~図12Cは、図11Aに示すような、Y奇数収差に対する先行技術のターゲット感度の不足を概略的に示し、図13A~図13Cは、図11Bに示すような、Y奇数収差に対する改善されたターゲット感度を概略的に示し、図14は、ターゲットとデバイス間のマッチングを改善するためのガイドラインを提供し、図15Bは、図15Aに示す先行技術に対して改善されたプロセスウィンドウを示す。
図12Aは、Y奇数収差に対する先行技術のターゲット感度の不足について説明する概略図である。図12Aは、傾斜照明源35A、および合同(congruent)の0次および1次の回折次数を有する、射出瞳でのデバイス回折信号35B(図9も参照)、ならびに、デバイス30と同一のピッチ(両方とも80nm)で分割されているが傾斜していない先行技術の計測ターゲット38Bの応答を示す。向きが異なり、ピッチが同じため、0次および1次の回折信号は、重ならないが、瞳面にわたって広がり、デバイス30に対するターゲット38Bの収差感度を変化させていることに留意されたい。具体的には、ターゲット38Bに対する回折パターンは、x方向に沿って整列しているが、デバイス30は、斜め(傾斜)方向に沿った回折パターンを提供するため、デバイス30は、Y奇数収差に対して大きなPPE感度を有するが、先行技術のターゲット38Bは、Y奇数収差に対するPPE感度が比較的小さいか、または全く有さない。
図12Bは、本発明のいくつかの実施形態による、傾斜照明38Aの高レベル概略モデルである。照明38Aは、2つの点光源35Cを備えるものとしてシミュレートされている。図12Bは、点光源36A、36Bからの0次および1次の回折信号の経路、ならびに1次および0次の回折次数が異なる距離進むため、計測ターゲットが所定の回転させた照明35Cに対して垂直に向いている場合にPPEを引き起こす結果として生じる位相差を概略的に示す。式3に表わされるように、単極光源に対する空間像の強度は、電界の大きさの2乗である。
Figure 0007236481000010
照明源は、デバイスパラメータ(本例では、ピッチ80nmおよび21°の傾斜(α))に対して最適化されているため、1次の回折信号は、0次回折信号とは正確に反対の位置を通過する。
図12Cは、本発明のいくつかの実施形態による、瞳面でのターゲットピッチ変更の効果335の高レベル概略図である。図12Cは、照明源36A、先行技術のターゲットに対する照明源36Aの0次および1次の回折信号36C、ならびに改善されたターゲットに対する0次および1次の回折信号330を概略的に示し、1次回折信号の位置が、0次回折信号に対して以前の並置位置から移動している。ターゲットピッチ変更335の効果は、1次回折信号のX軸に沿った動きを示す、Y奇数ゼルニケ多項式の1つ
Figure 0007236481000011
(Z8)に関して示される。X方向照明源36Aを使用することは、ターゲット330のY方向の感度を変えないが、一旦照明源を傾けると、図13A~図13Cに示すように、変更335によって、結果としてターゲット330に対してY奇数ゼルニケ感度が生じることに留意されたい。
図13Aおよび図13Bは、本発明のいくつかの実施形態による、瞳面でのターゲットピッチ変更335の効果の高レベル概略図である。図13Aおよび図13Bは、先行技術のターゲット35Cおよび改善されたターゲット330の射出瞳像と共に、X軸から離れた照明源(図13AではX軸上方の、図13BではX軸下方の単極源)を示し、ゼルニケ多項式の1次信号(ΔΦと表示される)の位置が、35Cで示す0次信号(ΔΦと表示される)の位置と等しい位置(ΔΦ=ΔΦ)から330で示す0次信号ΔΦの位置と等しくない位置(ΔΦ≠ΔΦ)へ変化することによって改善されたターゲット330のY奇数ゼルニケ感度を示し、したがって、Y軸に沿った収差に感度があることを示す。図13A、図13Bのグラフは、Yコマ収差の変化に対するPPEのターゲットピッチ依存性を示し(Z8に関して)、デバイスピッチで分割された先行技術のターゲットに対するゼロPPEから開始して、ターゲット分割ピッチがデバイスピッチ(330)から逸れるにつれ、PPE(およびゼルニケ感度)が増加する。図13Cは、本発明のいくつかの実施形態による、異なるYコマ収差の、PPEに対するターゲットピッチの効果の高レベル概略図である。例示的な射出瞳像が、ピッチ80nm(デバイスピッチ、38B)、120nm(改善されたターゲット330A)、および160nm(改善されたターゲット330B)に対して示されている。グラフは、ターゲットピッチがデバイスピッチから逸れると共に感度が増加することを示す。2ビームイメージング領域は、0次および1次の回折次数のみがスキャナレンズアレイによって捕捉され、これらの2つのビームが互いに干渉している場合を表わす。ピッチを増加させることによって、より多くの回折次数を捕捉することができる。3ビーム干渉イメージングは、0次、1次、および2次の回折次数が捕捉され、互いに干渉している場合に適用される。
図14は、本発明のいくつかの実施形態による、デバイスに対してターゲット分割を決定する、提案された方法250の高レベル概略図である。デバイス30を生成するためのシミュレートされた照明源35Cは、少なくとも1つのゼルニケ多項式、例えば、Z8に関して射出瞳上で計算され、対応する計算は、P=Pである先行技術のターゲット38Bに対して実行される。次いで、0次および1次の回折次数の信号(ΔΦ、ΔΦ)の相対的な瞳面位置が解析され、ターゲットパラメータを変更して、対応するゼルニケ多項式値によって反映される、PPE感度との関係において0次および1次の回折次数の信号(ΔΦ、ΔΦ)の相対的なデバイス位置によりよく対応する相対的な瞳面位置を提供する(330)。
図15Aおよび図15Bは、先行技術(図15A)に対して本発明(図15B)のいくつかの実施形態による、プロセスウィンドウを改善し最適化するための手法を概略的に示す。プロセスウィンドウは、40nmの名目上のトレンチCDから±10%CDを変化させた例について計算されている。先行技術のターゲット38Bに対して、左側トレンチおよび中心トレンチのプロセスウィンドウが図15Aに提示され、上の表4に列記されているような90nmおよび100nmのピッチをそれぞれ有する改善されたターゲット331および330に対する左側トレンチおよび中心トレンチのプロセスウィンドウよりも小さい。ターゲット330は、図示される例において最良のターゲットであり、最大のプロセスウィンドウを提供する。
式1と同様に、式1Aは、ゼルニケ感度δPPE/δZおよびレンズ収差情報ΔZの点からPPEを表わす。
Figure 0007236481000012
費用関数εは、式2Aで表わされるように、式2と同様に、デバイスのゼルニケ感度とターゲットのゼルニケ感度との差に関して定義することができる。PPE_D(Z)は、Z1に対するデバイスPPEを表わし、PPE_T(Z)は、Z1に対するターゲットPPEを表わし(すなわち、これらは、ゼロレンズ収差に対してゼロに等しい)、一方、PPE_D(Z)は、i番目のデバイスPPEを表わし、PPE_T(Z)は、i番目のターゲットPPEを表わす。ΔZは、スキャナから測定された実際のドリフトを表わし、δZは、感度を計算するためのドリフト係数を表わす。
Figure 0007236481000013
ΔZ=δZ、例えば、=0.02を仮定すると、式4は、式2Aから、LSの場合のユークリッド距離を表わすことになる。
Figure 0007236481000014
図16は、本発明のいくつかの実施形態による、計測ターゲット設計を最適化する方法400の高レベルの概略流れ図である。方法400のステップは、本明細書に記載された他の方法において使用されてもよい。特定の実施形態は、コンピュータ可読プログラムが具現化された、および方法400の関連する段階を実行するように構成されたコンピュータ可読記憶媒体を含むコンピュータプログラム製品を含む。特定の実施形態は、方法400の実施形態によって設計されたそれぞれのターゲットのターゲット設計ファイルを含む。
方法400は、計測性能要件に従って決定された最初のターゲット設計から開始するステップ(段階402)と、シミュレーションツールを使用するステップ(段階404)と、最初のターゲット設計と少なくとも1つのデバイス設計との間のパターン配置誤差(PPE)のゼルニケ感度を少なくとも2つの方向に関して比較するステップ(段階410)と、最初のターゲット設計に対するプロセスウィンドウを推定するステップ(段階420)と、ゼルニケ感度の対応を向上させ、プロセスウィンドウを増加させるために最初のターゲット設計を変更することによって、最初のターゲット設計から改善された計測ターゲット設計を導出するステップ(段階430)と、を含む。比較するステップ410、推定するステップ420、導出するステップ430のうちの少なくとも1つは、少なくとも1つのコンピュータプロセッサ(例えば、プロセッサ89)によって実行されてもよい。
改善された計測ターゲット設計430を導出するステップは、連続して導出される改善されたターゲット設計に対して比較するステップおよび推定するステップを繰り返すステップ(段階440)をさらに含んでもよい。少なくとも2つの方向は、ターゲット設計方向およびこれに垂線な方向(それぞれ、XおよびY)を含んでもよい。少なくとも1つのデバイス設計は、ターゲット設計方向に対して傾斜していてもよい。改善された計測ターゲット設計のピッチは、所定のレンズ収差に対するデバイス挙動に追随するために、少なくとも1つのデバイス設計のピッチよりも10~30%大きくてもよい。
方法400は、デバイス設計に対してターゲット設計を決定するステップ450と、最初のターゲット設計ならびにデバイス設計の0次および1次の回折次数信号の瞳面位置をシミュレートするステップ(段階455)と、改善されたターゲット設計を生成するために最初のターゲット設計の少なくとも1つのパラメータを変更し(段階460)、例えば、デバイス設計における0次と1次の回折次数信号の瞳面位置間の関係に対応した、改善されたターゲットにおける0次と1次の回折次数信号の瞳面位置間の関係を提供するステップ(段階465)と、をさらに含むことができる。方法400は、上に記載されたように、少なくとも1つのゼルニケ多項式、例えば、最初のターゲットの分割方向に関して非対称の少なくとも1つのゼルニケ多項式に関して関係の対応を評価するステップ(段階470)をさらに備えてもよい。
システム300は、計測性能要件に従って決定された最初のターゲット設計から開始し、シミュレーションツールを使用して、少なくとも2つの方向に関して、最初のターゲット設計と少なくとも1つのデバイス設計との間のパターン配置誤差(PPE)のゼルニケ感度を比較し、最初のターゲット設計に対するプロセスウィンドウを推定し、ゼルニケ感度の対応を向上させ、プロセスウィンドウを増加させるために最初のターゲット設計を変更することによって、最初のターゲット設計から改善された計測ターゲット設計を導出するように構成された少なくとも1つのコンピュータプロセッサ89を備える計測モジュール100(図4参照)によって少なくとも部分的に実施されてもよい。計測モジュール100は、連続して導出される改善されたターゲット設計に対して比較するステップおよび推定するステップを繰り返すように構成されてもよい。少なくとも2つの方向は、ターゲット設計方向およびターゲット設計方向に対して垂直な方向を含むことができる。少なくとも1つのデバイス設計は、ターゲット設計方向に対して傾斜していてもよい。改善された計測ターゲット設計のピッチは、少なくとも1つのデバイス設計のピッチよりも10~30%大きくてもよい。計測モジュール100よって設計された計測ターゲットおよびそれらのターゲット設計ファイルは、同様に本開示のポートである。
計測モジュール100は、最初のターゲット設計ならびにデバイス設計の0次および1次の回折次数信号の瞳面位置をシミュレートすることによって、ならびに改善されたターゲット設計を生成するために最初のターゲット設計の少なくとも1つのパラメータを変更することによって、デバイス設計に対するターゲット設計を決定するように構成されてもよく、本変更がデバイス設計の0次と1次の回折次数信号の瞳面位置間の関係に対応した、改善されたターゲットの0次と1次の回折次数信号の瞳面位置間の関係を提供するように実行される。計測モジュール100は、少なくとも1つのゼルニケ多項式に関して、任意選択で最初のターゲットの分割方向に関して非対称の少なくとも1つのゼルニケ多項式に関して、関係の対応を評価するようにさらに構成されてもよい。計測モジュール100は、デバイス設計に対してターゲット分割を決定するようにさらに構成されてもよい。計測モジュール100によって設計された計測ターゲットおよびそれらのターゲット設計ファイルは、同様に本開示のポートである。
図17は、本発明のいくつかの実施形態による、傾斜ターゲット360の高レベル概略図である。特定の実施形態は、例えば、測定されるデバイスと同一の傾斜角で傾斜させた要素および/または分割を有する、特にラインエッジに関してターゲット360の生成精度を改善するSRAF機構を追加することが可能な傾斜計測ターゲット360を含む。
特定の実施形態は、同一の第1発明者および同僚によって、上で提供された開示を刊行物、リーら(Lee et al. )、2016, Metrology target design (MTD) solution for diagonally orientated DRAM layer (Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97782R (March 8, 2016);doi:10.1117/12.2218659)およびリーら(Lee et al.)、2016, Lithography aware overlay metrology target design method (Proc. SPIE 9778, Metrology, Inspection, and Process Control for Microlithography XXX, 97781L (March 24, 2016);doi:10.1117/12.2218653)からの情報と組み合わせる。これらの刊行物は、その全体を本願に引用して援用する。
本発明の態様は、本発明の実施形態による方法の流れ図および/または部分図、装置(システム)、ならびにコンピュータプログラム製品を参照して上に記載されている。流れ図および/または部分図のそれぞれの部分、ならびに流れ図および/または部分図の一部分の組合せは、コンピュータプログラム命令によって実施されてもよいことを理解されるであろう。これらのコンピュータプログラム命令は、コンピュータまたは他のプログラマブルデータ処理装置のプロセッサを介して実行する命令が流れ図および/または部分図の一部分で指定された機能/動作を実施するための手段を生成するように、汎用コンピュータ、専用コンピュータ、またはマシンを生成する他のプログラマブルデータ処理装置のプロセッサに提供されてもよい。
また、これらのコンピュータプログラム命令は、コンピュータ可読媒体に記憶された命令が流れ図および/または部分図の一部分で指定された機能/動作を実施する命令を含む製造物品を生成することができるように、コンピュータ、他のプログラマブルデータ処理装置、または他の機器を特定の手法で機能させることができるコンピュータ可読媒体に記憶されてもよい。
また、コンピュータプログラム命令は、コンピュータまたは他のプログラム可能な装置上で実行される命令が流れ図および/または部分図の一部分で指定された機能/動作を実施するためのプロセスを提供するように、コンピュータ、他のプログラマブルデータ処理装置、または他の機器にロードされ、一連の動作ステップを、コンピュータ、他のプログラム可能な装置、または他の機器上で実行させてコンピュータ実施プロセスを生成することができる。
前述の流れ図および図面は、本発明の様々な実施形態によるシステム、方法、およびコンピュータプログラム製品の可能性のある実施態様のアーキテクチャ、機能性、ならびに動作を示す。この点に関して、流れ図または部分図の各部分は、指定された論理機能を実施するための1つ以上の実行命令を含むモジュール、セグメント、またはコードの一部分を表わすことができる。また、一部の代替の実施態様では、その部分に表示された機能は、図に表示された順番から外れてもよいことに留意されたい。例えば、連続して示される2つの部分は、実際には、実質的に同時に実行されてもよく、または、その部分は、含まれる機能性に応じて、逆の順番で実行されることがあってもよい。また、部分図および/または流れ図の各部分、ならびに部分図および/または流れ図の部分の組合せは、指定された機能または動作を行う専用ハードウェアベースのシステム、あるいは専用ハードウェアおよび計算機命令の組合せによって実施され得ることに留意されたい。
上の記載では、実施形態は、本発明のある例または実施態様である。「一実施形態」、「実施形態」、「ある実施形態」または「いくつかの実施形態」の様々な出現は、必ずしもすべて、同一の実施形態を指さない。本発明の様々な特徴は、単一の実施形態の文脈で記載されている場合があるが、特徴を別々にまたは任意の適切な組合せで提供することもできる。逆に、本発明は、明瞭にするために別々の実施形態の文脈で本明細書に記載されている場合があるが、本発明を単一の実施形態で実施することもできる。本発明の特定の実施形態は、上で開示された異なる実施形態からの特徴を含んでもよく、特定の実施形態は、上で開示された他の実施形態からの要素を組み込んでもよい。特定の実施形態の文脈における本発明の要素の開示は、特定の実施形態のみにおいてそれらの使用を限定しているとして解釈されるべきではない。さらに、本発明は、様々な手法で実行または実践することができ、本発明は、上の記載で概説されたもの以外の特定の実施形態で実施することができることを理解されたい。
本発明は、これらの図面または対応する記載に限定されない。例えば、流れは、図示されたそれぞれのボックスまたは状態を通って、あるいは図示され記載されたのと全く同じ順番で進む必要はない。本明細書で使用される技術的および科学的用語の意味は、別段の規定がない限り、本発明が属する技術分野の当業者によって一般的に理解されるべきである。本発明は、限られた数の実施形態に関して記載されたが、これらは、本発明の範囲に対する限定としてではなく、むしろ好ましい実施形態の一部の例示として解釈されるべきである。他の可能性のある変化形態、変形形態、および応用形態も本発明の範囲内である。したがって、本発明の範囲は、これまで記載されたものによってではなく、添付の特許請求の範囲およびそれらの法的な均等物によって限定されるべきである。

Claims (4)

  1. 計測モジュールであって、
    少なくとも1つのコンピュータプロセッサを備え、
    前記少なくとも1つのコンピュータプロセッサが、
    計測方向に沿って分布した、試料の第1層における2つ以上の周期的機構の第1の組を有する、第1の組のセルと、
    前記計測方向に沿って分布した、前記試料の第2層における前記2つ以上の周期的機構を有する、第2の組のセルと、
    を含む計測ターゲットを設計し、
    前記第1の組または第2の組のセルのいずれかにおける前記2つ以上の周期的機構のうちの特定の周期的機構が、前記計測方向に沿って境界部分によって境界を定められた傾斜分割領域を含み、前記傾斜分割領域が、傾斜分割ピッチで傾斜角に沿って分布した2つ以上の傾斜分割機構を含み、前記傾斜角が前記試料の各層における傾斜デバイス機構の傾斜角に対応し、
    ゼルニケ多項式分析に従って、前記各層の前記2つ以上の周期的機構のパターン配置誤差が前記各層の前記傾斜デバイス機構のパターン配置誤差に一致するように、前記傾斜分割ピッチを選択し、
    前記計測方向に沿った前記第1の組のセルの前記第2の組のセルに対する位置の測定値が、前記測定方向に沿ったオーバーレイを示す、
    ように構成されている、計測モジュール。
  2. 請求項1に記載の計測モジュールであって、前記境界セグメントがさらに分割されて光学近接効果補正(OPC)機構を含む、計測モジュール。
  3. 請求項2に記載の計測モジュールであって、前記少なくとも1つのコンピュータプロセッサがさらに、前記SRAFのサイズ、分割、または傾斜角の少なくとも1つを、前記ゼルニケ多項式分析に従って、前記各層の前記2つ以上の周期的機構の前記パターン配置誤差が前記各層の前記傾斜デバイス機構の前記パターン配置誤差に一致するように選択するように構成されている、計測モジュール。
  4. 計測ターゲットの設計方法であって、
    前記計測ターゲットを、
    計測方向に沿って分布した、試料の第1層における2つ以上の周期的機構の第1の組を有する、第1の組のセルと、
    前記計測方向に沿って分布した、前記試料の第2層における前記2つ以上の周期的機構を有する、第2の組のセルと、
    を含むように設計するステップを含み、
    前記第1の組または第2の組のセルのいずれかにおける前記2つ以上の周期的機構のうちの特定の周期的機構が、前記計測方向に沿って境界セグメントによって境界を定められた傾斜分割領域を含み、前記傾斜分割領域が、傾斜分割ピッチで傾斜角に沿って分布した2つ以上の傾斜分割機構を含み、前記傾斜角が前記試料の各層における傾斜デバイス機構の予め与えられた傾斜角に一致し、
    前記方法がさらに、
    ゼルニケ多項式分析に従って、前記各層の前記2つ以上の周期的機構のパターン配置誤差が前記各層の前記傾斜デバイス機構の予め与えられたパターン配置誤差に一致するように、前記傾斜分割ピッチを選択するステップを含み、
    前記計測方向に沿った前記第1の組のセルの前記第2の組のセルに対する位置の測定値が、前記測定方向に沿ったオーバーレイを示す、
    計測ターゲットの設計方法。
JP2021027819A 2015-04-21 2021-02-24 計測モジュール、及び計測ターゲットの設計方法 Active JP7236481B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022195545A JP2023036641A (ja) 2015-04-21 2022-12-07 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562150290P 2015-04-21 2015-04-21
US62/150,290 2015-04-21
JP2017555538A JP6843764B2 (ja) 2015-04-21 2016-04-19 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017555538A Division JP6843764B2 (ja) 2015-04-21 2016-04-19 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022195545A Division JP2023036641A (ja) 2015-04-21 2022-12-07 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Publications (2)

Publication Number Publication Date
JP2021099510A JP2021099510A (ja) 2021-07-01
JP7236481B2 true JP7236481B2 (ja) 2023-03-09

Family

ID=57143392

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2017555538A Active JP6843764B2 (ja) 2015-04-21 2016-04-19 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法
JP2021027819A Active JP7236481B2 (ja) 2015-04-21 2021-02-24 計測モジュール、及び計測ターゲットの設計方法
JP2022195545A Pending JP2023036641A (ja) 2015-04-21 2022-12-07 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017555538A Active JP6843764B2 (ja) 2015-04-21 2016-04-19 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022195545A Pending JP2023036641A (ja) 2015-04-21 2022-12-07 計測ターゲット設計の方法、計測モジュール、及び計測ターゲットの製造方法

Country Status (7)

Country Link
US (1) US20170023358A1 (ja)
JP (3) JP6843764B2 (ja)
KR (2) KR20230110835A (ja)
CN (2) CN107532945B (ja)
SG (1) SG11201708164YA (ja)
TW (2) TWI714575B (ja)
WO (1) WO2016172122A1 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10095122B1 (en) 2016-06-30 2018-10-09 Kla-Tencor Corporation Systems and methods for fabricating metrology targets with sub-resolution features
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10748821B2 (en) 2017-04-26 2020-08-18 Samsung Electronics Co., Ltd. Method and system for measuring pattern placement error on a wafer
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
CN111542784A (zh) * 2018-01-12 2020-08-14 科磊股份有限公司 具有倾斜周期性结构的计量目标及方法
JP7074489B2 (ja) 2018-02-08 2022-05-24 株式会社Screenホールディングス データ処理方法、データ処理装置、および、データ処理プログラム
CN109190273B (zh) * 2018-09-13 2022-10-25 合肥工业大学 一种产品等离子喷焊修复工艺参数优化方法
JP7277101B2 (ja) * 2018-10-11 2023-05-18 キヤノン株式会社 収差推定方法、収差推定装置、プログラムおよび記憶媒体
JP7225388B2 (ja) 2018-10-30 2023-02-20 ケーエルエー コーポレイション 計量ターゲット
WO2020141092A1 (en) * 2018-12-31 2020-07-09 Asml Netherlands B.V. In-die metrology methods and systems for process control
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
CN111639659B (zh) * 2019-03-01 2023-11-14 中国科学院声学研究所 一种水下沉底小目标融合分类方法
CN109900355B (zh) * 2019-03-15 2020-02-07 中国科学院微电子研究所 成像方法及装置
WO2020186395A1 (zh) * 2019-03-15 2020-09-24 中国科学院微电子研究所 关联成像方法及装置
CN109900356B (zh) * 2019-03-15 2021-07-27 中国科学院微电子研究所 关联成像方法及装置
CN110009673B (zh) * 2019-04-01 2020-04-21 四川深瑞视科技有限公司 深度信息检测方法、装置及电子设备
US11933717B2 (en) * 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
KR20240044432A (ko) * 2021-08-10 2024-04-04 에이에스엠엘 네델란즈 비.브이. 메트롤로지 마크 및 디바이스 패턴의 수차 민감도 매칭
JP2023053800A (ja) * 2021-10-01 2023-04-13 キヤノン株式会社 基板上の複数のショット領域の配列を求める方法、露光方法、露光装置、物品の製造方法、プログラム及び情報処理装置
WO2023203025A1 (en) * 2022-04-20 2023-10-26 Raja Shyamprasad Natarajan Method and system for detecting and/or quantifying manufacturing inaccuracies

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002064055A (ja) 2000-06-08 2002-02-28 Toshiba Corp アライメント方法、重ね合わせ検査方法及びフォトマスク
US20050173634A1 (en) 2002-02-25 2005-08-11 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
JP2007504664A (ja) 2003-09-02 2007-03-01 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Xイニシアティブレイアウト設計のためのパターン認識および方法のための構造
JP2007207822A (ja) 2006-01-31 2007-08-16 Nikon Corp 計測方法、露光方法、デバイス製造方法、計測用マーク、及びマスク
US20130100427A1 (en) 2011-10-24 2013-04-25 Asml Netherlands B.V. Metrology Method and Apparatus, and Device Manufacturing Method
JP2014529896A (ja) 2011-08-23 2014-11-13 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置並びにデバイス製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1496397A1 (en) * 2003-07-11 2005-01-12 ASML Netherlands B.V. Method and system for feedforward overlay correction of pattern induced distortion and displacement, and lithographic projection apparatus using such a method and system
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
DE102008011501A1 (de) * 2008-02-25 2009-08-27 Carl Zeiss Smt Ag Verfahren zum Betreiben eines Beleuchtungssystems einer mikrolithographischen Projektionsbelichtungsanlage
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
JP5510865B2 (ja) * 2009-03-25 2014-06-04 住友化学株式会社 防眩処理方法、防眩フィルムの製造方法および金型の製造方法
CN103154818B (zh) * 2010-09-28 2015-07-15 卡尔蔡司Smt有限责任公司 微光刻投射曝光设备的光学系统以及降低图像位置误差的方法
US9068904B2 (en) * 2011-01-18 2015-06-30 Arizona Board Of Regents On Behalf Of The University Of Arizona System and method for non-contact metrology of surfaces
US8924490B2 (en) * 2011-06-30 2014-12-30 Microsoft Corporation Service based event planning
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
US9097978B2 (en) * 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
US20150023558A1 (en) * 2012-03-30 2015-01-22 Muhittin Gokmen System and method for face detection and recognition using locally evaluated zernike and similar moments
CN102681358B (zh) * 2012-04-18 2014-02-12 中国科学院上海光学精密机械研究所 基于空间像检测的投影物镜波像差原位测量方法
US9059102B2 (en) * 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
US10228320B1 (en) * 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002064055A (ja) 2000-06-08 2002-02-28 Toshiba Corp アライメント方法、重ね合わせ検査方法及びフォトマスク
US20050173634A1 (en) 2002-02-25 2005-08-11 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
JP2007504664A (ja) 2003-09-02 2007-03-01 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Xイニシアティブレイアウト設計のためのパターン認識および方法のための構造
JP2007207822A (ja) 2006-01-31 2007-08-16 Nikon Corp 計測方法、露光方法、デバイス製造方法、計測用マーク、及びマスク
JP2014529896A (ja) 2011-08-23 2014-11-13 エーエスエムエル ネザーランズ ビー.ブイ. メトロロジ方法及び装置並びにデバイス製造方法
US20130100427A1 (en) 2011-10-24 2013-04-25 Asml Netherlands B.V. Metrology Method and Apparatus, and Device Manufacturing Method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Myungjun Lee et al.,Metrology target design (MTD) solution for diagonally oriented DRAM layer,Proceedings of SPIE,米国,SPIE,2016年03月08日,Vol. 9778,97782R

Also Published As

Publication number Publication date
SG11201708164YA (en) 2017-11-29
JP2023036641A (ja) 2023-03-14
KR20170141740A (ko) 2017-12-26
JP2021099510A (ja) 2021-07-01
CN107532945B (zh) 2020-12-01
TWI714575B (zh) 2021-01-01
WO2016172122A1 (en) 2016-10-27
JP6843764B2 (ja) 2021-03-17
TW202127558A (zh) 2021-07-16
CN112485971A (zh) 2021-03-12
US20170023358A1 (en) 2017-01-26
CN107532945A (zh) 2018-01-02
KR102557599B1 (ko) 2023-07-19
TW201707099A (zh) 2017-02-16
KR20230110835A (ko) 2023-07-25
JP2018514807A (ja) 2018-06-07
TWI786512B (zh) 2022-12-11

Similar Documents

Publication Publication Date Title
JP7236481B2 (ja) 計測モジュール、及び計測ターゲットの設計方法
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
US11874605B2 (en) Verification metrology targets and their design
US9760018B2 (en) Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
US9175951B2 (en) Method and apparatus for determining structure parameters of microstructures
US20120013881A1 (en) Method and Apparatus for Determining an Overlay Error
NL2006700A (en) Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
TWI637243B (zh) 度量衡方法及設備、電腦程式及微影系統
US20130148121A1 (en) Device Manufacturing Method and Associated Lithographic Apparatus, Inspection Apparatus, and Lithographic Processing Cell
US8875078B2 (en) Reference library generation method for methods of inspection, inspection apparatus and lithographic apparatus
US9360768B2 (en) Inspection method and apparatus
US8804123B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
KR20200125686A (ko) 반도체 구조체의 모델 기반 재구성

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210323

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210323

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20211223

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220413

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220816

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221207

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221207

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221216

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230227

R150 Certificate of patent or registration of utility model

Ref document number: 7236481

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150