TW201707099A - 用於傾斜裝置設計之度量目標設計 - Google Patents

用於傾斜裝置設計之度量目標設計 Download PDF

Info

Publication number
TW201707099A
TW201707099A TW105112489A TW105112489A TW201707099A TW 201707099 A TW201707099 A TW 201707099A TW 105112489 A TW105112489 A TW 105112489A TW 105112489 A TW105112489 A TW 105112489A TW 201707099 A TW201707099 A TW 201707099A
Authority
TW
Taiwan
Prior art keywords
target
design
metric
target design
ppe
Prior art date
Application number
TW105112489A
Other languages
English (en)
Other versions
TWI714575B (zh
Inventor
李明俊
馬克D 史密斯
麥克E 艾黛兒
伊蘭 阿密特
丹尼爾 堪德爾
Original Assignee
克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 克萊譚克公司 filed Critical 克萊譚克公司
Publication of TW201707099A publication Critical patent/TW201707099A/zh
Application granted granted Critical
Publication of TWI714575B publication Critical patent/TWI714575B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J9/00Measuring optical phase difference; Determining degree of coherence; Measuring optical wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Testing Of Optical Devices Or Fibers (AREA)
  • Measuring Or Testing Involving Enzymes Or Micro-Organisms (AREA)

Abstract

本發明提供用於量測傾斜裝置設計之度量方法、模組及目標。該等方法相對於目標候選者與裝置設計之間之圖案放置誤差(PPE)之冊尼克靈敏度之關係分析並最佳化目標設計。蒙特卡羅方法可經應用以增強該等經選擇目標候選者對透鏡像差中及/或裝置設計中之變動之穩健性。此外,提供考量用於相對於該等冊尼克靈敏度審慎地修改目標參數以改良度量量測品質且減小不精確性。

Description

用於傾斜裝置設計之度量目標設計 相關申請案之交叉參考
本申請案主張2015年4月21日申請之美國臨時專利申請案第62/150,290號之權利,該案之全文以引用的方式併入本文中。
本發明係關於度量領域,且更特定言之,本發明係關於度量目標設計。
在半導體度量領域中,一種度量工具可包括:一照明系統,其照明一目標;一收集系統,其捕獲由該照明系統與一目標、裝置或特徵之交互作用(或無交互作用)提供之相關資訊;及一處理系統,其使用一或多個演算法分析所收集之資訊。度量工具可用來量測與各種半導體製程相關聯之結構及材料特性(例如,材料組合物、結構及膜之尺寸特性(諸如膜厚度及/或結構之臨界尺寸)、疊對等等)。此等量測用來促進半導體晶粒之製造中之程序控制及/或產率。度量工具可包含一或多個硬體組態,該一或多個硬體組態可結合本發明之特定實施例使用以(例如)量測各種上述半導體結構及材料特性。此等硬體組態之實例包含下列內容:一光譜橢偏儀(SE)、具有多個照明角度之一SE、量測米勒矩陣元素(例如,使用(諸)旋轉補償器)之一SE、一單波長橢偏儀、一光束輪廓橢偏儀(角度解析橢偏儀)、一光束輪廓反射計(角度解析反射計)、一寬頻反射式光譜儀(光譜反射計)、一單波長反 射計、一角度解析反射計、任何成像系統、一光瞳成像系統、一光譜成像系統、一散射計(例如,散斑分析儀)等等。
硬體組態可被分為離散操作系統。另一方面,一或多個硬體組態可被組合成一單一工具。多個硬體組態至一單一工具之此一組合之一個實例藉由美國專利第7,933,026號提供(包含(例如)一寬頻SE、具有旋轉補償器之一SE、一光束輪廓橢偏儀、一光束輪廓反射計、一寬頻反射式光譜儀,及一深紫外反射式光譜儀),該專利之全文以引用的方式併入本文中。另外,此等系統中通常存在眾多光學元件,包含特定透鏡、準直器、鏡、四分之一波板、偏光器、偵測器、相機、光圈及/或光源。用於光學系統之波長可從約120nm變化至3微米。對於非橢偏儀系統而言,收集之信號可為偏光解析或未偏光的。多個度量頭可被整合在相同工具上,然而,在許多情況中,多個度量工具用於對一單一或多個度量目標量測,如(例如)在美國專利第7,478,019號中所描述,該專利之全文以引用的方式併入本文中。
特定硬體組態之照明系統包含一或多個光源。該光源可產生僅具有一個波長之光(即,單色光)、具有若干離散波長之光(即,多色光)、具有多個波長之光(即,寬頻光)及/或在波長之間連續或跳躍地掃過波長之光(即,可調諧源或掃頻源)。適當光源之實例係:一白光源、一紫外(UV)雷射、一弧燈或一無電極燈、一雷射持續電漿(LSP)源、一超連續源(諸如一寬頻雷射源)或較短波長源,諸如x射線源、極UV源或其某些組合。光源亦可經組態以提供具有足夠亮度之光,該亮度在某些情況中可為大於約1W/(nm cm2 Sr)之一亮度。度量系統亦可包含至光源之一快速回饋以供穩定其功率及波長。光源之輸出可經由自由空間傳播遞送,或在某些情況中經由任何類型之光纖或光導遞送。
度量目標可擁有各種空間特性且通常由可包含一或多個層中之 特徵之一或多個單元構造,該一或多個層可能已在一或多個微影相異曝光中印刷。目標或單元可擁有各種對稱性(諸如雙重或四重旋轉對稱性、反射對稱性),如(例如)在美國專利第6,985,618號中所描述,該專利之全文以引用的方式併入本文中。不同單元或單元組合可屬於相異層或曝光步驟。個別單元可包括隔離的非週期性特徵,或替代地個別單元可由一維、二維或三維週期性結構或非週期性及週期性結構之組合構造,如(例如)在美國專利公開案第2013/042089號中,該公開案之全文以引用的方式併入本文中。週期性結構可為非分割的,或週期性結構可由精細分割特徵構造,該等特徵可能依或接近用來印刷特徵之微影程序之最小設計規則。度量目標亦可與度量結構之相同層中或度量結構之上方、下方之一層或度量結構之層之間之一層中之虛擬化結構並置或緊鄰。目標可包含多個層(或膜),其等的厚度可藉由度量工具進行量測。目標可包含放置(或已存在)於半導體晶圓上以供(例如)搭配對準及/或疊對配準操作使用之目標設計。特定目標可被定位在半導體晶圓上之各種位置處。例如,目標可被定位在切割道(例如,在晶粒之間)內及/或定位在晶粒自身中。可藉由相同或多個度量工具(同時或在不同時間)量測多個目標,如(例如)在美國專利第7,478,019號中描述,該專利之全文以引用的方式併入本文中。可組合來自此等量測之資料。來自度量工具之資料用於半導體製程中(例如)以將校正前饋、反向饋送及/或側向饋送至程序(例如,微影、蝕刻),見(例如)美國專利第8,930,156號,該專利之全文以引用的方式併入本文中,其揭示用於再使用度量目標單元之前饋方法;且因此,可能產生一完整程序控制解決方案。度量工具經設計以進行與半導體製造相關之許多不同類型之量測,(例如)量測一或多個目標之特性(諸如臨界尺寸、疊對、側壁角度、膜厚度、程序相關參數(例如,聚焦及/或劑量))。目標可包含本質上係週期性之特定所關注區域,諸如(例如)一 記憶體晶粒中之光柵。
隨著半導體裝置圖案尺寸持續縮小,往往要求較小度量目標。此外,量測精確性及與實際裝置特性的匹配增加對於類裝置目標以及晶粒中及甚至裝置上量測之需求。各種度量實施方案已被提出來達成該目的。例如,基於主要反射式光學件之聚焦光束橢圓偏振量測描述於(例如)美國專利第5,608,526號中,該專利之全文以引用的方式併入本文中。變跡器(apodizer)可用來緩解導致照明點擴散超出由幾何光學件界定之大小之光學繞射效應,如(例如)在美國專利第5,859,424號中所描述,該專利之全文以引用的方式併入本文中。具有同時多入射角照明之高數值孔徑工具之使用係達成小目標能力之另一方式,如(例如)在美國專利第6,429,943號中所描述,該專利之全文以引用的方式併入本文中。其他量測實例可包含量測半導體堆疊之一或多個層之組合物、量測晶圓上(或內)之特定缺陷,及量測曝露於晶圓之光微影輻射量。在某些情況中,度量工具及演算法可經組態用於量測非週期性目標,如(例如)在美國專利申請案第14/294540號及在美國專利公開案第2014/0222380號中所描述,其等之全文以引用的方式併入本文中。
所關注參數之量測通常涉及藉由各自度量工具中之對應分析單元執行之若干演算法。例如,入射光束與樣本之光學交互作用係使用EM(電磁)解算器模型化且使用如RCWA(嚴格耦合波分析)、FEM(有限元素法)、矩量法、表面積分法、體積積分法、FDTD(有限差分時域)及其他之此等演算法。通常使用一幾何引擎或在某些情況中使用程序模型化引擎或兩者之一組合來模型化(參數化)所關注目標。程序模型化之使用描述於例如,美國專利公開案第2014/0172394號中,該公開案之全文以引用的方式併入本文中。一幾何引擎實施於例如,KLA-Tencor之AcuShape軟體產品中。
可藉由若干資料擬合及最佳化技術及科技分析收集之資料,包含庫、快速降階模型;回歸;機器學習演算法,諸如神經網路、支援向量機(SVM);降維演算法,諸如(例如)PCA(主分量分析)、ICA(獨立分量分析)、LLE(局部線性嵌入);稀疏表示,諸如傅立葉或小波變換;卡爾曼濾波器;促進來自相同或不同工具類型之匹配之演算法,及其他。所收集之資料亦可藉由不包含模型化、最佳化及/或擬合模型化之演算法分析,如(例如)在美國專利公開案第2014/0257734號中描述,該公開案之全文以引用的方式併入本文中。計算演算法通常經最佳化用於度量應用,其中使用一或多個方法,諸如計算硬體之設計及實施方案、並行化、計算之分佈、負載平衡、多服務支援、動態負載最佳化等等。演算法之不同實施方案可在韌體、軟體、FPGA(場可程式化閘極陣列)、可程式化光學件組件等等中完成。資料分析及擬合步驟通常追求下列目的之一或多者:CD(臨界尺寸)、SWA(側壁角度)、形狀、應力、組合物、膜、帶隙、電氣性質、聚焦/劑量、疊對、產生程序參數(例如,光阻狀態、分壓、溫度、聚焦模型)及/或其任何組合之量測;度量系統之模型化及/或設計;及度量目標之模型化、設計及/或最佳化。
需要一般言之的度量目標及特定言之的疊對度量目標來精確表示不同層中之裝置特徵之間之相對放置。已知曝光工具中之光學像差之存在可包含取決於特徵之空間特性變化之圖案放置誤差。此外,與包含OPC之遮罩組合之極端離軸照明通常用於進階半導體製造節點中之最關鍵層,從而增大對掃描器像差之放置誤差靈敏度。因此,在裝置之空間特性相對於度量目標之空間特性之間之任何差異很可能引發度量結果與實際裝置邊緣放置之間之一偏差,尋求該偏差以便將可校正控制提供回至微影曝光工具。例如,美國專利第8,214,771號及第7,925,486號(其等之全文以引用的方式併入本文中)教示使用微影或度 量模擬以便預測度量目標在精密度、精確性及裝置相關方面之效能。使用微影模擬以便預測度量目標之像差引發之圖案放置誤差並比較像差引發之圖案放置誤差與在相同像差條件下由裝置特徵引起之放置誤差。
圖1係相對於兩種不同類型之疊對度量標記之掃描器像差對一裝置圖案之影響之一實例的一高階示意圖,其圖解說明根據先前技術之先前技術圖案放置誤差(PPE)分析。度量目標與裝置特徵之間之圖案放置誤差中之差異針對給定實例透鏡像差展示,如藉由微影模擬依各種方法(包含用於遮罩模擬之克契霍夫(Kirchoff)近似及用於光阻之全實體模型)實現。針對兩個不同微影層(閘極層--在底端,及在圖1之頂端之閘極切口層)展現裝置與不同類型之度量目標(SCOL--散射量測疊對目標及AIM--進階成像度量目標)之間之PPE差異。照明源示意地描繪於圖1之左側--偶極Y照明源用於閘極層(底端)且C-四源用於閘極切口層(頂端)。在圖1之底端展示用於此等PPE計算之實例透鏡像差(RMS=8.4毫波,毫波表達一像差,諸如單位波長之一透鏡像差--即,所使用波長之千分之一)。圖1例示存在針對兩個程序之大且非相關PPE,從而導致大的量測誤差。歸因於裝置及度量目標之不同特徵大小之使用,其繞射波前幾乎始終不相同。因此,度量目標與裝置特徵之間之PPE差異係不可避免的且PPE量強烈取決於特徵大小及照明形狀。
下列係提供對本發明之一初步理解之一簡化概要。該概要並不一定識別關鍵元素,亦不限制本發明之範疇,而僅用作對下列描述之一介紹。
本發明之一個態樣提供一種度量目標設計之方法,該方法包括計算至少一個裝置設計及複數個度量目標設計之圖案放置誤差(PPE) 之一冊尼克(Zernike)靈敏度,及根據導出自經計算冊尼克靈敏度之一成本函數之一值來選擇一最佳度量目標設計,該成本函數量化至少一個裝置設計與複數個度量目標設計之間之冊尼克靈敏度之一類似性。
本發明之一個態樣提供一種度量目標設計之方法,該方法包括:針對至少一個裝置設計及複數個目標設計候選者之各者:(i)重複地針對複數個運行:針對複數個冊尼克多項式Zi之各者產生複數個Ni(Ni>100)冊尼克係數值,值相對於指定範圍內之指定分佈偽隨機地產生,針對冊尼克多項式之各者計算PPE,及針對運行計算一各自PPE量測;及導出經計算各自PPE量測之一分佈;(ii)使導出之目標設計候選者分佈之各者與至少一個導出之裝置設計分佈相關以針對每一目標設計候選者產生一裝置對應量測;及(iii)根據導出之裝置對應量測選擇一最佳度量目標設計。
本發明之一個態樣提供一種最佳化度量目標設計之方法,該方法包括以根據度量效能要求判定之一初始目標設計開始且使用一模擬工具:相對於至少兩個方向,比較初始目標設計與至少一個裝置設計之間之圖案放置誤差(PPE)之一冊尼克靈敏度,估計初始目標設計之一程序窗,及藉由修改初始目標設計而從該初始目標設計導出一經改良度量目標設計以增大冊尼克靈敏度中之一對應並增大程序窗。
本發明之一個態樣提供一種相對於一裝置設計判定一目標設計之方法,該方法包括:模擬一初始目標設計及裝置設計之零階及一階繞射信號之光瞳平面位置,及修改初始目標設計之至少一個參數以產生一經改良目標設計,執行該修改以提供對應於其在裝置設計中之一關係之經改良目標中之零階及一階繞射信號之光瞳平面位置之間的一關係。
本發明之此等、額外及/或其他態樣及/或優點在隨後之詳細描述中闡述;可能可從該詳細描述推斷;及/或可藉由實踐本發明而學 習。
30‧‧‧裝置設計\記憶體作用層裝置
35A‧‧‧照明條件\光瞳平面照明\傾斜照明源
35B‧‧‧照明條件\光瞳平面\裝置繞射信號
35C‧‧‧點源\旋轉照明\先前技術目標
36A‧‧‧點源\照明源
36B‧‧‧點源
36C‧‧‧先前技術目標
38A‧‧‧成像度量目標\傾斜照明
38B‧‧‧成像度量目標
40‧‧‧程序窗
50‧‧‧增強
60‧‧‧度量效能模擬\模擬模組
68‧‧‧微影模型
75‧‧‧度量工具及/或掃描器
80‧‧‧掃描器照明
81‧‧‧光學系統
82‧‧‧量測模組
84‧‧‧裝置\裝置設計
85‧‧‧掃描器照明
86‧‧‧度量目標設計
89‧‧‧電腦處理器
90‧‧‧光瞳平面
91‧‧‧箭頭
95‧‧‧先前技術度量照明
100‧‧‧度量模組\度量目標設計及/或選擇模組
101‧‧‧度量照明
108‧‧‧度量目標設計
111A‧‧‧線性關係
111B‧‧‧線性關係
150‧‧‧方法
160‧‧‧階段\計算
165‧‧‧階段
170‧‧‧階段\選擇
190‧‧‧階段
200‧‧‧方法
210‧‧‧階段
220‧‧‧階段
230‧‧‧階段\產生
235‧‧‧階段\產生
240‧‧‧階段\計算
250‧‧‧階段\計算
250A‧‧‧蒙特卡羅(MC)運行
250B‧‧‧樣本
260‧‧‧階段\導出
265‧‧‧階段\相關
270‧‧‧階段\選擇
275‧‧‧階段
276‧‧‧階段
280‧‧‧階段
282‧‧‧階段
285‧‧‧階段
286‧‧‧階段
288‧‧‧階段
290‧‧‧階段
300‧‧‧系統
310‧‧‧對應地
320‧‧‧相關地
330‧‧‧最佳化之目標\經改良目標
330A‧‧‧經改良目標
330B‧‧‧經改良目標
331‧‧‧經改良目標
335‧‧‧目標間距修改
340‧‧‧目標與裝置靈敏度之間之良好對應
345‧‧‧目標與裝置靈敏度之間之良好對應
360‧‧‧傾斜目標
400‧‧‧方法
402‧‧‧階段
404‧‧‧階段
410‧‧‧階段\比較
420‧‧‧階段\估計
430‧‧‧階段\導出
440‧‧‧階段
450‧‧‧階段
455‧‧‧階段
460‧‧‧階段
465‧‧‧階段
470‧‧‧階段
為了本發明之實施例之一更好理解且為展示可如何實現本發明之實施例,現在將純粹藉由實例參考隨附圖式,其中貫穿全文相似數字指定對應元件或區段。
在隨附圖式中:
圖1係相對於兩種不同類型之疊對度量標記之掃描器像差對一裝置圖案之影響之一實例的一高階示意圖,其圖解說明根據先前技術之先前技術圖案放置誤差(PPE)分析。
圖2係根據先前技術且根據本發明之某些實施例之呈現在一光學系統之一光瞳平面中之各種照明之一高階示意圖。
圖3A圖解說明根據本發明之某些實施例之針對包括在偶極Y照明下具有100nm間距及50nm CD的在x方向上對準之一線及空間結構之一裝置之冊尼克靈敏度的例示性模擬結果。
圖3B例示根據本發明之某些實施例之具有不同參數之三個度量目標候選者與一裝置之間之冊尼克靈敏度的一比較。
圖4係根據本發明之某些實施例之一度量系統中用於目標設計及/或選擇之一度量模組之一高階示意方塊圖。
圖5及圖6係根據本發明之某些實施例之目標設計及/或選擇之方法之高階示意流程圖。
圖7係根據本發明之某些實施例之針對一方法之蒙特卡羅(Monte Carlo)分析階段之一闡釋性實例。
圖8係根據本發明之某些實施例之針對應用於一常規及兩個不同分割AIM(進階成像度量)目標設計之方法之蒙特卡羅分析階段的一闡釋性實例。
圖9係根據先前技術之裝置設計、用來製造此等裝置之照明條件 及典型成像度量目標之一高階示意圖。
圖10係根據本發明之某些實施例之目標設計及/或最佳化之一系統300之一高階示意方塊圖。
圖11A及圖11B呈現根據本發明之某些實施例之各自相對於其等之冊尼克靈敏度而與相同裝置比較之不同目標設計之一例示性比較。
圖12A係說明無對Y奇數像差之先前技術目標靈敏度之一示意圖。
圖12B係根據本發明之某些實施例之傾斜照明之一高階示意模型。
圖12C係根據本發明之某些實施例之光瞳平面處目標間距修改之效應之一高階示意圖。
圖13A及圖13B係根據本發明之某些實施例之光瞳平面處目標間距修改之效應之高階示意圖。
圖13C係根據本發明之某些實施例之目標間距對不同Y彗形像差之PPE之效應的一高階示意圖。
圖14係根據本發明之某些實施例之相對於裝置判定目標分割之一建議方法之一高階示意圖。
圖15A及圖15B示意地圖解說明根據相對於先前技術(圖15A)之本發明之某些實施例(圖15B)之用於改良並最佳化程序窗之一方式。
圖16係根據本發明之某些實施例之最佳化度量目標設計之一方法之一高階示意流程圖。
圖17係根據本發明之某些實施例之傾斜目標之一高階示意圖。
在下列描述中,描述本發明之各種態樣。出於說明之目的,闡述特定組態及細節以便提供對本發明之一詳盡理解。然而,熟習此項技術者亦將明白可在無本文中呈現之特定細節的情況下實踐本發明。 此外,眾所周知的特徵可能已被省略或簡化以免混淆本發明。具體參考圖式,強調展示之細節係藉由實例且僅出於本發明之闡釋性論述之目的,且為了提供據信為本發明之原理及概念態樣之最有用且容易理解描述之內容而呈現。在此點上,不嘗試比對於本發明之一基本理解而言所必要的更詳細地展示本發明之結構細節,結合圖式進行之描述使熟習此項技術者明白可如何在實踐中體現本發明之數個形式。
在詳細說明本發明之至少一個實施例之前,應瞭解,本發明在其應用方面並不限於下列描述中闡述或圖式中圖解說明之組件之構造與配置之細節。本發明可應用於可依各種方式實踐或執行之其他實施例以及可應用於所揭示實施例之組合。再者,應瞭解,本文中採用之措詞及術語係出於描述之目的且不應被視為限制。
除非另外明確規定,否則如從下列論述顯而易見,應瞭解貫穿說明書,利用諸如「處理」、「計算(computing)」、「計算(calculating)」、「判定」、「提高」或類似者之術語之論述係指一電腦或計算系統或類似電子計算裝置之動作及/或程序,該電腦或計算系統或類似電子計算裝置將表示為計算系統之暫存器及/或記憶體內之物理(諸如電子)數量之資料操縱及/或變換成類似表示為計算系統之記憶體、暫存器或其他此等資訊儲存、傳輸或顯示裝置內之物理數量之其他資料。
本發明之實施例提供有效且經濟的方法及機構用於依改良目標與裝置之間之對應之一方式相對於度量參數、光學像差及程序參數最佳化度量目標設計。
提供度量方法、模組及目標用於量測傾斜裝置設計。方法相對於目標候選者與裝置設計之間之圖案放置誤差(PPE)之冊尼克靈敏度之關係分析並最佳化目標設計。蒙特卡羅方法可經應用以提高經選擇目標候選者對透鏡像差中及/或裝置設計中之變動之穩健性。此外, 提供考量用於相對於冊尼克靈敏度審慎地修改目標參數以改良度量量測品質並減小不精確性。
圖2係根據先前技術且根據本發明之某些實施例之呈現在一光學系統之一光瞳平面90中之各種照明的一高階示意圖。照明80、85表示在製造幾十至幾百奈米(nm)(例如,當前通常80nm)之間距之裝置時之掃描器照明。照明80表示x軸照明(在數值孔徑座標NAx中)且照明85表示可應用於特定裝置(例如,記憶體裝置)之傾斜照明(即,具有一Nay分量)。照明95表示用於量測比裝置大至少一個量級(通常1000至2000nm)之目標之先前技術度量工具照明。歸因於較大間距,照明95覆蓋不同於掃描器照明80之光瞳座標,且通常無一Nay分量。箭頭91 表示使用偶數及奇數冊尼克多項式(各自具有對應冊尼克徑向多項式 )分析光瞳平面像差之一實踐方式。由於掃描器照明80、85通常使用光瞳平面90中不同於先前技術度量照明95使用之區域之區域,故其經歷不同像差且度量量測遭受一對應不精確性。
在本發明中,光瞳平面像差經由其在冊尼克多項式中之表示之一分析用來修改度量目標間距及度量照明101以提供度量量測對裝置參數之一更好對應並減小度量不精確性。所揭示方法實現最佳化度量目標且特別針對在透鏡像差存在時從垂直或水平軸傾斜之圖案預測圖案放置誤差(PPE)差異。所揭示方法係關於將在半導體製造期間用於量測裝置或測試特徵之光學及/或結構及/或放置特性之度量目標之設計及/或設計之最佳化。光學或結構特性之實例包括臨界尺寸,諸如高度、側壁角度、間距、線寬、膜厚度、折射率及不同層之間或一單一層內之曝光之間之疊對。所設計度量目標亦可用來量測半導體裝置之微影圖案化之聚焦及劑量。方法實現度量目標之設計及/或最佳 化,該等度量目標比先前技術更有效地追蹤由裝置特徵引起之像差引發之圖案放置誤差。該等方法實現穩健度量目標之設計,該等穩健度量目標特別針對傾斜裝置特徵相對於垂直/水平方向最小化放置誤差差異。有利地,所揭示方法最佳化特別針對記憶體應用中之傾斜線及空間圖案之成像疊對目標,且可產生圖案放置誤差之26%之估計減小。
特定實施例包括目標設計方法,該等方法(i)運用一成本函數實施冊尼克靈敏度分析及/或(ii)使用一蒙特卡羅方法來分析靈敏度,且實現設計最佳化用於裝置像差追蹤。所揭示方法可依各種方式整合在度量目標設計程序中,諸如:(i)產生分割替代物,即,可定義度量目標之詳細構造且可藉由如下文描述之PPE分析分析此等設計置換的所有或一子集。獲勝競爭者之子集隨後可被發送至一第二度量模擬步驟,該步驟將剩餘競爭者量化並排序。(ii)PPE分析可與度量模擬步驟並行執行以便最小化產生結果之時間。(iii)由度量模擬步驟排序為高之競爭者之子集可用作PPE分析之輸入。
PROLITH模擬可用來針對裝置以及目標候選者建置微影模型,且使用Matlab之PROLITH程式化介面(PPI)可用於冊尼克靈敏度及蒙特卡羅分析。為展現概念,依一非限制方式使用針對一浸入微影工具之使用之現實微影條件。裝置被假定為在x方向上對準之一線及空間結構,因此照明之正確選擇係如圖1中展示之偶極Y源。具有正色調顯影程序之一明場遮罩(BFM)用來在光阻中印刷圖案。將全實體光阻模型連同克契霍夫遮罩模擬模式一起使用。強調一類似方法可應用於任何現實類型之裝置、目標及照明。
冊尼克靈敏度分析
實際上,由於製作一完美透鏡之困難,故從未達成一無像差成像系統,因此像差係針對微影透鏡之基本問題。此透鏡像差可引起印 刷特徵之從標稱中心位置之位置誤差,其被稱為圖案放置誤差(PPE)。可藉由36個冊尼克係數之數值特徵化透鏡像差行為,且透鏡像差之任何實例(見(例如)圖1之底端)可被表達為冊尼克多項式項之一混合物。特定言之,可如方程式1中表達般模型化在透鏡像差之影響下之PPE,其中PPE(Z=0)表示無透鏡像差之放置誤差且PPE(Zi)表示針對第i個冊尼克係數值之放置誤差。
對PPE之冊尼克靈敏度可被定義為PPEi/Zi,提供關於疊對效能之臨界資訊。應注意,由於對應於階段之傾斜之Z2及Z3項通常在校正之後維持為零,故方程式1僅使用i=4...36。針對i=4...36之裝置Y-PPE可最初依據冊尼克係數值計算,且可在約-80毫波至+80毫波之間變化。發明者已發現Y-PPE僅對在類似於圖1之條件下模擬之奇數冊尼克多項式,諸如Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35(如圖3A中圖解說明)具有靈敏度。圖3A圖解說明根據本發明之某些實施例之針對包括在偶極Y照明下具有100nm間距及50nm CD之在x方向上對準之一線及空間結構之一裝置之冊尼克靈敏度的例示性模擬結果。圖3A圖解說明在圖解說明之情況冊尼克係數Z8、Z11、Z15及Z20中PPE與冊尼克係數變動之一線性關係(111A、111B)。PPE之靈敏度對不同冊尼克係數不同,從而導致係數對PPE之不同影響。可針對X-PPE執行類似分析。
不同目標可相對於其冊尼克靈敏度進行比較,且具有其最接近裝置之冊尼克靈敏度之冊尼克靈敏度之目標可經選擇以提供適當度量量測。理想地,鑑於微影工具之一特定透鏡像差,一理想疊對目標具有類裝置冊尼克靈敏度。圖3B例示根據本發明之某些實施例之具有不同參數之三個度量目標候選者及一裝置之間之冊尼克靈敏度的一比 較。圖3B呈現依據每一冊尼克項(Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35)之計算裝置及目標之Y-PPE之結果。此處,針對Z4...Z36假定20毫波之恆定冊尼克係數值。在實例中,目標T1、T2、T3具有一相同間距450nm,且在CD上不同以各自具有100nm、150nm、200nm之值。
任何目標比較資料可用來用一成本函數量度擷取可用目標候選者之排序,例如如在方程式2中所提供,其中PPED及PPET各自表示裝置PPE及目標PPE。
方程式2中表達之成本函數提供裝置與目標之間之PPE差異,且因此實現針對冊尼克係數之給定標稱值選擇最佳執行目標。在表1中概述針對圖3B中呈現之例示性非限制比較之結果。在此闡釋性實例中,目標4具有最高排序且經選擇以提供在模擬透鏡像差及條件下最佳表示裝置之度量量測。在特定實施例中,排序方法可考慮額外因素,諸如微影量度及其他度量量度。
圖4係根據本發明之某些實施例之用於一度量系統中之目標設計及/或選擇之一度量模組100的一高階示意方塊圖。度量模組100可與 具有一光學系統81及一量測模組82之一度量工具及/或一掃描器75相關聯,該度量工具及/或一掃描器75經組態以製造裝置84且量測如下文所說明由度量模組100提供之度量目標。目標設計及/或選擇模組100包括至少一個電腦處理器89,該至少一個電腦處理器89經組態以計算至少一個裝置設計84及複數個度量目標設計108之圖案放置誤差(PPE)之一冊尼克靈敏度,且根據導出自經計算冊尼克靈敏度之一成本函數之一值選擇一最佳度量目標設計86,成本函數量化至少一個裝置設計84與度量目標設計108之間之冊尼克靈敏度之一類似性。度量目標設計及/或選擇模組100可經組態以實施本文中所描述之目標設計及/或選擇方法之任意者。藉由度量模組100設計之度量目標及其目標設計檔案係本發明之相同意義。
圖5係根據本發明之某些實施例之目標設計及/或選擇之一方法150之一高階示意流程圖。方法150之步驟可用於本文中描述之任何其他方法。特定實施例包括電腦程式產品,包括具有隨其體現且經組態以執行方法150之相關階段之電腦可讀程式之一電腦可讀儲存媒體。特定實施例包括藉由方法150之實施例設計之各自目標之目標設計檔案。
方法150可包括計算至少一個裝置設計及複數個度量目標設計之圖案放置誤差(PPE)之一冊尼克靈敏度(階段160)(例如,根據方程式1),及根據導出自經計算冊尼克靈敏度之一成本函數之一值選擇一最佳度量目標設計(階段170)(例如,根據方程式2)。成本函數可經定義(階段165)以量化至少一個裝置設計與複數個度量目標設計之間之冊尼克靈敏度之一類似性。可藉由至少一個電腦處理器(例如,處理器89)執行計算160及選擇170之至少一者(階段190)。可相對於冊尼克係數Z4...Z36;僅相對於奇數冊尼克係數;或僅相對於冊尼克係數Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35計算冊尼克靈敏度。成本函 數可包括至少一個裝置設計之PPE冊尼克靈敏度與複數個度量目標設計之PPE冊尼克靈敏度之間之一距離量度。
蒙特卡羅冊尼克分析
特定實施例提供較大像差下(例如,在圖3A之線性近似條件未保持時)之冊尼克靈敏度分析。揭示之方法針對透鏡像差中之較大冊尼克漂移或在無精確透鏡像差資料而僅具有時間及空間特徵的情況下實現目標最佳化。可(例如)藉由歸因於特別在進階技術節點中係一嚴重問題之極端離軸照明之透鏡加熱引起此等冊尼克漂移。另外,揭示之方法提供穩健分析且針對其中透鏡像差在批次、晶圓及狹縫之間變化之情況實現目標最佳化。
蒙特卡羅(MC)方法可用來最佳化強冊尼克變動下之目標。經由蒙特卡羅取樣分析冊尼克漂移亦實現將最佳化擴展至替代裝置並提供目標統計資料。如下文所描述,使用MC在無關於來自微影掃描器之像差指紋之先前知識的情況下,實現類裝置目標最佳化,從而產生更精確目標。
圖6係根據本發明之某些實施例之目標設計及/或選擇之一方法200之一高階示意流程圖。方法200之步驟可用於本文中所描述任何其他方法。特定實施例包括電腦程式產品,該等電腦程式產品包括具有隨其體現且經組態以執行方法200之相關階段之電腦可讀程式之一電腦可讀儲存媒體。特定實施例包括藉由方法200之實施例設計之各自目標之目標設計檔案。
方法200包括,針對至少一個裝置設計及複數個目標設計候選者之各者(階段210):重複針對複數個運行(階段220):針對複數個冊尼克多項式Zi之各者產生複數個Ni(Ni>100)冊尼克係數值(階段230),針對冊尼克多項式之各者計算PPE(階段240),及針對運行計算一各自PPE量測(階段250)。值可相對於指定範圍(例如,對應於一規範中 定義之透鏡像差容許度)內之指定分佈(例如,均勻分佈)偽隨機地產生(階段235)。對於每複數個運行而言,方法200包括導出經計算各自PPE量測之一分佈(階段260)。接著,方法200包括使導出之目標設計候選者分佈之各者與至少一個導出之裝置設計分佈相關以針對每一目標設計候選者產生一裝置對應量測(階段265);及根據導出之裝置對應量測選擇一最佳度量目標設計(階段270)。可藉由至少一個電腦處理器(例如,藉由處理器89)執行產生230、235、計算240、250、導出260、使相關265及選擇270之至少一者(階段290)。
方法200可進一步包括選擇目標設計候選者以表示一指定目標設計之分割替代物(階段275)及使用裝置對應量測來對該等分割替代物進行排序(階段276)。方法200可進一步包括:與一度量模擬程序並行執行計算階段(240及/或250)(階段280);及整合使用裝置對應量測之一排序與導出自度量模擬程序之一排序(階段282)。方法200可進一步包括針對多個裝置設計執行該方法(階段285)及相對於針對所有裝置設計導出之裝置對應量測執行選擇(270)(階段286)。視情況,可相對於導出自多個裝置對應量測之一穩健量測執行選擇270(階段288)。
可藉由度量模組100執行方法200(見圖4)。度量模組100包括至少一個電腦處理器89,該至少一個電腦處理器89可經組態以針對至少一個裝置設計及複數個目標設計候選者之各者:(i)重複針對複數個運行:針對複數個冊尼克多項式Zi之各者產生複數個Ni(Ni>100)冊尼克係數值,該等值相對於指定範圍內之指定分佈偽隨機產生,針對冊尼克多項式之各者計算PPE,及針對運行計算一各自PPE量測;及導出經計算各自PPE量測之一分佈;(ii)使導出之目標設計候選者分佈之各者與至少一個導出之裝置設計分佈相關以針對每一目標設計候選者產生一裝置對應量測;及(iii)根據導出之裝置對應量測選擇一最佳度量目標設計。
度量模組100可進一步經組態以選擇目標設計候選者以表示一指定目標設計之分割替代物且視需要使用裝置對應量測來對該等分割替代物進行排序。度量模組100可進一步經組態以:與一度量模擬程序並行執行計算階段;及整合使用裝置對應量測之一排序與導出自度量模擬程序之一排序。度量模組100可進一步經組態以使用多個裝置設計且相對於針對所有裝置設計導出之裝置對應量測選擇最佳目標,且視需要相對於導出自多個裝置對應量測之一穩健量測選擇最佳目標。藉由度量模組100設計之度量目標及其目標設計檔案係本發明之相同意義。
圖7係根據本發明之某些實施例之用於方法200之蒙特卡羅分析階段之一闡釋性實例。在該實例中,使用非限制參數:間距=100nm,CD=50nm,N=500,在0毫波與20毫波之間之範圍及項Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35之使用。藉由產生來自針對每一冊尼克項之所關注現實範圍(例如,在0與0.02λ之間,項Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35)之較大數目(N,例如,N=ΣNi=500)之均勻分佈式偽隨機係數而展現產生230。藉由依據每一冊尼克項(Zi)計算Y-PPE(Zi)(作為一非限制實例,替代地X-PPE或兩者)並計算統計資料(諸如針對每一冊尼克項之均數、標準差及範圍)而展現計算PPE 240。藉由依據每一MC運行250A計算Y-PPE(Z)(其可為方程式1中之i=4...36之Y-PPE(Zi)之總和)並計算針對總的N個樣本250B且可用作PPE量測之統計資料而展現計算PPE量測250。在針對每一度量目標候選者而對多個運行220重複階段230至250之後,可藉由計算相關係數R及裝置與目標之間之均數差或藉由直接計算裝置與目標之間之PPE差異而執行導出PPE量測分佈260及使目標與裝置相關265。可基於已知統計相關參數(諸如R2、3 Σ、斜率或截距)計算PPE量測。
圖7進一步圖解說明針對表1中定義之裝置及每一目標候選者T1...T4之導出之分佈之相關(265),且在表2中概述其統計資料及排序。如相關分佈中所繪示,方法200可用來在變化條件下且在無線性冊尼克靈敏度之假定的情況下提供各種目標候選者與裝置設計之一詳細比較。
特定實施例可對任何數目之候選目標、裝置設計、對成像目標以及對散射量測目標,且亦對簡單或複雜二維裝置及目標實施方法200。可取決於給定環境設定模擬(條件、運行等等)之任何參數值。
圖8係根據本發明之某些實施例之應用於一常規及兩個不同分割之AIM(進階成像度量)目標設計之方法200之蒙特卡羅分析階段之一闡釋性實例。裝置CD及間距作為分割CD及間距用於分割目標。一第一分割之目標無輔助特徵,而第二分割之目標使用兩個次解析度輔助特徵(SRAF),一個特徵經定位緊鄰頂端分割線且另一特徵經定位緊鄰底端分割線。使用蒙特卡羅方法200從穿過具有隨機像差之微影透鏡的傳播繞射階計算統計資料及相關係數。針對具有SRAF之分割 AIM目標之PPE值在給定500隨機像差下與裝置中獲得之值幾乎相同,從而導致強相關R=0.985,其可能歸因於分割AIM目標與裝置之間之透鏡出射光瞳處之繞射階之振幅及相位分佈之良好匹配。然而,如預期在裝置與常規AIM目標之間由於其等間之大尺寸差異而未觀察到相關。圖8呈現針對裝置及三個目標候選者之導出分佈之相關(265)。在表3中呈現非限制資料及所得相關係數及排序。
有利地,方法150及特定言之方法200(i)最小化對於掃描器透鏡之精確像差特性之輸入之需求,(ii)確保一更加微影穩健目標設計,此係因為可在選擇程序中考量多種掃描器透鏡像差圖案替代物,及(iii)在可變透鏡像差之條件下量化(諸)裝置與目標之間之相關。例如,展示AIM分割方案以將裝置及目標相關改良至超過98%。
特定實施例包括用於記憶體作用層中之傾斜圖案之透鏡像差感知基於成像之疊對目標最佳化方法。此等方法提供度量解決方案用於量測傾斜裝置(即,具有沿著相對於度量目標之X及Y軸傾斜之方向之間距的裝置)。
圖9係根據先前技術之裝置設計30、用來製造此等裝置之照明條件35A、35B及典型成像度量目標38A、38B之一高階示意圖。記憶體 作用層裝置30大致由間距裝置PD(典型間距PD=80nm且CD=40nm)處之旋轉線及空間(LS)構成,且由最佳化之照明源(諸如使其電極及傾斜角度α根據裝置間距及要求組態之旋轉偶極X照明)製造。在源處展示光瞳平面照明35A且在出射光瞳處展示光瞳平面35B,其中繞射階0及1依據設計重疊以最佳化裝置之印刷程序。藉由角度α示意地指示裝置設計30之傾斜及照明之旋轉。先前技術目標沿著水平及垂直方向(X及Y)對準,如(例如)藉由美國專利第7,408,642號及第7,667,842號各自針對目標38A(標準AIM目標,PT通常係1600至2000nm)及38B(分割AIM目標,見上文)所教示,該等專利之全文作為先前技術以引用的方式併入本文中。目標方向之差異導致可印刷性問題及放置誤差,例如,如上文針對透鏡像差所描述(亦見圖2中之對應裝置照明85相對於目標照明95)。應注意,先前技術分割度量目標設計經執行以最佳化度量效能(例如,對比度、繞射效率及精密度),見(例如)Leray等人,2015年,「Overlay metrology solutions in a triple patterning scheme」,SPIE Vol 9424 94240E,其全文作為先前技術以引用的方式併入本文中。
特定實施例包括目標設計方法,該等方法將微影效應併入至目標設計考量中且允許對度量目標之分割間距、臨界尺寸及其他參數之審慎判定。有利地,揭示之方法實現:(i)改良疊對量測,其可促進增加之產率,(ii)克服歸因於最佳化照明源之透鏡加熱問題,該等問題導致圖案放置誤差(亦見上文),(iii)選擇符合最佳化(旋轉)照明之分割方案,(iv)減小歸因於繞射階之位置之間之差異及對Y奇數像差項之PPE靈敏度之差異的大PPE偏移,對Y奇數像差項之PPE靈敏度之差異在裝置中係強的(歸因於傾斜設計)且不存在於目標中,(v)克服使用裝置分割之目標之較小程序窗(見下文),且(vi)導出一最佳化之目標間距,考慮裝置間距及具有傾斜極端偶極源之傾斜LS圖案之傾斜兩 者。
圖10係根據本發明之某些實施例之目標設計及/或最佳化之一系統300之一高階示意方塊圖。圖10中圖解說明之元件之任意者可經實施作為與一度量工具中之一電腦處理器相關聯之一模組及/或作為具有隨其體現且經組態以實施下文所描述各自操作之電腦可讀程式之電腦可讀儲存媒體。
系統300包括一微影模型68,該微影模型68相對於度量參數(諸如對比度、精密度及繞射效率(在SCOL中))用於一度量效能模擬60,例示性分割目標元件(在涉及圖9時之單條)展示在模擬模組60下方。接著,設計之目標(例如)藉由應用OPC(光學近接校正)及SRAF(及/或其他分割)增強50。展示一例示性增強目標元件,其中藉由箭頭指示SRAF分割。接著,增強目標相對於其冊尼克靈敏度100最佳化(如上文所描述,且在下文詳細描述)且亦可相對於其程序窗40(例如,相對於DOF(聚焦深度)及EL(曝光寬容度))最佳化。此兩個最佳化可與額外度量效能模擬60相關地320及對應地310執行以相對於所有三組考量產生最佳化之目標330,例如,具有基於(i)最小PPE偏移(來自100)、(ii)最大程序窗(來自40)及(iii)最大度量效能(來自60)選擇之一分割間距之目標。系統300經組態以在藉由減小目標與裝置之間之放置誤差偏移而改良晶圓上之目標可印刷性(即,較大程序窗)及裝置-目標匹配兩者的同時,計算光學分割間距。設計之疊對目標可用於ADI(顯影後檢測)及AEI(蝕刻後檢測)級兩者。分割通常增大程序窗且揭示之方法提供分割間距及設計之最佳化。例如,目標相對於圖9之條元件之典型粗糙間距通常係1500nm,該等分割間距的範圍可(例如)在80至100nm之間。此範圍上之任何值為不同目標提供不同度量及程序參數。
圖11A及圖11B呈現根據本發明之某些實施例之各自相對於其冊 尼克靈敏度而與相同裝置比較之不同目標設計之一例示性比較。在兩種情況中,裝置間距係80nm,CD=40nm且使用20毫波之一恆定Z係數。在圖11A中,目標分割具有與裝置相同之間距(80nm),而在圖11B中,目標分割間距不同於裝置間距(90nm及100mm;包含80nm目標用於比較)。兩個圖式皆呈現根據冊尼克項之裝置及目標模擬之PPE,其中目標與裝置靈敏度之間之良好對應指示為340且目標與裝置靈敏度之間之良好對應指示為345(在圖11A中記號係關於80nm目標,而在圖11B中記號係關於90nm及100nm目標)。貢獻給圖11A中之PPE最多之項係X奇數像差項Z14及Z26,及Y奇數像差項Z3、Z8、Z11、Z20及Z27。如下文更詳細地說明,Y奇數像差項Z3、Z8、Z11、Z20及Z27特徵化裝置歸因於其傾斜定向而對Y奇數像差之PPE靈敏度。
應注意,與使用不同於用於目標分割(圖11B中之90nm及100nm目標)之裝置間距之間距相比,使用用於目標分割之裝置間距(圖11A)導致更低的裝置與目標之靈敏度之間之一對應。此結果係意外的,此係因為慣例係依與裝置相同之間距分割度量目標。
表4提供目標分割間距之間相對於裝置目標匹配(100)及程序窗參數(40)之一比較,其展示針對100nm之目標分割間距之最佳結果,該間距比裝置間距大25%。100nm分割之目標具有導致35%PPE偏移減小之較好裝置匹配及一較大程序窗(PW)。對於具有大於100nm之分割間距之目標而言,重疊PW開始減少且目標亦變得非SADP(自對準雙重圖案化)程序友好的,從而導致AEI級中減小之目標對比度。針對設計中之溝槽依5%曝光位準(EL)計算DOF,左側及右側溝槽具有相同值。在圖15B中更詳細地圖解說明程序窗參數資料。
表4:目標分割間距之間相對於裝置目標匹配及程序窗參數之一比較(粗體一一最佳目標330,目標係具有指示之分割間距之分割AIM目
發明者已發現目標設計中之改良之源,其在下文中圖解說明。圖12A至圖12C示意地圖解說明無如圖11A中圖解說明之對Y奇數像差之先前技術目標靈敏度,圖13A至圖13C示意地圖解說明圖11B中圖解說明之經改良目標對Y奇數像差之靈敏度,圖14提供規範用於改良目標與裝置之間之匹配,且圖15B圖解說明相對於圖15A中圖解說明之先前技術之經改良程序窗。
圖12A係說明無對Y奇數像差之先前技術目標靈敏度之一示意圖。圖12A圖解說明出射光瞳處之傾斜照明源35A及裝置繞射信號35B,其具有全等零階及一階繞射(亦見圖9),以及依與裝置30相同之間距(兩者皆係80nm)分割而非傾斜之先前技術度量目標38B之回應。應注意,歸因於不同定向及相同間距,零階及一階繞射信號不重疊而散佈遍及光瞳平面,從而改變目標38B相對於裝置30之像差靈敏度。 特定言之,雖然裝置30具有對Y奇數像差之大PPE靈敏度,但先前技術目標38B具有對Y奇數像差之一相對較小PPE靈敏度或無PPE靈敏度,此係因為針對目標38B之繞射圖案沿著x方向對準,而裝置30提供沿著對角線(傾斜)方向之繞射圖案。
圖12B係根據本發明之某些實施例之傾斜照明38A之一高階示意模型。照明38A被模擬為包括兩個點源35C。圖12B示意地圖解說明來自點源36A、36B之零階及一階繞射信號之路徑及所得相差,其在度量目標針對給定旋轉照明35C垂直定向時導致PPE,此係因為一階及零階繞射行進不同距離。如方程式3中所表達,針對單極源之航空成像之強度係電場量值之平方。
當照明源針對裝置參數(在實例中,間距80nm及21°之傾斜(α))最佳化,一階繞射信號穿過與零階繞射信號完全相反之位置。
圖12C係根據本發明之某些實施例之光瞳平面處目標間距修改335之效應之一高階示意圖。圖12C示意地圖解說明照明源36A、其針對先前技術目標36C之零階及一階繞射信號及針對經改良目標330之零階及一階繞射信號,其中一階繞射信號之位置相對於零階繞射信號而從其先前並置位置移動。相對於Y奇數冊尼克多項式(Z8)之一者展示目標間距修改335之效應以圖解說明沿著一階繞射信號之X軸之移動。應注意,如圖13A至圖13C中所繪示,雖然使用X方向照明源36A並不改變目標330在Y方向上之靈敏度,但一旦照明源傾斜,修改335即導致針對目標330之Y奇數冊尼克靈敏度。
圖13A及圖13B係根據本發明之某些實施例之光瞳平面處目標間距修改335之效應之高階示意圖。圖13A及圖13B圖解說明具有先前技 術目標35C及經改良目標330之出射光瞳影像之偏離X軸之照明源(單極源,在圖13A中之X軸上方,在圖13B中之X軸下方),其藉由有關冊尼克多項式之一階信號(指示為△Φ1)之位置從等效於35C(△Φ0=△Φ1)處所展示零階信號(指示為△Φ0)之位置的一位置改變為非等效於330(△Φ0≠△Φ1)處所展示零階信號△Φ0之位置的一位置而圖解說明經改良目標330之Y奇數冊尼克靈敏度,其因此對沿著Y軸之像差敏感。圖13A、圖13B中之曲線圖展示PPE對針對Y彗形像差變動之目標間距之依賴性(相對於Z8),從針對依裝置間距分割之先前技術目標之零PPE開始且PPE(及冊尼克靈敏度)隨著目標分割間距偏離裝置間距而增大(330)。圖13C係根據本發明之某些實施例之目標間距對不同Y彗形像差之PPE之效應之一高階示意圖。針對間距80nm(裝置間距38B)、120nm(經改良目標330A)及160nm(經改良目標330B)展示例示性出射光瞳影像。曲線圖展示靈敏度隨目標間距偏離裝置間距之增大。雙光束成像區域表示在僅零階及一階繞射被掃描器透鏡陣列捕獲且該兩個光束彼此干擾之情況。藉由增大間距,可捕獲更多繞射階。在零階、一階及二階繞射被捕獲且彼此干擾時三光束干擾成像適用。
圖14係根據本發明之某些實施例之相對於裝置判定目標分割之一建議方法250之一高階示意圖。在出射光瞳上相對於至少一個冊尼克多項式(例如,Z8)計算用於製造裝置30之模擬照明源35C且針對先前技術目標38B執行一對應計算,其中PT=PD。接著,分析零階及一階繞射信號(△Φ0、△Φ1)之相對光瞳平面位置且目標參數經修改(330)以提供相對光瞳平面位置,該等位置在其與PPE靈敏度之關係上更好地對應於零階及一階繞射信號(△Φ0、△Φ1)之相對裝置位置,其由對應冊尼克多項式值反映。
圖15A及圖15B示意地圖解說明根據相對於先前技術(圖15A)之本發明之某些實施例(圖15B)之用於改良並最佳化程序窗之一方式。在 實例中針對與40nm之標稱溝槽CD之±10% CD變動計算程序窗。對於先前技術目標38B而言,左側溝槽及中心溝槽程序窗被呈現在圖15A中,且各自小於針對具有90nm及100nm之間距之經改良目標331、330之左側溝槽及中心溝槽程序窗,如上文表4上列出。目標330係圖解說明之實例中之最佳目標,其提供最大程序窗。
類似於方程式1,方程式1A依據冊尼克靈敏度PPEi/Zi及透鏡像差資訊△Zi表達PPE。
如方程式2A中所表達,類似於方程式2,可相對於裝置與目標之冊尼克靈敏度之間之差異定義一成本函數ε。PPE_D(Z1)表示針對Z1之裝置PPE且PPE_T(Z1)表示針對Z1之目標PPE(即,PPE_D(Z1)對於零透鏡像差而言等於零),而PPE_D(Zi)表示第i個裝置PPE且PPE_T(Zi)表示第i個目標PPE。△Zi表示自掃描器量測之實際漂移且Zi表示用於計算靈敏度之漂移係數。
假定(例如=0.02),方程式4從方程式2A得出以表示LS情況中之歐幾里得距離。
圖16係根據本發明之某些實施例之最佳化度量目標設計之一方法400之一高階示意流程圖。方法400之步驟可用於本文中描述之任何其他方法。特定實施例包括電腦程式產品,該等產品包括具有隨其體現且經組態以執行方法400之相關階段之電腦可讀程式之一電腦可讀儲存媒體。特定實施例包括藉由方法400之實施例設計之各自目標之目標設計檔案。
方法400包括:以根據度量效能要求判定之一初始目標設計開始(階段402);及使用一模擬工具(階段404);相對於至少兩個方向比較初始目標設計與至少一個裝置設計之間之圖案放置誤差(PPE)之一冊尼克靈敏度(階段410);估計用於初始目標設計之一程序窗(階段420);及藉由修改初始目標設計而從該初始目標設計導出一經改良度量目標設計以增大冊尼克靈敏度中之一對應且增大程序窗(階段430)。可藉由至少一個電腦處理器(例如,處理器89)執行比較410、估計420及導出430之至少一者。
導出經改良度量目標設計430可進一步包括針對連續導出之經改良目標設計反覆比較及估計(階段440)。至少兩個方向可包括一目標設計方向及垂直於其之一方向(各自地X、Y)。至少一個裝置設計可相對於目標設計方向傾斜。經改良度量目標設計之間距可比至少一個裝置設計之一間距大10%至30%,以便遵循針對給定透鏡像差之裝置行為。
方法400可進一步包括相對於一裝置設計判定一目標設計450,包括模擬一初始目標設計及裝置設計之零階及一階繞射信號之光瞳平面位置(階段455)、修改初始目標設計之至少一個參數以產生一經改良目標設計(階段460),例如以提供經改良目標中之零階及一階繞射信號之光瞳平面位置之間之一關係,該關係對應於其在裝置設計中之一關係(階段465)。方法400可進一步包括相對於至少一個冊尼克多項 式(例如,如上文所描述,相對於初始目標之一分割方向不對稱之至少一個冊尼克多項式)評價關係之對應(階段470)。
系統300可至少部分藉由包括至少一個電腦處理器89之度量模組100(見圖4)實施,該至少一個電腦處理器89經組態從而以根據度量效能要求判定之一初始目標設計開始,且使用一模擬工具:相對於至少兩個方向比較初始目標設計與至少一個裝置設計之間之圖案放置誤差(PPE)之一冊尼克靈敏度;估計針對初始目標設計之一程序窗;且藉由修改初始目標設計而從該初始目標設計導出一經改良度量目標設計以增大冊尼克靈敏度中之一對應且增大程序窗。度量模組100可經組態以針對連續導出之經改良目標設計反覆比較及估計。至少兩個方向可包括一目標設計方向及垂直於其之一方向。至少一個裝置設計可相對於目標設計方向傾斜。經改良度量目標設計之間距可比至少一個裝置設計之一間距大10%至30%。藉由度量模組100設計之度量目標及其目標設計檔案係本發明之相同意義。
度量模組100可經組態以藉由模擬一初始目標設計及裝置設計之零階及一階繞射信號之光瞳平面位置,且修改初始目標設計之至少一個參數以產生一經改良目標設計而相對於一裝置設計判定一目標設計,執行修改以提供經改良目標中之零階及一階繞射信號之光瞳平面位置之間之一關係,該關係對應於其在裝置設計中之一關係。度量模組100可進一步經組態以相對於至少一個冊尼克多項式評價關係之對應,視需要其中該至少一個冊尼克多項式相對於初始目標之一分割方向不對稱。度量模組100可進一步經組態以相對於裝置設計判定一目標分割。藉由度量模組100設計之度量目標及其目標設計檔案係本發明之相同意義。
圖17係根據本發明之某些實施例之傾斜目標360之一高階示意圖。特定實施例包括傾斜度量目標360,其等具有(例如)依與待量測 裝置相同之傾斜角度傾斜之元件及/或分割,可能添加SRAF特徵,該等SRAF特徵特定言之相對於線邊緣改良目標360之製造精確性。
特定實施例組合上文提供之揭示內容與來自下列公開案之資訊:Lee等人,2016年,Metrology target design(MTD)solution for diagonally orientated DRAM layer(Proc.SPIE 9778,Metrology,Inspection,and Process Control for Microlithography XXX,97782R(2016年3月8日);doi:10.1117/12.2218659)及Lee等人,2016年,Lithography aware overlay metrology target design method(Proc.SPIE 9778,Metrology,Inspection,and Process Control for Microlithography XXX,97781L(2016年3月24日);doi:10.1117/12.2218653),依相同第一發明者及同事。此等公開案之全文以引用的方式併入本文中。
上文參考根據本發明之實施例之方法、設備(系統)及電腦程式產品之流程圖圖解及/或部分圖式描述本發明之態樣。將瞭解,可藉由電腦程式指令實施流程圖圖解及/或部分圖式之每一部分及流程圖圖解及/或部分圖式中之部分之組合。此等電腦程式指令可被提供至一通用電腦、專用電腦或其他可程式化資料處理設備之一處理器以製造一機器,使得經由電腦或其他可程式化資料處理設備之處理器執行之指令形成用於實施流程圖及/或部分圖式部分或若干部分中指定之功能/動作之方法。
此等電腦程式指令亦可儲存在一電腦可讀媒體中,該電腦可讀媒體可指示一電腦、其他可程式化資料處理設備或其他裝置依一特定方式運行,使得儲存在該電腦可讀媒體中之指令製造一製品,該製品包含實施流程圖及/或部分圖式部分或若干部分中指定之功能/動作之指令。
電腦程式指令亦可載入至一電腦、其他可程式化資料處理設備或其他裝置上以導致一系列操作步驟在電腦、其他可程式化設備或其 他裝置上執行,以產生一電腦實施之程序,使得在電腦或其他可程式化設備上執行之指令提供程序用於實施流程圖及/或部分圖式部分或若干部分中指定之功能/動作。
前述流程圖及圖式圖解說明根據本發明之各種實施例之系統、方法及電腦程式產品之可行實施方案之架構、功能及操作。在此點上,流程圖或部分圖式中之每一部分可表示一模組、片段或碼之部分,其包括用於實施指定之(諸)邏輯函數之一或多個可執行指令。亦應注意,在某些替代實施方案中,部分中註明之功能可能脫離圖式中註明之順序發生。例如,取決於涉及之功能,連續展示之兩個部分事實上可實質上同時執行,或該等部分有時可依相反順序執行。亦將注意,可藉由基於專用硬體之系統實施部分圖式及/或流程圖圖解之每一部分及部分圖式及/或流程圖圖解中之部分之組合,該等基於專用硬體之系統執行指定之功能或動作或專用硬體及電腦指令之組合。
在上述描述中,一實施例係本發明之一實例或實施方案。「一項實施例」、「一實施例」、「特定實施例」或「某些實施例」之各種出現並不一定皆指代相同實施例。雖然可在一單一實施例之背景內容中描述本發明之各種特徵,但該等特徵亦可分開或依任何適當組合提供。相反地,雖然本文中可為了清楚而在單獨實施例之背景內容中描述本發明,但本發明亦可在一單一實施例中實施。本發明之特定實施例可包含來自上文揭示之不同實施例之特徵,且特定實施例可併入來自上文揭示之其他實施例之元件。在一特定實施例之背景內容中之本發明之元件之揭示內容不應被理解為將其使用單獨限於特定實施例中。此外,應瞭解,本發明可依各種方式執行或實踐且本發明可在除上文描述中概括之實施例外之特定實施例中實施。
本發明並不限於該等圖式或對應描述。例如,流程無需移動經過每一圖解說明之方框或狀態,或依與圖解說明及描述完全相同之順 序移動。除非另外定義,否則本文中使用之技術及科學術語之涵義將由本發明所屬領域中之一般技術者普遍理解。雖然已參考有限數目之實施例描述本發明,但此等實施例不應被解釋為對本發明之範疇之限制,而是作為較佳實施例之一些之例證。其他可行變動、修改及應用亦在本發明之範疇內。相應地,本發明之範疇不應藉由目前為止已描述之內容而應藉由隨附申請專利範圍及其合法等效物限制。
150‧‧‧方法
160‧‧‧階段\計算
165‧‧‧階段
170‧‧‧階段\選擇
190‧‧‧階段

Claims (59)

  1. 一種度量目標設計之方法,該方法包括:計算至少一個裝置設計及複數個度量目標設計之圖案放置誤差(PPE)之一冊尼克靈敏度;及根據導出自該等經計算冊尼克靈敏度之一成本函數之一值選擇一最佳度量目標設計,該成本函數量化該至少一個裝置設計與該複數個度量目標設計之間之該冊尼克靈敏度之一類似性,其中藉由至少一個電腦處理器執行該計算及該選擇之至少一者。
  2. 如請求項1之方法,其中相對於冊尼克係數Z4...Z36計算該冊尼克靈敏度。
  3. 如請求項2之方法,其中僅相對於奇數冊尼克係數計算該冊尼克靈敏度。
  4. 如請求項3之方法,其中僅相對於冊尼克係數Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35計算該冊尼克靈敏度。
  5. 如請求項1至4中任一項之方法,其中該成本函數包括該至少一個裝置設計之該PPE冊尼克靈敏度與該複數個度量目標設計之該PPE冊尼克靈敏度之間之一距離量度。
  6. 一種度量目標,其藉由如請求項1至5中任一項之方法設計。
  7. 一種如請求項6之度量目標之目標設計檔案。
  8. 一種度量模組,其包括至少一個電腦處理器,該至少一個電腦處理器經組態以:計算至少一個裝置設計及複數個度量目標設計之圖案放置誤差(PPE)之一冊尼克靈敏度;及根據導出自該等經計算冊尼克靈敏度之一成本函數之一值選擇一最佳度量目標設計,該成本函數量化該至少一個裝置設計與該複數個度量目標設計之間之該冊尼克靈敏度之一類似性。
  9. 如請求項8之度量模組,其中相對於冊尼克係數Z4...Z36計算該冊尼克靈敏度。
  10. 如請求項9之度量模組,其中僅相對於奇數冊尼克係數計算該冊尼克靈敏度。
  11. 如請求項10之度量模組,其中僅相對於冊尼克係數Z8、Z11、Z15、Z20、Z24、Z27、Z31及Z35計算該冊尼克靈敏度。
  12. 如請求項8至11中任一項之度量模組,其中該成本函數包括該至少一個裝置設計之該PPE冊尼克靈敏度與該複數個度量目標設計之該PPE冊尼克靈敏度之間之一距離量度。
  13. 一種度量目標,其藉由如請求項8至12中任一項之度量模組設計。
  14. 一種如請求項13之度量模組之目標設計檔案。
  15. 一種度量目標設計之方法,該方法包括:針對至少一個裝置設計及複數個目標設計候選者之各者:重複針對複數個運行:針對複數個冊尼克多項式Zi之各者產生複數個Ni(Ni>50)冊尼克係數值,該等值相對於指定範圍內之指定分佈偽隨機產生,針對該等冊尼克多項式之各者計算PPE,及針對該運行計算一各自PPE量測;及導出該等經計算各自PPE量測之一分佈;使該等導出之目標設計候選者分佈之各者與該至少一個導出之裝置設計分佈相關以針對每一目標設計候選者產生一裝置對應量測;及根據該等導出之裝置對應量測選擇一最佳度量目標設計,其中藉由至少一個電腦處理器執行該產生、該計算、該導 出、該使相關及該選擇之至少一者。
  16. 如請求項15之方法,其進一步包括:選擇該等目標設計候選者以表示一指定目標設計之分割替代物。
  17. 如請求項16之方法,其進一步包括:使用該裝置對應量測來對該等分割替代物進行排序。
  18. 如請求項15之方法,其進一步包括:與一度量模擬程序並行執行該等計算階段;及整合使用該裝置對應量測之一排序與導出自該度量模擬程序之一排序。
  19. 如請求項15之方法,其進一步包括:針對多個裝置設計執行該方法;及相對於針對所有該等裝置設計導出之該等裝置對應量測執行該選擇。
  20. 如請求項19之方法,其中相對於導出自該多個裝置對應量測之一穩健性量測執行該選擇。
  21. 一種度量目標,其藉由如請求項15至20中任一項之方法設計。
  22. 一種如請求項21之度量目標之目標設計檔案。
  23. 一種度量模組,其包括至少一個電腦處理器,該至少一個電腦處理器經組態以針對至少一個裝置設計及複數個目標設計候選者之各者:重複針對複數個運行:針對複數個冊尼克多項式Zi之各者產生複數個Ni(Ni>100)冊尼克係數值,該等值相對於指定範圍內之指定分佈偽隨機產生,針對該等冊尼克多項式之各者計算PPE;及針對該運行計算一各自PPE量測;及導出該等經計算各自PPE量測之一分佈;使該等導出之目標設計候選者分佈之各者與該至少一個導出 之裝置設計分佈相關以針對每一目標設計候選者產生一裝置對應量測;及根據該等導出之裝置對應量測選擇一最佳度量目標設計。
  24. 如請求項23之度量模組,其進一步經組態以選擇該等目標設計候選者以表示一指定目標設計之分割替代物。
  25. 如請求項24之度量模組,其進一步經組態以使用該裝置對應量測來對該等分割替代物進行排序。
  26. 如請求項23之度量模組,其進一步經組態以:與一度量模擬程序並行執行該等計算階段;及整合使用該裝置對應量測之一排序與導出自該度量模擬程序之一排序。
  27. 如請求項23之度量模組,其進一步經組態以使用多個裝置設計且相對於針對所有該等裝置設計導出之該等裝置對應量測選擇該最佳目標。
  28. 如請求項27之度量模組,其進一步經組態以相對於導出自該多個裝置對應量測之一穩健性量測選擇該最佳目標。
  29. 一種度量目標,其藉由如請求項23至28中任一項之度量模組設計。
  30. 一種如請求項29之度量模組之目標設計檔案。
  31. 一種最佳化度量目標設計之方法,該方法包括以根據度量效能要求判定之一初始目標設計開始,及使用一模擬工具:相對於至少兩個方向,比較該初始目標設計與至少一個裝置設計之間之圖案放置誤差(PPE)之一冊尼克靈敏度,估計用於該初始目標設計之一程序窗,及藉由修改該初始目標設計而從該初始目標設計導出一經改良度量目標設計以增大該冊尼克靈敏度中之一對應且增大該程序窗, 其中藉由至少一個電腦處理器執行該比較、該估計及該導出之至少一者。
  32. 如請求項31之方法,其中該導出進一步包括:針對連續導出之經改良目標設計反覆該比較及該估計。
  33. 如請求項31之方法,其中該至少兩個方向包括一目標設計方向及垂直於其之一方向。
  34. 如請求項33之方法,其中該至少一個裝置設計相對於該目標設計方向傾斜。
  35. 如請求項31至33中任一項之方法,其中該經改良度量目標設計之一間距比該至少一個裝置設計之一間距大10%至30%。
  36. 一種度量目標,其藉由如請求項31至35中任一項之方法設計。
  37. 一種如請求項36之度量目標之目標設計檔案。
  38. 一種度量模組,其包括至少一個電腦處理器,該至少一個電腦處理器經組態從而以根據度量效能要求判定之一初始目標設計開始,且使用一模擬工具:相對於至少兩個方向,比較該初始目標設計與至少一個裝置設計之間之圖案放置誤差(PPE)之一冊尼克靈敏度,估計用於該初始目標設計之一程序窗,及藉由修改該初始目標設計而從該初始目標設計導出一經改良度量目標設計以增大該冊尼克靈敏度中之一對應且增大該程序窗。
  39. 如請求項38之度量模組,其進一步經組態以針對連續導出之經改良目標設計反覆該比較及該估計。
  40. 如請求項38之度量模組,其中該至少兩個方向包括一目標設計方向及垂直於其之一方向。
  41. 如請求項40之度量模組,其中該至少一個裝置設計相對於該目 標設計方向傾斜。
  42. 如請求項38至41中任一項之度量模組,其中該經改良度量目標設計之一間距比該至少一個裝置設計之一間距大10%至30%。
  43. 一種度量目標,其藉由如請求項38至42中任一項之度量模組設計。
  44. 一種如請求項43之度量模組之目標設計檔案。
  45. 一種相對於一裝置設計判定一目標設計之方法,該方法包括:模擬一初始目標設計及該裝置設計之零階及一階繞射信號之光瞳平面位置,及修改該初始目標設計之至少一個參數以產生一經改良目標設計,執行該修改以提供該經改良目標中之零階及一階繞射信號之該等光瞳平面位置之間之一關係,該關係對應於其在該裝置設計中之一關係。
  46. 如請求項45之方法,其中相對於至少一個冊尼克多項式評價該關係之對應。
  47. 如請求項46之方法,其中該至少一個冊尼克多項式相對於該初始目標之一分割方向不對稱。
  48. 如請求項45之方法,其中該判定包括:相對於該裝置設計判定一目標分割。
  49. 一種度量目標,其藉由如請求項45至48中任一項之方法設計。
  50. 一種如請求項49之度量目標之目標設計檔案。
  51. 一種度量模組,其包括至少一個電腦處理器,該至少一個電腦處理器經組態以藉由下列方式相對於一裝置設計判定一目標設計:模擬一初始目標設計及該裝置設計之零階及一階繞射信號之光瞳平面位置,及 修改該初始目標設計之至少一個參數以產生一經改良目標設計,執行該修改以提供該經改良目標中之零階及一階繞射信號之該等光瞳平面位置之間之一關係,該關係對應於其在該裝置設計中之一關係。
  52. 如請求項51之度量模組,其進一步經組態以相對於至少一個冊尼克多項式評價該等關係之對應。
  53. 如請求項52之度量模組,其中該至少一個冊尼克多項式相對於該初始目標之一分割方向不對稱。
  54. 如請求項51之度量模組,其進一步經組態以相對於該裝置設計判定一目標分割。
  55. 一種度量目標,其藉由如請求項51至54中任一項之度量模組設計。
  56. 一種如請求項55之度量模組之目標設計檔案。
  57. 一種度量目標,其使其元件之至少一者及其元件分割相對於一目標方向傾斜,其中該傾斜經選擇以對應於一傾斜裝置。
  58. 如請求項57之度量目標,其進一步包括經組態以改良該傾斜目標相對於其線邊緣之一製造精確性之SRAF(次解析度輔助特徵)。
  59. 一種如請求項57或58之度量目標之目標設計檔案。
TW105112489A 2015-04-21 2016-04-21 用於傾斜裝置設計之度量目標設計 TWI714575B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562150290P 2015-04-21 2015-04-21
US62/150,290 2015-04-21
PCT/US2016/028314 WO2016172122A1 (en) 2015-04-21 2016-04-19 Metrology target design for tilted device designs
WOPCT/US16/28314 2016-04-19

Publications (2)

Publication Number Publication Date
TW201707099A true TW201707099A (zh) 2017-02-16
TWI714575B TWI714575B (zh) 2021-01-01

Family

ID=57143392

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110100858A TWI786512B (zh) 2015-04-21 2016-04-21 度量目標、度量模組及度量方法
TW105112489A TWI714575B (zh) 2015-04-21 2016-04-21 用於傾斜裝置設計之度量目標設計

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW110100858A TWI786512B (zh) 2015-04-21 2016-04-21 度量目標、度量模組及度量方法

Country Status (7)

Country Link
US (1) US20170023358A1 (zh)
JP (3) JP6843764B2 (zh)
KR (2) KR20230110835A (zh)
CN (2) CN107532945B (zh)
SG (1) SG11201708164YA (zh)
TW (2) TWI786512B (zh)
WO (1) WO2016172122A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI691047B (zh) * 2018-02-08 2020-04-11 日商斯庫林集團股份有限公司 資料處理方法、資料處理裝置以及資料處理程式

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10095122B1 (en) 2016-06-30 2018-10-09 Kla-Tencor Corporation Systems and methods for fabricating metrology targets with sub-resolution features
US10527952B2 (en) * 2016-10-25 2020-01-07 Kla-Tencor Corporation Fault discrimination and calibration of scatterometry overlay targets
US10748821B2 (en) 2017-04-26 2020-08-18 Samsung Electronics Co., Ltd. Method and system for measuring pattern placement error on a wafer
US11067902B2 (en) * 2017-08-07 2021-07-20 Asml Netherlands B.V. Computational metrology
WO2019139685A1 (en) * 2018-01-12 2019-07-18 Kla-Tencor Corporation Metrology targets and methods with oblique periodic structures
CN109190273B (zh) * 2018-09-13 2022-10-25 合肥工业大学 一种产品等离子喷焊修复工艺参数优化方法
JP7277101B2 (ja) * 2018-10-11 2023-05-18 キヤノン株式会社 収差推定方法、収差推定装置、プログラムおよび記憶媒体
EP3853665B1 (en) 2018-10-30 2023-12-20 Kla-Tencor Corporation Estimation of asymmetric aberrations
JP7281547B2 (ja) * 2018-12-31 2023-05-25 エーエスエムエル ネザーランズ ビー.ブイ. プロセス制御のためのインダイメトロロジ方法及びシステム
US11561478B2 (en) * 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
CN111639659B (zh) * 2019-03-01 2023-11-14 中国科学院声学研究所 一种水下沉底小目标融合分类方法
WO2020186395A1 (zh) * 2019-03-15 2020-09-24 中国科学院微电子研究所 关联成像方法及装置
CN109900355B (zh) * 2019-03-15 2020-02-07 中国科学院微电子研究所 成像方法及装置
CN109900356B (zh) * 2019-03-15 2021-07-27 中国科学院微电子研究所 关联成像方法及装置
CN110009673B (zh) * 2019-04-01 2020-04-21 四川深瑞视科技有限公司 深度信息检测方法、装置及电子设备
JP7361787B2 (ja) * 2019-04-08 2023-10-16 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ測定のためのセンサ装置及び方法
US11933717B2 (en) * 2019-09-27 2024-03-19 Kla Corporation Sensitive optical metrology in scanning and static modes
WO2023016752A1 (en) * 2021-08-10 2023-02-16 Asml Netherlands B.V. Match the aberration sensitivity of the metrology mark and the device pattern
JP2023053800A (ja) * 2021-10-01 2023-04-13 キヤノン株式会社 基板上の複数のショット領域の配列を求める方法、露光方法、露光装置、物品の製造方法、プログラム及び情報処理装置
WO2023203025A1 (en) * 2022-04-20 2023-10-26 Raja Shyamprasad Natarajan Method and system for detecting and/or quantifying manufacturing inaccuracies

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4528464B2 (ja) 2000-06-08 2010-08-18 株式会社東芝 アライメント方法、重ね合わせ検査方法及びフォトマスク
US20030160163A1 (en) 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
JPWO2004059710A1 (ja) * 2002-12-24 2006-05-11 株式会社ニコン 収差計測方法、露光方法及び露光装置
EP1496397A1 (en) * 2003-07-11 2005-01-12 ASML Netherlands B.V. Method and system for feedforward overlay correction of pattern induced distortion and displacement, and lithographic projection apparatus using such a method and system
US7001830B2 (en) 2003-09-02 2006-02-21 Advanced Micro Devices, Inc System and method of pattern recognition and metrology structure for an X-initiative layout design
JP2005175407A (ja) 2003-12-15 2005-06-30 Canon Inc 計測方法及び装置、それを利用した露光方法及び装置、並びに、デバイス製造方法
WO2005069082A1 (en) * 2003-12-19 2005-07-28 International Business Machines Corporation Differential critical dimension and overlay metrology apparatus and measurement method
US7261985B2 (en) * 2004-03-12 2007-08-28 Litel Instruments Process for determination of optimized exposure conditions for transverse distortion mapping
JP4835921B2 (ja) 2006-01-31 2011-12-14 株式会社ニコン 計測方法、露光方法、デバイス製造方法、及びマスク
DE102008011501A1 (de) * 2008-02-25 2009-08-27 Carl Zeiss Smt Ag Verfahren zum Betreiben eines Beleuchtungssystems einer mikrolithographischen Projektionsbelichtungsanlage
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
JP5510865B2 (ja) * 2009-03-25 2014-06-04 住友化学株式会社 防眩処理方法、防眩フィルムの製造方法および金型の製造方法
CN103154818B (zh) * 2010-09-28 2015-07-15 卡尔蔡司Smt有限责任公司 微光刻投射曝光设备的光学系统以及降低图像位置误差的方法
US9068904B2 (en) * 2011-01-18 2015-06-30 Arizona Board Of Regents On Behalf Of The University Of Arizona System and method for non-contact metrology of surfaces
US8924490B2 (en) * 2011-06-30 2014-12-30 Microsoft Corporation Service based event planning
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
CN103748515A (zh) 2011-08-23 2014-04-23 Asml荷兰有限公司 量测方法和设备以及器件制造方法
NL2009508A (en) 2011-10-24 2013-04-25 Asml Netherlands Bv Metrology method and apparatus, and device manufacturing method.
US9097978B2 (en) * 2012-02-03 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus to characterize photolithography lens quality
US9007585B2 (en) * 2012-03-07 2015-04-14 Kla-Tencor Corporation Imaging overlay metrology target and complimentary overlay metrology measurement system
WO2013144906A2 (en) * 2012-03-30 2013-10-03 Gokmen Muhittin System and method for object detection and recognition by local moments representation
CN102681358B (zh) * 2012-04-18 2014-02-12 中国科学院上海光学精密机械研究所 基于空间像检测的投影物镜波像差原位测量方法
US9059102B2 (en) * 2013-08-15 2015-06-16 International Business Machines Corporation Metrology marks for unidirectional grating superposition patterning processes
WO2015101458A1 (en) * 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
US10228320B1 (en) * 2014-08-08 2019-03-12 KLA—Tencor Corporation Achieving a small pattern placement error in metrology targets

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI691047B (zh) * 2018-02-08 2020-04-11 日商斯庫林集團股份有限公司 資料處理方法、資料處理裝置以及資料處理程式
US11274995B2 (en) 2018-02-08 2022-03-15 SCREEN Holdings Co., Ltd. Data processing method, data processing device, and computer-readable recording medium having recorded thereon data processing program

Also Published As

Publication number Publication date
TWI714575B (zh) 2021-01-01
KR20230110835A (ko) 2023-07-25
JP6843764B2 (ja) 2021-03-17
US20170023358A1 (en) 2017-01-26
KR20170141740A (ko) 2017-12-26
JP7236481B2 (ja) 2023-03-09
WO2016172122A1 (en) 2016-10-27
TW202127558A (zh) 2021-07-16
JP2023036641A (ja) 2023-03-14
CN112485971A (zh) 2021-03-12
JP2021099510A (ja) 2021-07-01
CN107532945B (zh) 2020-12-01
CN107532945A (zh) 2018-01-02
TWI786512B (zh) 2022-12-11
JP7500694B2 (ja) 2024-06-17
JP2018514807A (ja) 2018-06-07
SG11201708164YA (en) 2017-11-29
KR102557599B1 (ko) 2023-07-19

Similar Documents

Publication Publication Date Title
JP7236481B2 (ja) 計測モジュール、及び計測ターゲットの設計方法
US11874605B2 (en) Verification metrology targets and their design
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
TWI445920B (zh) 測量基板上結構之方法及裝置、產生模型配方之方法及裝置及實施此等方法及裝置之電腦程式產品
US9760018B2 (en) Method and inspection apparatus and computer program product for assessing a quality of reconstruction of a value of a parameter of interest of a structure
TWI738787B (zh) 微影系統,圖案遮罩,及用於設計一計量標靶圖案遮罩之方法
TW201527902A (zh) 用於設計度量衡目標之方法及裝置
TWI637243B (zh) 度量衡方法及設備、電腦程式及微影系統
US20150330770A1 (en) Library expansion system, method, and computer program product for metrology
TWI643028B (zh) 二維或三維形狀之階層式表示
CN111989619A (zh) 半导体结构的基于模型的重构