KR102515228B1 - 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들 - Google Patents

반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들 Download PDF

Info

Publication number
KR102515228B1
KR102515228B1 KR1020217038249A KR20217038249A KR102515228B1 KR 102515228 B1 KR102515228 B1 KR 102515228B1 KR 1020217038249 A KR1020217038249 A KR 1020217038249A KR 20217038249 A KR20217038249 A KR 20217038249A KR 102515228 B1 KR102515228 B1 KR 102515228B1
Authority
KR
South Korea
Prior art keywords
scatterometry
imaging
measurements
target
targets
Prior art date
Application number
KR1020217038249A
Other languages
English (en)
Other versions
KR20210148376A (ko
Inventor
노암 사피엔스
안드레이 브이. 쉬체그로프
스틸리안 판데프
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20210148376A publication Critical patent/KR20210148376A/ko
Application granted granted Critical
Publication of KR102515228B1 publication Critical patent/KR102515228B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/93Detection standards; Calibrating baseline adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/062LED's
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/10Scanning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/129Using chemometrical methods
    • G01N2201/1296Using chemometrical methods using neural networks

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Of Optical Devices Or Fibers (AREA)

Abstract

하나의 실시형태에서, 타겟의 파라미터를 결정하기 위한 장치 및 방법들이 개시된다. 이미징(imaging) 구조체 및 산란계측(scatterometry) 구조체를 갖는 타겟이 제공된다. 이미징 구조체의 이미지는 계측 툴의 이미징 채널로 획득된다. 산란계측 신호는 또한, 계측 툴의 산란계측 채널로 산란계측 구조체로부터 획득된다. 타겟의 오버레이 에러와 같은 적어도 하나의 파라미터는 이미지 및 산란계측 신호 모두에 기초하여 결졍된다.

Description

반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들{APPARATUS, TECHNIQUES, AND TARGET DESIGNS FOR MEASURING SEMICONDUCTOR PARAMETERS}
관련 출원들에 대한 상호-참조
이 출원은 Noam Sapiens 등에 의해 2014년 5월 12일자로 출원된 선행 출원인 미국 가출원 제61/991,857호의 이득을 주장하고, 이 출원은 모든 목적들을 위해 그 전체적으로 참조로 본원에 편입된다.
본 발명은 일반적으로 반도체 계측(metrology)을 위한 방법들 및 시스템들에 관한 것으로, 더욱 상세하게는, 조합된 기법들을 수행하기 위해 타겟들을 이용하는 것에 관한 것이다.
집적 회로들의 제조에서 이용된 포토리소그래피(photolithography) 또는 광학 리소그래피(optical lithography) 시스템들은 얼마 동안 지속되었다. 이러한 시스템들은 제품에서의 매우 작은 세부사항들의 정밀한 제조 및 형성에 있어서 극도로 효과적인 것으로 입증되었다. 일부 포토리소그래피 시스템들에서는, 패턴을 광 또는 방사선 빔(예컨대, UV 또는 자외선 광)을 통해 전사함으로써, 회로 이미지가 기판 상에 기록된다. 예를 들어, 리소그래피 시스템은 회로 이미지를 레티클(reticle)을 통해, 그리고 조사(irradiation)에 민감한 재료, 예컨대, 포토레지스트(photoresist)로 코팅된 실리콘 웨이퍼 상으로 투영하는 광 또는 방사선 소스(radiation source)를 포함할 수도 있다. 노광된 포토레지스트는 전형적으로, 현상 후에, 예를 들어, 증착 및/또는 에칭으로서의 후속 프로세싱 단계들 동안에 웨이퍼의 층들을 마스킹하는 패턴을 형성한다.
회로 집적의 대규모 및 반도체 디바이스들의 감소하는 크기로 인해, 레티클들 및 제조된 디바이스들은 오버레이 에러(overlay error)들, 임계 치수(critical dimension; CD) 변동들, 막 두께 및 조성물 변동들 등과 같은 구조체 및 프로세스 변동들에 점점 더 민감해졌다. 이 변동들은 정정되지 않을 경우, 최종적인 디바이스로 하여금, 전기적 타이밍 에러들로 인해 희망하는 성능을 충족시키는 것을 실패하게 할 수 있다. 훨씬 더 열악하게는, 이 에러들이 최종적인 디바이스들로 하여금, 오동작하게 하고 수율에 악영향을 주게 할 수 있다.
여러 기법들은 수율을 개선시키기 위해 반도체 샘플들의 다양한 특성들을 측정하도록 개발되었다. 그러나, 반도체 샘플들의 특성들을 측정하기 위한 개선된 타겟들, 장치, 및 기법들에 대한 계속된 필요성이 있다.
다음은 발명의 어떤 실시형태들의 기본적인 이해를 제공하기 위해, 개시물의 간략화된 요약을 제시한다. 이 요약은 개시물의 철저한 개요는 아니고, 그것은 발명의 핵심적인/중요한 요소들을 식별하지 않거나 발명의 범위를 묘사하지 않는다. 이 요약의 유일한 목적은 더 이후에 제시되는 더욱 상세한 설명에 대한 서두로서, 본원에서 개시된 일부의 개념들을 간략화된 형태로 제시하는 것이다.
하나의 실시형태에서는, 타겟의 파라미터를 결정하는 방법이 개시되어 있다. 이미징 구조체 및 산란계측(scatterometry) 구조체를 갖는 타겟이 제공된다. 이미징 구조체의 이미지는 계측 툴의 이미징 채널로 획득된다. 산란계측 신호는 또한, 계측 툴의 산란계측 채널로 산란계측 구조체로부터 획득된다. 타겟의 오버레이 에러와 같은 적어도 하나의 파라미터는 이미지 및 산란계측 신호 모두에 기초하여 결졍된다.
특정 구현예에서, 산란계측 신호 및 이미지는 타겟에 대한 동일한 포커스 평면(focus plane)에서 이미징 및 산란계측 채널들을 동작시킴으로써 획득된다. 또 다른 실시형태에서, 산란계측 신호 및 이미지는 순차적으로 획득되고, 산란계측 신호 또는 이미지 중 하나로부터의 적어도 하나의 파라미터는 산란계측 신호 또는 이미지 중 다른 것으로부터의 적어도 하나의 파라미터에 기초한다.
또 다른 양태에서는, 계측 툴의 상이한 동작 파라미터들에서, 이미지 및 산란계측 신호를 획득하고 적어도 하나의 파라미터를 결정하기 위한 동작들이 상이한 알려진 파라미터들 값들을 갖는 다수의 참조 타겟(reference target)들에 대하여 반복된다. 다음으로, 레시피(recipe)는 타겟들에 대한 결정된 파라미터들 중 어느 것이 타겟들의 알려진 상이한 파라미터들에 가장 근접하게 일치하는지에 기초하여 계측 툴의 상이한 동작 파라미터들의 서브세트(subset)를 선택함으로써 결정된다. 레시피가 결정된 후, 이미지 및/또는 산란계측 신호를 획득하고 적어도 하나의 파라미터를 결정하기 위한 동작들은 다수의 생산 타겟들에 대하여 반복된다. 추가의 양태에서, 레시피는 이미징 또는 산란계측 채널의 선택을 포함한다. 또 다른 양태에서, 오프셋은 산란계측 채널 및 이미징 채널로부터의 파라미터 사이에서 결정되고, 산란계측 채널 또는 이미징 채널로부터 결정된 어느 하나의 파라미터는 이러한 오프셋에 기초하여 생산 타겟들에 대하여 캘리브레이팅된다(calibrated).
또 다른 방법 실시형태에서, 산란계측 구조체로부터의 산란계측 측정 또는 이미징 구조체의 이미지 측정 중 제 1 측정이 획득된다. 타겟의 제 1 파라미터는 산란계측 측정 또는 이미지 측정 중 하나에 기초하여 결정된다. 산란계측 또는 이미지 측정 중 다른 하나에 기초하는 제 2 파라미터의 결정은 산란계측 또는 이미지 측정 중 제 1 측정에 기초하여 억제되거나 조절된다. 추가의 양태에서는, 타겟의 비대칭성(asymmetry)이 이미지 측정으로부터의 제 1 파라미터에 기초하여 산란계측 측정으로부터 격리될 수 있거나 제거될 수 있도록, 이미지 측정은 첫 번째로 획득되고, 산란계측 측정은 두 번째로 획득된다. 또 추가의 양태에서, 제 1 파라미터는 이미지 속성(property)을 정량화(quantify)하고, 제 2 파라미터는 제 1 파라미터가 미리 정의된 사양(specification) 내에 있는지 여부에 기초하여 결정되는 것이 억제된다. 추가의 특징에서, 제 1 파라미터를 획득하기 위한 동작들은 다수의 타겟들에 대하여 반복되고, 산란계측 또는 이미지 측정의 제 2 파라미터는 미리 정의된 사양 내에 있는 제 1 파라미터를 갖는 타겟들에 대해서만 결정된다.
또 다른 구현예에서는, 제 1 파라미터가 제 2 파라미터의 결정을 조절하기 위해 이용되도록, 이미지 측정은 첫 번째로 획득되고; 제 1 파라미터는 이미지 속성을 정량화하고; 산란계측 측정은 두 번째로 획득된다. 추가의 양태에서, 제 2 파라미터는 제 1 파라미터 및 산란계측 측정이 입력되는 산란계측 모델을 이용하여 결정된다.
또 다른 방법 실시형태에서, 산란계측 및 이미징 측정들의 제 1 세트는 하나 이상의 파라미터들의 알려진 변동들을 갖는 복수의 참조 타겟들 각각으로부터 수신된다. 신호 응답 측정(signal response measurement; SRM) 모델은 산란계측 및 이미징 측정들의 이 제 1 세트에 기초하여 결정된다. SRM 모델은 산란계측 및 이미징 측정들의 제 1 세트 및 하나 이상의 파라미터들의 알려진 변동들에 기초하여 트레이닝된다. 타겟으로부터의 산란계측 및 이미징 측정들은 하나 이상의 알려지지 않은 파라미터들을 결정하기 위해 SRM 모델로 입력된다.
또 다른 실시형태에서, 발명은 반도체 타겟의 파라미터를 결정하기 위한 계측 장치에 관련된다. 장치는 타겟의 산란계측 구조체로부터 산란계측 신호들을 획득하기 위한 적어도 산란계측 모듈, 및 타겟의 이미징 구조체로부터 이미지를 획득하기 위한 적어도 이미징 모듈을 포함한다. 장치는 타겟의 적어도 하나의 파라미터를 결정하기 위해 획득된 산란계측 신호 및 이미지를 분석하도록 구성된 프로세서를 더 포함한다. 대안적인 실시형태들에서, 장치의 프로세서는 상기 설명된 방법 동작들 중 임의의 것을 수행하도록 구성된다.
또 다른 구현예에서, 발명은 오버레이 에러를 결정하기 위한 타겟에 관련된다. 타겟은 이미징 채널을 갖는 계측 툴에 의해 이미지로 분해가능한(resolvable) 이미지 피치(pitch)를 갖는 제 1 그레이팅(grating) 구조체를 포함한다. 타겟은 계측 툴의 산란계측 채널에 의한 측정을 위한 산란계측 피치를 갖는 제 2 그레이팅 구조체를 더 포함한다. 산란계측 피치는 제 1 차수 회절 광이 계측 툴의 이미지 동공(image pupil)을 통과하도록 크기가 정해진다. 추가의 양태에서, 제 2 그레이팅 구조체는 타겟과 동일한 프로세스로 형성된 디바이스들에 대한 미리 정의된 설계 규칙을 충족시키는 설계 규칙 피치를 갖는 복수의 그레이팅들로 추가로 세그먼트화된다. 또 다른 양태에서, 제 1 그레이팅 구조체는 2 개보다 많은 층들 사이의 오버레이 에러를 결정하기 위해 2 개보다 많은 층들로부터 형성된다.
본 발명의 이러한 그리고 다른 특징들은 발명의 실시형태들의 다음의 명세서와, 발명의 원리들을 예로서 예시하는 동반된 도면들에서 더욱 상세하게 제시될 것이다.
도 1a는 본 발명의 제 1 실시형태에 따른, 이미징 및 산란계측 오버레이(scatterometry overlay; SCOL) 계측 기법이 적용될 수도 있는 오버레이 타겟의 평면도 표현이다.
도 1b는 하나의 실시형태에 따른, 도 1a의 타겟의 일 예의 이미지를 예시한다.
도 2는 본 발명의 하나의 예의 구현예에 따른, 이미징 동공의 간략화된 도면이다.
도 3은 본 발명의 제 2 실시형태에 따른, 이미징 및 SCOL 계측 기법이 적용될 수도 있는 오버레이 타겟의 평면도 표현이다.
도 4는 본 발명의 제 3 실시형태에 따른, 이미징 및 SCOL 계측 기법이 적용될 수도 있는 오버레이 타겟의 평면도 표현이다.
도 5는 본 발명의 하나의 실시형태에 따른, 조합 이미징 및 산란계측 타겟들이 측정될 수도 있고 분석될 수도 있는 계측 시스템의 개략적 표현이다.
도 6은 본 발명의 하나의 실시형태에 따른, 레시피 개발 절차를 예시하는 플로우차트이다.
도 7 (a)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 +f만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다.
도 7 (b)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 +f 및 오버레이 에러 +ε만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다.
도 7 (c)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 -f만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다.
도 7 (d)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 -f 및 오버레이 에러 +ε만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다.
도 8은 본 발명의 또 다른 예의 구현예에 따른, 순차적인 오버레이 계측을 위한 절차를 예시하는 플로우차트이다.
도 9는 본 발명의 또 다른 실시형태에 따른, 이러한 타겟 및 SRM 모델로부터의 이미징 및 산란계측 측정들 모두에 기초하여 타겟으로부터 하나 이상의 파라미터들을 결정하는 프로세스를 예시하는 플로우차트이다.
지금부터, 발명의 특정 실시형태에 대하여 상세하게 참조가 행해질 것이다. 이 실시형태의 예는 동반된 도면들에서 예시된다. 발명은 특정 실시형태와 함께 설명될 것이지만, 그것은 발명을 하나의 실시형태로 제한하도록 의도된 것이 아니라는 것이 이해될 것이다. 반대로, 첨부된 청구항들에 의해 정의된 바와 같은 발명의 사상 및 범위 내에 포함될 수도 있는 대안들, 수정들, 및 등가물들을 커버하도록 의도된다. 다음의 설명에서는, 본 발명의 철저한 이해를 제공하기 위해 여러 특정 세부사항들이 기재된다. 본 발명은 이 특정 세부사항들의 일부 또는 전부 없이 실시될 수도 있다. 다른 사례들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해 상세하게 설명되지 않았다.
본 발명의 어떤 실시형태들은 산란계측 및 이미징 기법 모두를 이용하여 이러한 타겟들에 대한 하나 이상의 특성들을 측정하기 위한 타겟들 및 기법들 및 장치를 제공한다. 다음의 예들은 오버레이 에러 특성의 측정에 관련되지만, 본 발명의 실시형태들은 또한, 다른 구조체 값들(예컨대, CD, 높이, 막, 두께, SWA, 피치 워크(pitch walk), 재료 분산 및 조성 등) 또는 프로세스 값들(도스(dose), 포커스(focus), 에칭 시간, 증착 시간 등)의 측정에 적용될 수도 있다. 추가적으로, 다음의 오버레이 기법들은 2 개 이상의 층들 사이의 오버레이 에러를 결정하는 것으로서 설명되지만, 이러한 기법들은 상이한 층들 내에 물리적으로 위치되는, 예컨대, 멀티-레벨 구조체의 상이한 레벨들에서 위치되는, 별도의 프로세스들에 의해 형성되는 구조체들 사이의 오버레이 에러를 결정하는 것에 적용될 수 있다.
도 1a는 본 발명의 제 1 실시형태에 따른, 이미징 및 산란계측 오버레이(SCOL) 계측 기법이 적용될 수도 있는 오버레이 타겟(100)의 평면도 표현이다. 도시된 바와 같이, 타겟(100)은 이하에서 추가로 설명된 바와 같이 2 개의 방향들(x 및 y)에서 (예컨대, 흑색 및 회색 이미지 구조체들로서 도시된) 2 개의 상이한 층들 사이의 오버레이를 측정하기 위한 구조체들을 함께 포함하는 4 개의 사분면(quadrant)들(110a, 110b, 110c, 및 110d)을 포함한다.
구조체들의 부분은 오버레이 에러(만약 존재할 경우)가 이미징 기법을 통해 결정될 수도 있도록 배열된다. 즉, 타겟은 이미징 계측 툴에 의해 분해가능한 피치를 갖는 구조체들을 포함한다. 예를 들어, 구조체들(예컨대, 102a 및 102b)의 세트들 사이의 이미징 피치 P1는, 구조체들(102a 및 102b)이 전자기파들의 검출을 위한 계측 시스템(예컨대, 광학 또는 x-선 계측 툴)에 의해 별도의 구조체들로서 분해가능하도록 선택된다.
도 1b는 하나의 실시형태에 따른, 도 1a의 타겟(100)의 일 예의 이미지(150)를 예시한다. 도 1a의 참조 라벨들과 동일한 도 1b의 참조 라벨들은 동일한 타겟 영역들에 대응한다. 이미지 분해가능한 타겟 구조체들은 분리된 이미지 구조체들로 분해될 수 있는 반면, 비-분해가능한(non-resolvable) 타겟 구조체들은 이미지에서 함께 블러링(blur)될 수도 있다. 예를 들어, 타겟(100)의 주기적 제 1 층 구조체들(102a 및 102b)의 세트는, 이미징 피치 P1에 의해 분리되는 이미징된 구조체(152a 및 152b)로서 각각 분해된다. 마찬가지로, 주기적 제 2 층 구조체들(104a 및 104b)의 세트는 이미징 피치 P1를 갖는 이미지 구조체(154a 및 154b)로서 각각 분해된다. 다른 사분면들(110b 내지 110d)은 유사하게 이미징될 수 있다.
이미징 P1의 값은 일반적으로 이미징 계측 툴의 특정한 분해능과, 타겟의 분해가능한 이미지들에 기초하여 오버레이 에러를 측정하기 위해 이용될 파장에 종속된다. 즉, 이미징 분석 기법들로 오버레이를 결정하기 위한 상이한 층(또는 프로세스) 구조체들은 별도로 이미징된 구조체들로서 시각적으로 분해가능할 필요가 있다. 2 개의 구조체들을 분해하기 위한 일반화로서, 하나의 이미징된 구조체의 주요 회절 최대치는 다른 이미징된 구조체의 제 1 최소치와 일치한다. 거리가 더 클 경우, 2 개의 구조체들이 양호하게 분해된다. 그러나, 거리가 더 작을 경우, 2 개의 구조체들은 분해되지 않는 것으로서 간주된다. 일반적으로, 계측 시스템의 공간적 분해능은 1.220λ /(NAillumination+NAcollection)와 동일하고, 여기서, λ는 파장이고 NAillumination 및 NAcollection는 조명 및 집광 개구수(numerical aperture)에 각각 대응한다. 1.220 값은 제 1 최소치들 회절 포인트의 계산으로부터 유도된다. 이미징 피치 P1은 시스템의 분해능 이상일 필요가 있다. 하나의 예에서, 이미징 피치 P1은 350 nm - 900 nm의 파장 범위, 약 0 - 0.95 의 NAillumination, 및 약 0.7 - 0.95 의 NAcollection에 대하여, 약 1 μm 이상, 또는 더욱 구체적으로, 1.2 내지 2.0 m이다.
이미징된 구조체들은 2 개의 상이한 층들에 대한 대칭성의 중심(center of symmetry; COS) 또는 대칭성의 라인(line of symmetry; LOS)을 측정하기 위해 이용될 수 있다. 일반적으로, 상이한 층들에서의 구조체들의 이미지들(흑색 및 회색)은 각각의 층에 대한 COS를 결정하기 위해 분석될 수 있다. 오버레이 에러가 없을 경우, 2 개의 층들에서의 구조체들에 대한 COS 또는 LOS는 실질적으로 동일할 것이거나, 미리 결정된 양만큼 오프셋될 것이다. 예를 들어, 2 개의 층들에서의 구조체들은 미리 정의된 오프셋을 가지도록 설계될 수 있고, 오버레이 에러는 2 개의 층들의 COS들 또는 LOS들 사이의 차이가 미리 정의된 오프셋을 초과하거나 그 미만일 때에 존재한다. 일반적으로, 상이한 층들에서의 구조체들의 이미지들(흑색 및 회색)은 각각의 층에 대한 COS 또는 LOS를 결정하기 위해 분석될 수 있다.
예시된 예에서, COS/LOS(125)는 제 1 층 구조체들(104a 및 104b)의 제 1 세트와 제 1 층 구조체들(114a 및 114b)의 제 2 세트 사이에 존재한다. 마찬가지로, COS/LOS(125)는 제 2 층 구조체들(102a 및 102b)의 제 1 세트와 제 2 층 구조체들(112a 및 112b)의 제 2 세트 사이에 존재한다. 동일한 COS/LOS(125)는 또한, 사분면들(110c 및 110d)의 제 1 및 제 2 층 구조체들에 대하여 존재한다.
상이한 사분면들은 x 또는 y 방향 중 어느 하나에서 오버레이 에러를 결정하기 위한 이미지 분해가능한 구조체들을 가질 수도 있다. 사분면들(110a 및 110b)은 x 방향에서 오버레이 에러를 결정하도록 배열된다. 더욱 구체적으로, 사분면(110a)은 제 1 층 구조체들(102a 및 102b)의 제 1 그룹 및 제 2 층 구조체들(104a 및 104b)의 제 2 그룹을 포함하고, 사분면(110b)은 제 1 층에 대한 이미지 분해가능한 구조체 그룹들(112a 및 112b) 및 제 2 층에 대한 구조체 그룹들(114a 및 114b)을 포함한다. 2 개의 사분면들(110a 및 110b)의 제 1 층 구조체들은 서로로부터 분리되고 그 사이의 특정한 x 위치에서 중심이 두어지는 LOS(125)를 가지도록 설계된다. 마찬가지로, 사분면들(110c 및 110d)은 y 방향에서 오버레이 에러를 결정하도록 배열된다. 예를 들어, 사분면(110c)은 제 1 층 구조체들(120a 및 120b)의 제 1 그룹 및 제 2 층 구조체들(122a 및 122b)의 제 2 그룹을 포함하고, 사분면(110d)은 제 1 층 구조체들(116a 및 116b)의 제 1 그룹 및 제 2 층 구조체들(118a 및 118b)의 제 2 그룹을 포함한다. 각각의 사분면(110c 및 110d)의 구조체들 각각의 층은 서로로부터 분리되고 그 사이의 특정한 y 위치에서 중심이 두어지는 LOS(125)를 가지도록 설계된다. 이미징 접근법을 이용하여 오버레이 에러를 결정하기 위한 기법들은 이하에서 추가로 설명된다.
다른 실시형태들에서, 각각의 사분면은 x 및 y 방향 모두에서 오버레이를 결정하기 위한 구조체들을 포함할 수도 있다. x 및 y 구조체들의 하나의 예는 컨택-형상 구조체들을 포함한다. 물론, 구조체들은 또한, x 및 y를 제외한 임의의 적당한 방향에서 오버레이 에러를 결정하기 위해 배열될 수도 있다. 추가적으로, 타겟은 2 개보다 많은 층들에 대한 오버레이 에러를 결정하기 위한 구조체들을 포함할 수도 있다.
타겟은 또한, 오버레이 에러를 결정하기 위한 SCOL 접근법을 이용하여 분석될 수 있는 구조체들을 포함한다. 도 1a에서 도시된 바와 같이, SCOL 피치 P2에 의해 분리되는, 사분면(110a)의 제 1 층 구조체들(106a 및 106b)과 같은, 각각의 사분면에서의 제 1 층 구조체들의 세트들이 있다. 다양한 SCOL 접근법들을 이용하여 오버레이 에러를 결정하기 위한 기법들은 이하에서 추가로 설명된다.
SCOL 피치 P2는 하나 이상의 차수들에 대하여 구조체들로부터 산란되는 광이 계측 시스템의 이미징 동공 내에 속하도록 선택된다. 도 2는 본 발명의 하나의 예의 구현예에 따른, 이미지 동공(202)의 간략화된 도면이다. 도시된 바와 같이, 입사 광의 NA에 대응하는 스폿(204), 및 또한 대응하는 제로 차수(zero order) 집광된 광은 동공(202)의 중심에 위치될 수도 있다. ±1 차수 광 스폿들(206a 및 206b)은 이러한 조명 및 제로 차수 스폿(204)의 측부에 위치된다. 제 1 차수 광에 적어도 기초하여 SCOL 분석을 수행하기 위해, SCOL 피치 P2는 이러한 제 1 차수 광 부분들이 동공(202) 내에 속하도록 크기가 정해진다.
조명 스폿(204)의 반경은 NAillumination(공기 중에서)이다. NAcollection는 일반적으로 집광 광학계/개구에 의해 정의된다. 도시된 바와 같이, 조명 스폿(204) 및 제 1 차수 스폿들의 중심들 사이의 거리는 λ/Ρ2에 관련된다. 따라서, 이미징 피치 P2는 λ/Ρ2 마이너스(minus) NAillumination가 NAcollection미만이도록 선택된다. 더욱 바람직하게는, λ/Ρ2 플러스 NAillumination는 이미지 동공의 반경 이하이다. 이 조건이 충족되지 않을 경우, 비 회절 광(no diffracted light)이 이미지 동공(202)에서 존재할 것이다. 특정 구현예들에서, SCOL 피치는 약 500 nm이다. 달리 말하자면, 피치 P2는 일반적으로 회절 제한되므로, 대응하는 그레이팅은 도 1b에서 도시된 바와 같이 단일 블러링된 구조체(예컨대, 전체 그레이팅과 동일한 크기를 갖는 직사각형)로서 이미지에서 나타날 것이다.
추가의 실시형태들에서, 타겟의 특징부들은 또한, 더욱 양호한 프로세스 호환성을 위해 세그먼트화될 수도 있다. 예를 들어, 도 1a의 타겟(100)은 디바이스를 위한 현재의 설계 규칙들과 유사한, 대략 수십 나노미터(nanometer)인 미세 세그먼트화(또는 설계 규칙) 피치 P3을 가진다.
도 3은 본 발명의 제 2 실시형태에 따른, 이미징 및 SCOL 계측 기법이 적용될 수도 있는 오버레이 타겟(300)의 평면도 표현이다. 이 예에서, 타겟(300)은 이미징 피치 P1을 갖는 구조체들의 제 1 세트, SCOL 피치 P2를 갖는 구조체들의 제 2 세트, 및 설계 규칙 피치 P3을 갖는 구조체들의 제 3 세트를 가진다. 도시된 바와 같이, 제 3 구조체들은 제 2 구조체들의 빗(comb) 형상들의 이빨(tooth)들의 형태로 되어 있다.
도 4는 본 발명의 제 3 실시형태에 따른, 이미징 및 SCOL 계측 기법이 적용될 수도 있는 오버레이 타겟(400)의 평면도 표현이다. 타겟(400)은 2 개보다 많은 층들을 가지기 위한 "멀티층 타겟(multilayer target)"으로서 지칭된다. 사분면(410a)에 대하여 예시된 바와 같이, 제 1 층 구조체들(402a 및 402b)은 이미지 피치 P1a를 갖고, 제 2 층 구조체들(404a 및 404b)은 이미지 피치 P1b를 갖고, 제 3 층 구조체들(406a 및 406b)은 이미지 피치 P1c를 가진다. 피치들은 예를 들어, 층들 사이의 가장 작은 피치의 정수 배만큼 일반적으로 변동될 수 있다.
멀티층 타겟은 모든 3 개의 층들에 대한 이미징을 이용하여 측정될 수 있다. 그러나, 2 개의 층들은 산란계측 기법을 이용하여 한 번에 측정될 수도 있다. 산란계측 또는 이미징 기법들 중 하나로부터의 결과들은 다른 기법으로부터의 결과들과 비교될 수 있다.
임의의 적당한 계측 툴은 조합된 이미징 및 산란계측 타겟을 측정하기 위해 이용될 수도 있다. 본 발명의 기법들의 몇몇은 또한, 소프트웨어 및/또는 하드웨어 시스템의 임의의 적당한 조합을 이용하여 구현될 수도 있다. 바람직하게는, 이러한 계측 툴은 이 발명의 동작들의 다수를 구현하는 컴퓨터 시스템과 통합된다. 이러한 복합 시스템은 바람직하게는, 타겟들의 산란계측 신호들을 획득하기 위한 산란계측 모듈, 타겟들의 이미지들을 획득하기 위한 이미징 모듈, 및 획득된 산란계측 및 이미징 신호들을 분석함으로써, 이러한 타겟들의 특성들을 결정하도록 구성된 프로세서를 적어도 포함한다.
도 5는 본 발명의 하나의 실시형태에 따른, 조합 이미징 및 산란계측 타겟들이 측정될 수도 있고 분석될 수도 있는 계측 시스템(500)의 개략적 표현이다. 일반적으로, 시스템(500)은 전자기 방사선의 입사 빔을 생성하기 위한 방사선 소스(502)를 포함한다. 예를 들어, 방사선 소스는 가시광, IR, UV, 및/또는 x-선 광 스펙트럼에서 방사선을 생성하기 위한 램프 또는 레이저의 형태로 되어 있을 수도 있다.
시스템은 또한, 입사 빔을 조절하고 성형하기 위한 조명 광학계(504)를 일반적으로 포함한다. 예들로서, 조명 광학계(504)는 편광자(polarizer)들, 파장판(waveplate)들, 개구(aperture)들, 공간적 광 변조기들 등과 같은, 개구수(numerical aperture; NA), 스폿 크기, 편광, 또는 추가적인 파면 제어를 위한 컴포넌트들을 포함할 수도 있다. 시스템(500)은 또한, 조명 빔을 추가로 보내기 위한 하나 이상의 빔 스플리터(beam splitter)들 또는 미러들(예컨대, 506a), 및 샘플(501)의 타겟 상에 입사 빔을 포커싱하기 위한 대물부(508)를 포함할 수도 있다. 대물부(508)는 약 0.9보다 더 큰 것과 같은 상대적으로 높은 NA를 가지도록 구성될 수도 있다.
다음으로, 출력 빔은 입사 빔에 응답하여 샘플로부터 반사되고, 회절되고, 및/또는 산란되고, 빔 스플리터(506a)를 통과하게 된다. 빔 스플리터(506b)는, 동공 이미지 또는 출력 빔의 그 임의의 가중화된 부분을 조절하고 이를 산란계측 검출기(516) 상으로 보내는 산란계측 광학계(514)를 향해 출력 빔의 부분을 투과하도록 배열될 수도 있다. 산란계측 검출기(516)는 CCD(charge coupled detector; 전하 결합 검출기), 카메라, CMOS 카메라, 분광기(spectrometer), 또는 다른 2 차원 검출기 등의 형태를 취할 수도 있다. 단일 차원 검출기는 산란계측 광학계 또는 조명 광학계가 스펙트럼 필터를 포함하거나 아무것도 포함하지 않을 때에 이용될 수도 있다.
빔 스플리터(506b)는 또한, 기판의 이미지를 조절하고 이를 이미징 검출기(512) 상으로 투영하기 위한 이미징 광학계(510)를 향해 출력 빔의 부분을 반사하도록 배열될 수도 있다. 이미징 광학계는 튜브 렌즈, 개구들, 필드 스톱(field stop)들, 공간적 광 변조기들, 편광 광학계(예컨대, 분석기들 및 파장판들) 등과 같은, 출력 빔을 성형하기 위한 임의의 컴포넌트들을 포함할 수도 있다. 이미징 광학계(510)는 출력 빔을 2D 검출기(512)(예컨대, CCD 카메라 또는 CMOS 카메라) 상으로 보내도록 설계될 수도 있다. 이미징 검출기(512)는 기판에 대해 광학적으로 공액(conjugate)인 평면 내에 있다. 산란계측 데이터의 측정은 또한, 이 경우에 오직 하나의 검출기가 산란계측 및 이미지 신호 검출 모두를 위해 이용될 수도 있는 필드 공액 평면에서 행해질 수 있다.
검출기들(512 및 516)은 검출된 출력 빔에 기초하여 샘플의 대응하는 신호들을 생성한다. 시스템(500)은 또한, 본원에서 설명된 다양한 이미징 및 산란계측 기법들을 구현하는, 검출된 출력 빔들/신호들을 분석하도록 구성되는 이미징 분석기(520) 및 산란계측 분석기(518)를 포함할 수도 있다. 이미징 및 산란계측 분석기들은 하나 이상의 프로세서들 및 메모리를 포함할 수도 있다.
하나의 실시형태에서, 이미징 및 산란계측 분석기들은 본원에서 추가로 설명된 바와 같이 데이터를 성형한다. 예를 들어, 이미징 데이터는 이러한 산란계측 분석기에 의한 이용을 위해 산란계측 분석기로 공급될 수도 있고, 그 반대도 마찬가지이다.
또한, 산란계측 측정 및/또는 이미징 측정은, 측정된 특성 또는 파라미터를 추론하거나, 시스템을 캘리브레이팅하거나, 시스템에서 하나의 검출기로부터 SLM들과 같은 다른 또는 광학적 컴포넌트들로 정보의 포워드(forward)/백워드(backward)/측방(sideways)을 공급하기 위해, 계산들이 필드(field) 및 동공 이미지들 모두에 기초할 수 있도록, 동공 이미지 또는 필드 이미지를 이용할 수도 있다.
조합 타겟들을 측정하기 위한 또 다른 예의 조합의 이미징 및 산란계측 시스템 및 기법들은 Kandel 등에 의해 2013년 5월 14일자로 등록된 미국 특허 제8,441,639호에서 추가로 설명되고, 이 특허는 그 전체적으로 참조로 본원에 편입된다.
시스템의 구성과 관계 없이, 그것은 범용 검사 동작들 및/또는 본원에서 설명된 발명 기법들을 위한 데이터, 프로그램 명령들을 저장하도록 구성된 하나 이상의 메모리들 또는 메모리 모듈들을 채용할 수도 있다. 프로그램 명령들은 오퍼레이팅 시스템(operating system) 및/또는 하나 이상의 애플리케이션들의 동작을 제어할 수도 있다. 메모리 또는 메모리들은 또한, 타겟들로부터 획득된 이미징 및 산란계측 데이터 및 오버레이 에러 결과들 및 임의적으로, 다른 오버레이 측정 데이터를 저장하도록 구성될 수도 있다.
이러한 정보 및 프로그램 명령들은 본원에서 설명된 시스템들/방법들을 구현하기 위해 채용될 수도 있으므로, 본 발명의 실시형태들은 본원에서 설명된 다양한 동작들을 수행하기 위한 프로그램 명령들, 상태 정보 등을 포함하는 머신 판독가능 매체들에 관한 것일 수도 있다. 머신-판독가능 매체들(machine-readable media)의 예들은 하드 디스크들, 플로피 디스크들, 및 자기 테이프와 같은 자기 매체들; CD-ROM 디스크들과 같은 광학적 매체들; 플롭티컬 디스크(floptical disk)들과 같은 자기-광학적 매체들; 및 판독-전용 메모리 디바이스들(ROM) 및 랜덤 액세스 메모리(RAM)와 같이, 프로그램 명령들을 저장하고 수행하도록 특수하게 구성되는 하드웨어 디바이스들을 포함하지만, 이것으로 제한되지 않는다. 프로그램 명령들의 예들은 컴파일러(compiler)에 의해 생성된 것과 같은 머신 코드(machine code)와, 인터프리터(interpreter)를 이용하여 컴퓨터에 의해 실행될 수도 있는 더욱 하이 레벨 코드를 포함하는 파일들 모두를 포함한다.
조합 산란계측 및 이미징 타겟들은 임의의 수의 방법들로 이용될 수 있다. 일반적으로, 이러한 조합 타겟들은 동일한 타겟으로의 이미징 및 산란계측 접근법모두를 이용하여 계측을 수행하기 위해 이용될 수 있다. 각각의 타겟에 대한 이미징 및 산란계측 측정들은 예를 들어, 조합 이미징 및 산란계측 계측 툴로 순차적으로 또는 동시에 수행될 수도 있다. 동시 접근법에서, 조합 계측 시스템은 2 개의 서브-시스템들의 포커스가 동일한 평면 상에 공동-위치(co-locate)되도록 동작될 수 있다. 동시 측정은 감소된 측정 시간뿐만 아니라, 감소된 시스템 및 타겟 요동들(예컨대, 타겟 상의 동일한 포인트에 대한 중심화)로 인한 증가된 일치를 달성할 수도 있다.
이러한 타겟들을 위한 몇몇 애플리케이션들이 있다. 예를 들어, 이미징 및 산란계측 채널들 모두는, 가장 강인한 측정을 산출할 측정 파라미터들(예컨대, 파장, 편광, 조명 개구 및 추가의 것)의 조합의 최적화를 가능하게 할 수도 있는 측정 레시피 개발 동안에 이용될 수 있다. 추가적으로, 각각의 타입의 측정은 어떤 상황 하에서 연관된 단점들을 가질 수도 있다. 예를 들어, 산란계측은 비대칭성에 민감한 반면, 이미징은 그렇지 않다. 또 다른 예에서, 이미징은 컨트라스트(contrast)에 민감한 반면, 산란계측은 그렇지 않다.
도 6은 본 발명의 하나의 실시형태에 따른, 레시피 개발 절차(600)를 예시하는 플로우차트이다. 먼저, 상이한 알려진 오버레이 에러들을 갖는 참조 타겟들을 갖는 테스트 샘플은 동작(602)에서 제공될 수도 있다. 다음으로, 계측 툴 파라미터들의 제 1 세트는 동작(604)에서 선택될 수도 있다. 이 계측 파라미터들은 계측 툴을 동작시키기 위해 구성가능한 임의의 적당한 설정들을 포함할 수도 있다. 예들로서, 계측 파라미터들은 파장 범위, 편광, 입사 빔의 특정한 부분들이 샘플에 도달하는 것을 차단하거나 허용하기 위한 입사 범위의 조명 개구 구성 또는 각도, 필드 또는 동공 공액 평면 중 어느 하나에서 조명 빔 또는 집광된 빔의 진폭 또는 위상 분포들 등에 대한 설정들을 포함할 수도 있다.
다음으로, 각각의 타겟은 동작(606)에서, 이미징 및 산란계측을 이용하여 계측 파라미터들의 현재의 세트 하에서 측정될 수도 있다. 각각의 타겟에 대한 이미징 및 산란계측 측정들은 예를 들어, 조합 이미징 및 산란계측 계측 툴로 순차적으로 또는 동시에 수행될 수도 있다.
이미징 기법에 대하여, 각각의 타겟은 각각의 타겟 이미지에 기초하여 오버레이를 결정하기 위해 이미징된다. 다음의 이미지-기반 오버레이 절차는 180° 회전 COS를 갖는 구조체들을 갖는 타겟에 대하여 설명되지만, 물론, 이 절차는 미러 대칭성을 갖는 구조체들에 대하여 용이하게 수정될 수도 있다. 이 절차는 또한, 예시된 바와 같은 2 개의 상이한 층들 상에서의 오버레이 에러가 아니라, 동일한 층 상의 구조체들의 2 개의 세트들 사이의 정렬 에러를 결정하기 위해 적용될 수도 있다. 참조 타겟들은 본원에서 설명된 바와 같은 임의의 적당한 조합 이미징 및 산란계측 타겟일 수도 있다.
각각의 타겟에 대한 하나의 구현예에서, X 또는 Y 타겟 구조체들 중 어느 하나의 중심은 검사 툴의 FOV의 중심으로 먼저 이동될 수 있다. 다음으로, 각각의 층의 관심 영역(region of interest; ROI)들이 결정될 수도 있다. 도 1b의 x 타겟 구조체들은 이미지-기반 오버레이 프로세스를 예시하기 위해 이용될 것이다. 예를 들어, 각각의 층에 대한 4 개의 ROI들은 제 1 층에 대한 점선들(102a, 102b, 112a, 및 112b) 및 제 2 층에 대한 점선들(104a, 104b, 114a, 및 114b)에 의해 표현된 바와 같이, 도 1b의 x 방향 타겟 구조체들에 대하여 형성될 수도 있다. 점선(130)은 검사 툴의 FOV를 나타낼 수도 있는 반면, 십자표시(125)는 x 타겟 구조체들의 중심을 나타낸다.
제 1 및 제 2 층들로부터의 구조체들 각각의 세트에 대한 COS는 임의의 적당한 기법을 이용하여 결정될 수도 있다. 예를 들어, 에지 기법(edge technique)은 각각의 층에서의 구조체들에 대한 COS를 결정하기 위해 사용될 수도 있다. 하나의 실시형태에서는, 각각의 ROI의 외부 에지들이 결정될 수도 있고, 그 다음으로, 에지들은 구조체들 각각의 세트의 외부 에지들 사이(예컨대, ROI들(102a 및 112b)에서의 구조체들의 외부 에지들 사이)의 중심 위치를 구하기 위해 그 다음에 이용된다.
또 다른 COS 결정 기법은 상관 기법으로서 지칭된다. 이 기법에서, 초기 COS 위치는 각각의 층의 구조체들의 ROI들 사이에서 추정될 수도 있다. ROI들 내의 구조체들(102a 내지 102b 및 112a 내지 112b)의 쌍에 대하여 도시된 바와 같이, COS(125)의 초기 추정치는 이러한 구조체들 사이에 위치될 수도 있다. 다음으로, 2 개의 선형 어레이들은 초기 COS로부터 동일한 거리들에 있ㄴ느 위치들에서 구조체들의 2 개의 세트들에 걸쳐 측정함으로써 획득된다. 구조체들(102a 내지 102b 및 112a 내지 112b)은 2 개의 피크 강도 값들을 갖는 주기적 신호로 각각 귀착되는 경향이 있을 것이다. 다음으로, 2 개의 획득된 선형 어레이들은 수직으로 그리고 수평으로 플립핑(flip)되며 일치되고, 곱셈과 같은 정정의 메트릭이 계산된다. 어레이들은 서로에 대하여 이동되고, 메트릭은 각각의 오프셋에 대하여 계산된다. 다음으로, 메트릭이 도표화되고, 정확한 COS는 정정 메트릭의 최대치를 구함으로써 위치된다. 지능형 검색 알고리즘들(예컨대, 2 진 검색)은 또한, 정확한 COS 위치를 효율적으로 위치시키기 위해 이용될 수도 있다. 달리 말하자면, 각각의 층의 각각의 ROI 세트에 대하여, 그 180° 회전 대응부가 초기 COS에 기초하여 자동으로 배치된다. 각각의 층에 대한 COS는 최상의 상관이 각각의 층의 회전된 이미지와 원래의 이미지들 사이에서 구해질 때까지 계속적으로 이동된다. 최상의 상관이 구해진 후, 각각의 층에 대한 COS가 구해진다. 상이한 층의 COS들 사이의 차이는 이러한 상이한 층들에 대한 오버레이 에러로서 정의될 수도 있다.
산란계측은 또한, 각각의 타겟의 각각의 셀로부터의 검출된 신호들에 기초하여, 오버레이와 같은 파라미터를 결정하기 위해 각각의 타겟에 대하여 수행될 수도 있다. 오버레이 예에서, 모델을 요구하지 않는 오버레이 산란계측은 2 개의 층들로부터의 2 개의 구조체들 또는 인터레이싱된(interlaced) 그레이팅 구조체들의 그레이팅-상-그레이팅(grating-on-grating) 타입에 대해 수행될 수 있다. 조합 타겟에 대하여, 각각의 주기적 산란계측 그레이팅은 2 개의 층들 또는 별도로 생성된 층들에서 형성되는 그레이팅-상-그레이팅 또는 인터레이싱된 그레이팅의 형태를 취할 수도 있다. 어느 하나의 경우에 있어서, 2 개의 상이한 층들에서의 그레이팅 구조체들은 미리 정의된 양만큼 서로로부터 오프셋된다. 오버레이를 제외한 다른 파라미터들을 결정하기 위한 타겟들에 대하여, 물론, 산란계측 구조체들은 그레이팅-상-그레이팅이거나 인터레이싱될 필요가 없다.
오버레이 예에서, 도 1a, 도 3, 및 도 4의 산란계측 구조체들은 그레이팅-상-그레이팅 구조체들의 형태로 되어 있을 수도 있다. 도 7 (a)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 +f만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다. 각각의 층 L1 및 L2는 구조체들의 세트로 패턴화된다. 구조체는 컨택들 또는 다른 타입의 구조체들의 라인, 트렌치(trench), 또는 행(row)과 같은 임의의 적당한 그레이팅 특징부를 포함할 수도 있다. 구조체는 반도체 디바이스 특징부와 유사하도록 설계될 수도 있다. 구조체는 또한, 상이한 특징부들의 조합으로 형성될 수도 있다. 또한, 구조체는 샘플의 임의의 층 상에, 예컨대, 샘플의 상부 층의 위에, 샘플의 임의의 층 내에, 또는 샘플의 층 내에 부분적으로 또는 완전히 중 어느 하나로 위치될 수도 있다. 도 7(a)의 예시된 실시형태에서, 층 L1은 완전한 구조체들(704a 내지 704c)을 포함하는 반면, 층 L2는 완전한 구조체들(702a 내지 702c)을 포함한다.
도시된 바와 같이, 상부 층 L2의 구조체들은 하부 층 L1의 구조체들로부터 양 +f만큼 오프셋된다. 2 개의 오프셋 층들의 구조체들은 인접한 층들 내에 위치될 수도 있거나, 2 개의 오프셋 층들 사이에 배치된 임의의 적당한 수 및 타입들의 층들을 가질 수도 있다. 도 7(a)는 또한, 패턴화된 층들 L1 및 L2와 그 대응하는 구조체들 사이의 3 개의 막들 T1, T2, 및 T3을 도시한다. 임의의 다른 층들이 구조체들을 갖는 2 개의 층들 사이에 존재하는 한도까지, 이 다른 층들은 구조체들을 갖는 층들 사이의 방사선의 전파를 허용하기 위한 전자기 방사선의 적어도 최소 투과도(degree of transmission)를 나타낸다. 매개 층들이 불투명할 경우, 이 층들은 전형적으로, 그 토포그래피(topography)로부터 반사된 방사선의 측정을 허용하기 위해 하부 그레이팅에 의해 유발된 토포그래피의 최소 정도를 가질 것이다.
도 7 (b)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 +f 및 오버레이 에러 +ε만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다. 도 7 (c)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 -f만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다. 도 7 (d)는 본 발명의 하나의 실시형태에 따른, 패턴화된 하부 층 L1로부터, 미리 정의된 오프셋 -f 및 오버레이 에러 +ε만큼 오프셋되는 패턴화된 상부 층 L2의 측면도 예시이다.
임의의 적당한 기법은 각각의 참조 타겟으로부터 오버레이를 결정하기 위해 이용될 수도 있다. 하나의 실시형태에서, 타겟들은 셀들로 이루어진다. 각각의 셀은 제 1 프로세스에 의해 형성된 제 1 그레이팅 구조체와, 제 2 프로세스에 의해 형성된 제 2 그레이팅 구조체를 적어도 포함하고, 여기서, 각각의 셀은 이러한 각각의 셀의 제 1 및 제 2 그레이팅 구조체들 사이에서 미리 정의된 오프셋을 가진다. 상이한 셀들의 제 1 및 제 2 그레이팅 구조체들은 동일하거나 상이한 미리 정의된 오프셋들을 가질 수도 있다. 예를 들어, 각각의 셀의 각각의 미리 정의된 오프셋은 하나 이상의 항들로 하여금, 각각의 셀로부터 산란되고 측정된 방사선을 나타내는 주기적 함수로부터 상쇄되게 하도록 선택될 수도 있다. 각각의 셀의 산란된 방사선은 예를 들어, 알려지지 않은 오버레이 에러를 포함하는 복수의 알려지지 않은 파라미터들을 갖는 주기적 함수로 표현될 수도 있고, 알려지지 않은 오버레이 에러는 복수의 셀들에 대한 복수의 주기적 함수들의 분석에 기초하여 결정된다.
산란계측 접근법에서, 하나 이상의 산란된 스펙트럼들은 미리 정의된 오프셋들을 갖는 타겟의 각각의 셀(또는 각각의 서브-셀)로부터 측정된다. 예를 들어, 입사 방사선 빔은 이러한 구조체들로부터 산란된 방사선을 측정하기 위해, 미리 정의된 오프셋을 갖는 셀 구조체들 각각을 향해(또는 각각의 셀의 각각의 서브-셀 구조체로) 보내진다. 도 1a, 도 3, 및 도 4의 타겟들은 상이한 사분면들에서의 상이한 셀들로 형성될 수도 있다. 측정들은 측정 시스템의 기능들에 따라 순차적으로 또는 동시에 수행될 수도 있다. 입사 빔은 레이저, 발광 다이오드(light emitting diode; LED), 또는 광대역 방사선과 같은 전자기 방사선의 임의의 적당한 형태일 수도 있다.
본 발명의 산란계측 기법들은 복수의 셀들 또는 서브-셀들로부터의 측정된 스펙트럼들 또는 산란된 방사선을 사용하는 것으로서 설명되지만, 오버레이 타겟으로부터 획득된 임의의 적당한 타입의 측정가능한 신호는 본 발명의 기법들을 실시하기 위해 이용될 수도 있다. 일 예의 신호들은, Ψ, Δ, Rs(s 편광의 복합 반사율), Rp(p 편광의 복합 반사율), Rs(|rs|2), Rp(|rp|2), R(비편광된 반사율), α(분광 "알파" 신호), β(분광 "베타" 신호), 및 tan(Ψ), cos(Δ), ((Rs-Rp)/(Rs+Rp)) 등과 같은 이 파라미터들의 함수들을 포함하는 임의의 타입의 분광 타원계측(ellipsometry) 또는 반사계측(reflectometry) 신호들을 포함하지만, 이것으로 제한되지는 않는다. 신호들은 대안적으로 또는 추가적으로, 입사 각도, 검출 각도, 편광, 입사 방위각, 검출 방위각, 각도 분포, 위상, 또는 파장, 또는 하나보다 많은 이 파라미터들의 조합의 함수로서 측정될 수 있다. 신호들은 또한, 복수의 상기 설명된 타원계측 및/또는 반사계측 신호 타입들 중 임의의 것의 평균 값과 같은 신호들의 조합의 특성화일 수 있다. 신호들은 대안적으로, 강도 값(들) 또는 강도 값들의 조합(예컨대, 평균 또는 추가)과 같은 하나 이상의 신호(들)의 특성의 형태를 취할 수도 있다. 다른 실시형태들은 신호들 중 적어도 하나가 다수의 파장들이 아니라 단일 파장에서 획득될 수도 있는 단색 또는 레이저 광 소스들을 이용할 수도 있다.
측정들이 각각의 타겟으로부터 획득된 후, 각각의 측정된 스펙트럼들 또는 신호(또는 서브-셀 스펙트럼들의 세트)는 그 다음으로, 퓨리에 급수(Fourier series)와 같은 주기적 함수로 표현될 수 있다. 이 함수에서, 하나 이상의 항들은 부분적으로, 미리 정의된 오프셋들로 인해 상쇄될 수도 있다.
다음으로, 대표적인 함수들은 오버레이 에러 ε를 결정하기 위해 분석될 수도 있다. 예를 들어, 복수의 주기적 함수들은 알려지지 않은 오버레이 에러를 포함하는 복수의 알려지지 않은 것들을 각각 포함하고, 이 주기적 함수들은 알려지지 않은 오버레이 에러를 결정하기 위해 이용될 수 있다. 셀들(또는 서브-셀들)로부터의 측정된 스펙트럼들은 하나보다 많은 층에서 적어도 부분적으로 위치된 구조체들의 오버레이를 결정하기 위해 이용될 수도 있지만, 또한, 단일 층에서 실질적으로 위치된 구조체들의 오버레이를 결정하기 위해 이용될 수 있다.
다른 접근법들은 측정된 산란계측 신호들에 기초하여, CD, 포커스, 도스 등과 같은 다른 파라미터들을 결정하기 위해 이용될 수도 있다. 예를 들어, 모델들은 측정된 산란계측 신호에 기초하여 하나 이상의 타겟 파라미터들을 계산하기 위해 이용될 수도 있다.
도 6의 레시피 개발 프로세스를 다시 참조하면, 동작(608)에서, 더 많은 계측 파라미터 조합들이 있는지 여부가 결정될 수도 있다. 레시피 개발 동안에 탐구하기 위한 계측 툴 파라미터 조합들의 복수의 세트들이 있을 수도 있다. 더 많은 계측 파라미터들 조합들이 있을 경우, 동작(604)에서, 새로운 세트가 선택될 수도 있고, 동작(606)에서, 이미징 및 산란계측 측정들이 이러한 새로운 세트에 대하여 획득될 수도 있다. 예를 들어, 새로운 파장 범위가 선택될 수도 있고, 다른 계측 파라미터들은 후속 측정(및 분석) 동안에 변경되지 않고 유지된다. 또 다른 예에서, 각각의 파라미터는 변동될 수도 있고, 다른 파라미터들의 상이한 조합들과 조합될 수도 있다. 상이한 조합들은 임의의 수의 고정된 또는 가변적인 파라미터들을 포함할 수도 있다.
더 많은 계측 파라미터 조합들이 없을 경우, 동작(612)에서, 레시피는 계측 파라미터들 조합을 선택함으로써, 그리고 최적의 오버레이 결과들로 귀착되는 산란계측 및/또는 이미징 모드를 선택함으로써 결정될 수도 있다. 일반적으로, 알려진 오버레이 에러들과 가장 근접하게 일치하는 오버레이로 귀착되는 계측 파라미터들의 조합은 레시피로서 선택된다. 다음으로, 동작(614)에서, 레시피는 생산 샘플들에 대한 계측을 수행하기 위해 이용될 수도 있고, 레시피 개발 절차는 종료된다.
또 다른 예에서는, 참조 샘플에 대한 산란계측 및 이미징 측정들로부터의 오버레이 에러 결과들 사이의 오프셋이 결정될 수도 있다. 생산 동안, 오프셋은 다음으로, 다른 결과들에 대하여 이미징 또는 산란계측 결과들 중 어느 하나를 캘리브레이팅하기 위해 이용될 수도 있다. 예를 들어, 오직 산란계측 측정들이 획득되도록, 오프셋은 생산 동안에 산란계측 결과들에 적용될 수도 있다. 오직 산란계측을 이용하는 것은 계측 시간을 상당히 감소시킬 것이고 더욱 정확한 오버레이 결과들을 허용할 것이다.
또 다른 애플리케이션에서, 산란계측 및 이미징은 제 1 산란계측/이미징 모드로부터 또 다른 제 2 산란계측/이미징 모드로 계측 결과들의 하나의 세트의 피드백(feedback) 또는 피드포워드(feedforward)를 제공하기 위해 순차적으로 수행될 수 있다. 즉, 측정들은 결과들을 다음 측정으로 공급하는 것을 용이하게 하기 위해 임의의 순서로 순차적으로 수행될 수도 있다. 구체적으로, 공급하는 것은 모델 구축 및 평가를 용이하게 하기 위해, 예를 들어, 포워드, 백워드, 또는 측방으로 뿐만 아니라, OVL 계산 알고리즘으로 직접적으로 수행될 수도 있다.
도 8은 본 발명의 하나의 예의 구현예에 따른, 순차적인 오버레이 계측을 위한 절차를 예시하는 플로우차트이다. 먼저, 동작(802)에서, 이미징 또는 산란계측 측정 중 어느 하나가 획득될 수 있다. 동작(804)에서, 이미징 오버레이는 이미징 측정으로부터 결정될 수도 있거나, 산란계측 오버레이는 산란계측 측정으로부터 결정될 수도 있다. 다음으로, 동작(806)에서, 다른 이미징 또는 산란계측 오버레이는 이 제 1 결정된 오버레이에 기초하여 결정될 수도 있다. 예를 들어, 이미징 오버레이가 먼저 결정될 경우, 산란계측 오버레이는 비대칭성에 기인하는 산란계측 오버레이의 부분을 결정하기 위해 이 이미징 오버레이와 비교될 수도 있다. 즉, 이미징은 비대칭성에 의해 영향받지 않는 기본 오버레이로서 이용될 수 있다.
추가적으로, 측벽 각도 측정과 같은 임의의 적당한 이미징 측정은 산란계측 결정에 악영향을 줄 수도 있는 비대칭성 또는 다른 쟁점들을 참작하기 위해 산란계측 기법으로 공급될 수 있다. 일부 경우들에는, 비대칭성 또는 막 두께 변동과 같은 이미징 속성이 정량화될 수 있고, 어떤 타겟들에 대한 산란계측 오버레이를 조절하거나 억제하기 위해 이용될 수 있다. 예를 들어, 특정한 타겟은 다른 타겟들과 비교하여 미리 정의된 양을 초과하는 막 두께 변동에 대응하는 이미지 컨트라스트와 연관될 수도 있다. 특정한 타겟들은 산란계측 오버레이에 대하여 선택될 수 있는 반면, 다른 타겟들은 무시될 수도 있고 산란계측 접근법으로 측정되지 않을 수도 있다.
다른 접근법들에서, 비대칭성 또는 중력 중심에서의 시프트의 양은 이미징 측정으로부터 결정될 수 있고, 이러한 중력 중심을 "진짜" 오버레이로 다시 조절하기 위해 산란계측 오버레이 결정으로 공급될 수 있다. "가짜(false)" 오버레이는 미리 정의된 오프셋과 유사한 방식으로 위에서 설명된 바와 같이, 산란계측 오버레이를 결정하기 위해 이용되는 수학식들에서 이용될 수 있다.
다른 실시형태들에서, 특정한 타겟 타입에 대한 정량화된 이미지 속성은 이러한 타겟 타입에 대한 오버레이를 결정하기 위해 이용되는 산란계측 모델 내에 포함될 수도 있다. 예를 들어, 상이한 가중치들은 정량화된 속성 값에 기초한 오버레이 결정을 위한 모델에서 이용될 수도 있다.
산란계측 및 이미지 신호들은 또한, 오버레이 에러와 같은 파라미터들을 계산하는 신호 응답 모델(signal response model; SRM)을 트레이닝시키기 위해 이용될 수 있다. 이러한 모델의 예는 "Statistical Model-Based Metrology"라는 명칭으로 Pandev 등에 의해 2014년 3월 24일자로 출원된 미국 출원 제2014/0297211호에서 포함되고, 이러한 출원은 그 전체적으로 참조로 본원에 편입된다. 하나의 구현예에서, 이미징 및 산란계측 검출기들로부터의 신호들은 측정 모델로의 입력으로서 함께 이용된다.
트레이닝 프로세스에 대하여, 예를 들어, DOE 웨이퍼는 일반적으로, 상이한 오버레이 값들과 같은 다양한 제조 파라미터들 하에서 개발되었던 복수의 DOI 포인트들을 포함할 수 있다. 실험-설계(design-of-experiment; DOE) 데이터의 세트는 DOE 포인트들 각각에 대한 이미징 및 산란계측 검출기로부터 수집될 수도 있다. SRM 모델은 생산 웨이퍼로부터의 이미징 및 산란계측 측정들 모두에 기초하여 오버레이 에러와 같은 파라미터를 계산하기 위해, 이러한 DOE 데이터에 기초하여 트레이닝될 수도 있다. SRM-기반 기법은 오버레이 측정들에 오직 제한되지는 않고, CD, 포커스, 도스 등으로 확장될 수 있다. 이 확장의 경우들에는, 추가적인 DOE(FEM 웨이퍼)가 포토레지스트 그레이팅에 대하여 제공될 수도 있다.
도 9는 본 발명의 하나의 실시형태에 따른, 이러한 타겟 및 SRM 모델로부터의 이미징 및 산란계측 측정들 모두에 기초하여 타겟으로부터 하나 이상의 파라미터들을 결정하는 프로세스(900)를 예시하는 플로우차트이다. 먼저, 동작(902)에서, 하나 이상의 파라미터들의 알려진 변동들을 갖는 참조 샘플 상의 각각의 부위로부터의 산란계측 및 이미징 측정들의 제 1 양이 수신된다. 측정된 부위들은 적어도 하나의 프로세스 파라미터, 구조체 파라미터, 또는 양자의 알려진 변동들을 나타낸다. 각각의 부위는 본원에서 설명된 바와 같이, 예를 들어, 이미징 및 산란계측 구조체들을 갖는 타겟을 포함한다.
일부 실시형태들에서, 프로세스 파라미터 변동들은 반도체 웨이퍼(예컨대, DOE 웨이퍼)의 표면 상에서의 실험설계(Design of Experiments; DOE) 패턴 내에 편성된다. 이러한 방식으로, 측정 부위들은 상이한 프로세스 파라미터 값들에 대응하는 웨이퍼 표면 상의 상이한 로케이션들을 심문(interrogate)한다. 하나의 예에서, DOE 패턴은 포커스/노광 행렬(Focus/Exposure Matrix; FEM) 패턴이다. 전형적으로, FEM 패턴을 나타내는 DOE 웨이퍼는 측정 부위들의 격자무늬 패턴을 포함한다. 하나의 격자무늬 방향(예컨대, x-방향)에서, 노광 도시지(exposure dosage)는 변동되는 반면, 포커스의 심도(depth)는 일정하게 유지된다. 직교적인 격자무늬 방향(예컨대, y-방향)에서, 포커스의 심도는 변동되는 반면, 노광 도시지는 일정하게 유지된다. 이러한 방식으로, DOE 웨이퍼로부터 수집된 측정 데이터는 포커스 및 도시지 프로세스 파라미터들에서의 알려진 변동들과 연관된 데이터를 포함한다. 추가의 실시형태들에서, 측정 데이터는 오버레이, CD 등과 같은 알려진 구조체 변동들에 대응한다.
다음으로, 동작(904)에서, 하나 이상의 특징들은 측정 데이터의 제 1 양으로부터 임의적으로 추출될 수 있다. 일부 예들에서, 측정 데이터는 상이한 측정 부위들에서 존재하는, 프로세스 파라미터, 구조적 파라미터들, 또는 양자에 있어서의 변동들을 가장 강력하게 반영하는 특징들을 추출하기 위해, 주요 성분 분석(Principal Components Analysis; PCA) 또는 비-선형 PCA를 이용하여 분석된다. 일부 다른 예들에서, 신호 필터링 기법은 상이한 측정 부위들에서 존재하는 파라미터 변동들을 가장 강력하게 반영하는 신호 데이터를 추출하기 위해 적용될 수도 있다. 일부 다른 예들에서, 상이한 측정 부위들에서 존재하는 파라미터 변동들을 가장 강력하게 반영하는 개별적인 신호들은 측정 데이터에서 존재하는 다수의 신호들로부터 선택될 수도 있다. 후속 분석 대상인 데이터의 차원을 감소시키기 위해 측정 데이터로부터 특징들을 추출하는 것이 바람직하지만, 그것은 엄격하게 필요하지는 않다.
다음으로, 동작(906)에서, SRM 모델은 데이터의 제 1 양에 기초하여 결정될 수도 있다. SRM 모델은 하나 이상의 측정 부위들에서 계측 시스템에 의해 생성된 측정 데이터를 수신하고, 각각의 측정 타겟과 연관된 프로세스 파라미터 값들, 구조적 파라미터 값들, 또는 양자를 직접적으로 결정하도록 구성된다. 바람직한 실시형태에서, SRM 모델은 신경망 모델(neural network model)로서 구현된다. 하나의 예에서, 신경망의 노드들의 수는 측정 데이터로부터 추출된 특징들에 기초하여 선택된다. 다른 예들에서, SRM 모델은 다항식 모델, 응답 표면 모델(response surface model), 또는 다른 타입들의 모델들로서 구현될 수도 있다.
동작(907)에서, 예상된 응답 모델은 또한, 측정 데이터가 그로부터 수집되는 측정 부위들에 걸쳐 변동되는 것으로 알려지는 파라미터들 각각에 대하여 생성될 수도 있다. 일반적으로, 예상된 응답 모델은 알려진 변동되는 파라미터들의 값들을 웨이퍼 표면 상의 로케이션의 함수로서 정의한다. 이러한 방식으로, 예상된 응답 모델은 주어진 파라미터에 대한 웨이퍼 맵의 예상된 전체적인 형상을 정의한다.
특정 예에서, 포커스 및 도스에 대한 알려진 파라미터 값들은 DOE 웨이퍼의 x 및 y 좌표들에 따라 선형으로 변경된다. 일부 예들에서, DOE 웨이퍼 상의 포커스 파라미터에 대한 예상된 응답 형상은 웨이퍼의 중간에서 제로 교차(zero crossing)를 갖는 x-방향에서의 틸트된 평면(tilted plane)이다. 하나의 예에서, 포커스 파라미터 값을 결정하는 예상된 응답 함수는 focus=a*x+b이고, 여기서, a 및 b는 각각의 측정 부위에서 알려진 포커스 파라미터 값들에 대한 최상의 맞춤을 인식하는 계수들이다. 유사하게, DOE 웨이퍼 상의 노광 파라미터에 대한 예상된 응답 형상은 웨이퍼의 중간에서 제로 교차를 갖는 y-방향에서의 틸트된 평면이다. 또 다른 예에서, 노광 파라미터 값을 결정하는 예상된 응답 함수는 exposure=c*y+d이고, 여기서, c 및 d는 각각의 측정 부위에서 알려진 노광 파라미터 값들에 대한 최상의 맞춤을 인식하는 계수들이다.
일부 다른 예들에서는, 하나 이상의 구조적 파라미터들이 측정되어야 한다. 기하학적 파라미터에 대하여, 웨이퍼 맵의 형상은 더욱 복잡할 수도 있고, 종종, 형상은 프로세스에 의해 정의된다. 이 예들 중 일부에서, 예상된 응답 모델은 측정된 DOE 웨이퍼와 연관된 알려진 프로세스 파라미터 값들에 기초하여 생성된다.
또 다른 실시형태에서, 각각의 측정 부위에서의 알려진 프로세스 파라미터 값들 각각과 연관된 예상된 구조적 파라미터 값들은 시뮬레이션에 기초하여 결정된다. 예를 들어, 프로세스 시뮬레이터(process simulator)는 프로세스 파라미터 값들의 주어진 세트에 대한 구조적 파라미터(즉, 기하학적 또는 재료 파라미터)의 예상된 응답을 정의하기 위해 채용된다. 예시적인 프로세스 시뮬레이터는 KLA-Tencor 코퍼레이션(Corporation), Milpitas, Calif. (USA)로부터 입수가능한 포지티브 레지스트 광학적 리소그래피(Positive Resist Optical Lithography; PRO LITH) 시뮬레이션 소프트웨어를 포함한다. 이 예시적인 리소그래피 프로세스 모델은 PROLITH 소프트웨어를 이용하여 생성되지만, 일반적으로, 임의의 프로세스 모델링 기법 또는 툴이 구상될 수도 있다. 일부 예들에서, 각각의 측정 부위에서의 예상된 구조적 파라미터 값들은 각각의 측정 부위에 대응하는 대응하는 포커스 및 노광 파라미터 값들에 기초하여 결정된다. 일부 예들에서, 예상된 응답 모델은 2 차원(예컨대, {x,y}) 맵 함수를 각각의 측정 부위와 연관된 구조적 파라미터 값들에 맞춤으로써 결정된다.
다음으로, 동작(908)에서, SRM 모델은 예상된 응답 모델로부터 결정된 파라미터 값들에 기초하여 트레이닝될 수도 있다. 이러한 방식으로, 예상된 응답 모델 내에 내장된 프로세스 정보는 프로세스 공간 내에서 SRM 모델을 제약하기 위해 이용된다. 이러한 방식으로, 트레이닝된 SRM 모델은 DOE 측정 데이터 및 예상된 응답 모델을 이용하여 생성된다. SRM 모델은 그 출력이 DOE 스펙트럼들에 의해 정의된 프로세스 변동 공간에서 모든 스펙트럼들에 대한 정의된 예상된 응답을 맞추도록 트레이닝될 수 있다.
일부 예들에서는, 하나 이상의 프로세스 파라미터들이 측정되어야 한다. 이 예들에서, 예상된 응답 모델은 측정된 DOE 웨이퍼와 연관된 알려진 프로세스 파라미터 값들에 기초한다.
다른 실시형태들에서는, DOE 웨이퍼 상에서의 구조적 파라미터의 측정들과 연관된 참조 측정 데이터가 수신된다. 참조 측정 데이터는 스캐닝 전자 현미경(Scanning Electron Microscope; SEM), 터널링 전자 현미경(Tunneling electron Microscope; TEM), 원자간력 현미경(Atomic Force Microscope; AFM), 또는 x-선 측정 시스템과 같은 참조 계측 시스템에 의해 DOE 웨이퍼의 하나 이상의 측정 부위들에서의 타겟들의 측정들로부터 유도된다. 하나 이상의 특징들(예컨대, 형상 함수들)은 위에서 설명된 바와 같이, 측정 데이터로부터 추출된다. 하나의 예에서, 측정된 스펙트럼들의 제 1 주요 성분(principal component; PCI)은 특정한 구조적 파라미터(예컨대, 중간 임계 치수(Middle Critical Dimension; MCD))과 연관된 응답 표면의 전체적인 형상을 설명하기 위해 이용된다. 다음으로, 측정 데이터로부터 추출된 형상 함수(들)는 캘리브레이팅된 응답 표면을 생성하기 위해 기준 측정 데이터에 기초하여 캘리브레이팅될 수 있다. 다음으로, 알려진 구조적 파라미터들의 각각의 예상된 응답 모델은 2 차원(예컨대, {x,y}) 맵 함수를 캘리브레이팅된 응답 표면에 맞춤으로써 결정된다. 하나의 예에서, MCD 파라미터의 예상된 응답 모델은: MCD=a01 + a11 (y+roy2) + a21x2이고, 여기서, x 및 y는 웨이퍼 좌표들이고, a01, a11, rO, a21는 함수를 캘리브레이팅된 형상 함수에 최상으로 맞추는 계수들이다.
SRM 모델이 트레이닝된 후, SRM 모델은 다음으로 이용될 수도 있다. 예시된 예를 다시 참조하면, 다음으로, 동작(910)에서, 각각의 알려지지 않은 타겟으로부터의 산란계측 및 이미징 측정들은 이러한 타겟의 하나 이상의 알려지지 않은 파라미터들을 결정하기 위해 SRM 모델에 입력될 수도 있다.
이미징 및 산란계측 센서들로부터의 개별적인 픽셀들 또는 픽셀들의 그룹들은 SRM 모델로의 신호들로서 이용될 수 있다. 알고리즘은 낮은 상관 및 높은 감도와 같은 기준들에 기초하여 신호들을 선택하기 위해 적용될 수 있다. 가중화는 더욱 양호한 성능을 위해 각각의 개별적인 신호에 적용될 수 있다.
본 발명은 위에서 설명된 구조체들에 의해 제한되지는 않는다. 타겟 내에 포함된 구조체들은 예를 들어, 라인들, 격자무늬들, 직사각형들, 정사각형들, 굴곡된 라인들, 굴곡된 형상들, 원들, 원통 형상들, 원뿔 형상들, 또는 상기한 것의 조합들을 포함하는 다양한 구성들 및 형상들로 편성될 수도 있다. 구조체들의 이러한 구성들은 타겟 내의 다양한 로케이션들에서 배치될 수도 있고, 타겟 상에 입사하는 다양한 방사선에 대한 다양한 각도들을 설명할 수도 있다. 예를 들어, 구조체들의 세트들은 타겟 상에 입사하는 방사선 광선들 또는 빔들의 콜리메이팅된(collimated) 세트의 전파의 방향에 수직인 평행 라인들의 세트로서 편성될 수 있다. 또 다른 경우에는, 평행 라인들의 세트로서 편성된 구조체들이 입사 방사선에 대한 예각으로, 아마도 45 도의 각도로 배치될 수 있다. 이러한 구성은 x 및 y 방향들에서의 오버레이의 결정을 용이하게 할 수도 있음으로써, 추가적인 오버레이 패턴들 또는 측정들에 대한 필요성을 감소시킬 수도 있다. 대안적으로, 입사 방사선은 구조체들을 포함하거나 구조체들을 정의하는 평행 라인들의 적어도 일부에 실질적으로 평행하게 보내질 수 있다. 이 기법은 x 및 y 오버레이 측정들이 샘플을 회전시키지 않으면서 수행되도록 한다.
추가적으로, 임의의 적당한 경로 피치 오버레이 타겟은 조합 이미징 및 산란계측 타겟으로 변환될 수 있다. 예를 들어, 2006년 6월 27일자로 등록된 Ghinovker 등에 의한 미국 특허 제7,068,833호에서 설명된 주기적 구조체들 중 임의의 것은 이 특허의 주기적 이미지 분해가능한 구조체들 중 임의의 것 사이에 밀집된 산란계측 주기적 구조체들을 형성함으로써 조합 그레이팅들로 변환될 수도 있다. 이 특허 미국 특허 제7,068,833호는 그 전체적으로 참조로 본원에 편입된다.
상기 설명된 실시형태들 중 임의의 것에서는, 다음으로, 측정되거나 결정된 오버레이 에러 또는 다른 파라미터가 사양 외부에 있는지 여부가 결정될 수도 있다. 파라미터가 상당(미리 결정된 값보다 더 많음)하지 않을 경우, 타겟이 사양 내에 있는 것으로 결정될 수도 있다. 예를 들어, 상이한 층 구조체들 사이에 오버레이 에러가 없거나 최소의 오버레이 에러가 있는 것으로 결정될 수도 있다.
파라미터가 사양 외부에 있을 경우, 다음으로, 타겟은 사양 외부에 있는 것으로 결정될 수 있다. 예를 들어, 상당한 오버레이 에러가 구조체들의 2 개 이상의 층들 사이에 존재한다. 상당한 파라미터 편차가 구해질 때, 다이(die)는 폐기될 수 있거나, 또는 수리될 수 있다. 프로세스가 사양 외부에 있을 경우, 다수의 기법들은 문제를 완화시키기 위해 구현될 수도 있다. 제 1 기법에서는, 후속 프로세스가 사양 외부에 있는 프로세스를 보상하기 위해 조절될 수도 있다. 추가적인 또는 또 다른 기법에서는, 포토레지스트 패턴이 임의의 부분에서 오정렬되는 것으로 결정될 경우에 오정렬을 제거하기 위해, 다음으로, 포토레지스트는 박리될 수도 있고 정정된 패턴에서 재도포될 수도 있다.
본원에서 설명된 산란계측 및/또는 이미징 기법들로 획득된 파라미터 결과들은 오버레이 에러와 같은 에러들을 최소화하기 위한 리소그래피 스텝퍼(lithography stepper) 설정들에 대한 정정들을 계산하기 위해 이용될 수도 있다. 리소그래피 스텝퍼들 또는 스캐너들을 위한 이 계산된 정정들은 "스텝퍼 커렉터블들(stepper correctables)"로서 통상적으로 지칭된다. 산란계측 및/또는 이미징 측정들로부터 획득된 스텝퍼 커렉터블들은 후속 웨이퍼 프로세싱을 위해 에러를 최소화하기 위한 스텝퍼로의 입력으로서 이용될 수도 있다. 산란계측 및/또는 이미징으로부터 획득된 에러들 또는 스텝터 커렉터블들은, 후속 웨이퍼 프로세싱을 위해 에러들을 최소화하기 위한 스텝퍼로의 입력에 대한 스텝퍼 정정들의 세트를 그 후에 계산할 수도 있는 자동화된 프로세스 제어 시스템으로 입력될 수도 있다. 산란계측 및/또는 이미징으로 획득된 웨이퍼 상의 에러들, 스텝퍼 커렉터블들, 또는 계산된 최악 에러들은 제품 웨이퍼들을 배치하여, 웨이퍼가 재작업을 요구하거나 추가의 웨이퍼 프로세싱을 위한 파라미터 요건들을 충족시키는지를 판단하기 위해 이용될 수도 있다.
본원에서 설명된 타겟 구조체들 및 서브-구조체들은 일반적으로 적당한 포토리소그래픽 기법들을 이용하여 패턴화되고, 리소그래픽 패턴들은 에칭 및 증착과 같은 확립된 프로세싱 기법들을 이용하여 다른 재료들 및 층들로 추후에 전사된다. 가장 간단한 애플리케이션에서, 전사된 패턴들은 에칭되거나 증착된 라인들 또는 비아(via)들을 구성한다. 예를 들어, 구조체들 및 서브-구조체들은 포토레지스트 재료의 형성체들, 리세싱된 공동(recessed cavity) 형성체들, 내장된 트렌치들, 및/또는 웨이퍼 층 내의 다른 구조체들일 수도 있다. 공동들에 의해 형성된 구조체들 및 서브-구조체들은 반도체 제조 프로세스 동안에 층들 중 임의의 것에서 형성된 공동들일 수도 있다. 예를 들어, 공동들은 포토레지스트 층, 유전체 재료 층, 또는 금속 층들에서 형성될 수도 있다. 상기 프로세스들은 제한이 아니고, 임의의 적당한 제조 기법이 이용될 수도 있다는 것에 주목해야 한다.
본원에서 개시된 산란계측 그레이팅들은, 이미징 컴포넌트들을 또한 포함할 수도 있는 임의의 표준 산란계측 장치에 의해 측정될 수 있다. 예를 들어, 이러한 산란계측 타겟 구조체들은 분광 리플렉토미터(reflectometer) 또는 엘립소미터(ellipsometer)를 이용하거나, 동공 이미징을 갖는 각도-분해된 스캐터로미터를 이용하여 측정될 수 있다. 하나의 예의 구현예에서, 특정 회절 차수(전형적으로 제로 또는 제 1 차수)가 측정될 수도 있는 반면, 다른 상이한 회절 차수들은 검출 및 분석되지 않는다. 일부 실시형태들에서, 개시된 타겟들은 임의의 제로 또는 제 1 차수 중 어느 하나로 측정될 수 있지만, 제 1 차수를 이용하는 것이 유익할 것이다. 이 타겟들로부터 제로 차수 반사를 측정하는 것은 오버레이에 매우 둔감할 수 있다. 제 1 차수 회절은 약할 수 있지만, 오버레이에 대한 그 감도는 일반적으로 높다. 최적의 측정 모드는 제 +1 및 제 -1 차수들을 오직 측정하기 위해, 레이저와 같은 매우 밝은 광원을 이용할 수 있다. 또한, 검출기의 극단적인 포화를 회피하기 위해 제로 차수 회절이 검출기에 도달하기 전에 제로 차수 회절을 차단하는 것이 유익할 수도 있다.
SCOL 타겟 그레이팅들에 대하여, (개략적 피치 및 조명 파장에 의해 결정된) 집광 동공에 의해 캡처되는 회절 차수들에 대한 제어는 더 높은 회절 차수들을 이용할 수도 있는 분석 알고리즘들과, 또한, 상이한 배향들 및 차수들 사이의 결합(예컨대, x에서의 제 1 차수는 y에서의 제 1 차수와 결합됨)을 가능하게 할 수 있다. 이 기법은 예를 들어, x 및 y에서의 의도된 오버레이에 있어서 동시에 상이한 (구멍들 격자(holes lattice)와 같은) 2D 격자를 갖는 2 개의 셀들(또는 심지어 하나의 셀) 타겟을 설계하기 위해 이용될 수 있다. 필요할 경우에 상이한 측정 조건들(편광, 파장 등)을 이용함으로써 획득된 정보와 조합되면, 이 작은 타겟은 오버레이 에러에 관한 동일하거나 심지어 더 많은 정보를 제공할 수도 있다.
제 1 차수 산란계측 접근법은 상이한 층들의 상이한 회절 유효성으로 인한 연관된 정밀도 열화를 가질 수도 있다. 보통, 예를 들어, 상부 레지스트 층으로부터 획득된 제 1 회절 차수의 진폭은 하부 프로세스 층으로부터 획득된 제 1 회절 차수의 진폭보다 상당히 더 크다. 동공에서의 대응하는 회절 차수들의 추가의 간섭은 또한, OVL 정보를 포함하는 신호의 큰 DC 및 상대적으로 작은 진폭으로 이어질 수도 있다. 이 문제를 해소하기 위해, 디바이스-유사 타겟은 더 큰 회절 유효성을 갖는 층(보통, 상부 층)에 대응하는 회절 차수의 진폭을 효과적으로 감소시키도록 설계될 수도 있다.
이러한 작은 스폿을 갖는 장치의 공간적 코히어런스(coherence)는 매우 높고, 그러므로, 측정은 광학계에서의 결함들 및 먼지에 매우 민감할 수 있다. 이러한 결함들은 성능 및 정확도 불이익들로 이어질 수도 있는 스펙클(speckle)들을 생성한다. 이러한 불이익들을 회피하기 위해, 검사 툴은 또한, 공간적 코히어런스를 핸들링하기 위한 메커니즘들을 포함할 수도 있다. 예를 들어, 툴은 스캐닝 미러로 동공 평면에서 각도 스캔을 수행하고, 오버레이를 계산하기 위해 스캔에 대하여 신호를 평균화하도록 구성될 수도 있다. 이 스캔은 광학 표면들의 상이한 영역들 상에서 평균화하고, 광학적 결함들 및 먼지의 효과를 상당히 감소시킬 수도 있다. 이러한 스캔의 단점은 그것이 또한, 타겟 상에서 상이한 영역들을 샘플링할 수 있다는 것이다. 따라서, 더 큰 타겟이 이용될 수도 있다.
검사 툴은 또한, 광학계 스캔에 추가하여, (예컨대, 웨이퍼 스테이지에 의한) 별도의 웨이퍼 스캔을 제공하도록 구성될 수도 있다. 웨이퍼 스캔은 광학계 스캔과 동기화될 수 있어서, 동공 평면에서의 광학 스캔에 의해 야기된 필드 평면에서의 조명 스폿 위치 이동은 스테이지에 의해 제공된 타겟 이동에 의해 보상되고, 이것은 광학계의 스캔에 의해 유발된 타겟 스캔을 효과적으로 상쇄시킨다. 최종 결과는, 광학 표면들 상에서 주사하지만, 웨이퍼 상의 단일 위치 상에서 정지되어 있는 장치이다. 고체 침지(solid immersion)는 또한, 본원에서 개시된 타겟들의 측정을 위해 이용될 수도 있다. 이 장치는 예를 들어, 대물 렌즈와 웨이퍼 사이에 추가적인 고체 침지 렌즈를 갖는 각도 분해된 스캐터로미터를 포함할 수도 있다. 고체 침지 렌즈는 평면형 전방 표면을 갖는 구면수차제거 렌즈(aplanatic lens)일 수도 있다.
상기한 발명은 이해의 명확성의 목적들을 위해 약간 상세하게 설명되었지만, 어떤 변경들 및 변형들은 첨부된 청구항들의 범위 내에서 실시될 수도 있는 것이 명백할 것이다. 그러므로, 설명된 실시형태들은 한정적인 것이 아니라 예시적인 것으로서 취해져야 하고, 발명은 본원에서 주어진 세부사항들로 제한되어야 하는 것이 아니라, 다음의 청구항들 및 등가물들의 그 전체 범위에 의해 정의되어야 한다.

Claims (45)

  1. 타겟의 파라미터를 결정하는 방법으로서,
    이미징 구조체 및 산란계측 구조체(scatterometry structure)를 갖는 타겟을 제공하는 단계;
    상기 산란계측 구조체로부터의 산란계측 측정치 또는 상기 이미징 구조체의 이미지 측정치 중 어느 하나의 제 1 측정치를 획득하는 단계;
    상기 산란계측 측정치 또는 상기 이미지 측정치 중 하나에 기초하여 상기 타겟의 제 1 파라미터를 결정하는 단계;
    복수의 타겟들에 대한 제 1 파라미터를 획득하기 위한 동작들을 반복하는 단계; 및
    상기 산란계측 측정치 또는 상기 이미지 측정치의 제 1 측정치에 기초하여, 상기 산란계측 측정치 또는 상기 이미지 측정치 중 어느 하나의 다른 측정치에 기초하여 제 2 파라미터가 결정되는 것을 억제하거나(inhibiting) 조절하는 단계
    를 포함하고,
    상기 산란계측 측정치 또는 상기 이미지 측정치의 제 2 파라미터는 미리 정의된 사양 내에 있는 제 1 파라미터를 갖는 타겟들에 대해서만 결정되고,
    상기 타겟의 비대칭성(asymmetry)이 상기 이미지 측정치로부터의 상기 제 1 파라미터에 기초하여 상기 산란계측 측정치로부터 격리(isolate)될 수 있거나 제거될 수 있도록, 상기 이미지 측정치가 첫번째로 획득되고 상기 산란계측 측정치가 두번째로 획득되는 것인, 타겟의 파라미터를 결정하는 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 제 1 파라미터는 이미지 속성(image property)을 정량화(quantify)하고, 상기 제 2 파라미터는 상기 제 1 파라미터가 미리 정의된 사양(predefined specification) 내에 있는지 여부에 기초하여 결정되는 것이 억제되는 것인, 타겟의 파라미터를 결정하는 방법.
  4. 제 1 항에 있어서,
    상기 제 1 파라미터가 상기 제 2 파라미터의 결정을 조절하기 위해 사용되도록, 상기 이미지 측정치가 첫번째로 획득되고; 상기 제 1 파라미터가 이미지 속성을 정량화하며; 상기 산란계측 측정치가 두번째로 획득되는 것인, 타겟의 파라미터를 결정하는 방법.
  5. 제 4 항에 있어서,
    상기 제 2 파라미터는, 상기 제 1 파라미터 및 상기 산란계측 측정치가 입력되는 산란계측 모델을 사용하여 결정되는 것인, 타겟의 파라미터를 결정하는 방법.
  6. 타겟의 파라미터를 결정하는 방법으로서,
    복수의 상이한 알려진(known) 하나 이상의 파라미터 값들을 갖는 복수의 참조 타겟(reference target)들과, 복수의 생산 타겟(production target)들을 제공하는 단계 - 각각의 참조 타겟은 이미징 구조체 및 산란계측 구조체(scatterometry structure)를 가짐 - ;
    계측 툴(metrology tool)의 복수의 상이한 동작 파라미터들에서, 상기 계측 툴의 이미징 채널로 각각의 참조 타겟에 대해 상기 이미징 구조체의 이미지를 획득하는 단계 - 각각의 참조 타겟에 대한 각각의 이미징 구조체는 미분해된(unresolved) 특징부들(features)을 포함함 - ;
    상기 계측 툴의 상기 복수의 상이한 동작 파라미터들에서, 상기 계측 툴의 산란계측 채널로 각각의 참조 타겟에 대해 상기 산란계측 구조체로부터 산란계측 신호를 획득하는 단계;
    상기 복수의 참조 타겟들 각각에 대한 상기 이미지 및 상기 산란계측 신호 둘 다에 기초하여, 상기 복수의 참조 타겟들에 대한 적어도 하나의 파라미터를 결정하는 단계;
    상기 복수의 참조 타겟들에 대한 상기 결정된 적어도 하나의 파라미터 중 어느 파라미터가 상기 참조 타겟들의 알려진 상이한 하나 이상의 파라미터와 가장 근접하게 일치(match)하는지에 기초하여, 상기 계측 툴의 상기 상이한 동작 파라미터들의 서브세트를 선택함으로써 레시피를 결정하는 단계; 및
    상기 레시피가 결정된 후, 이미지 및 산란계측 신호, 중 적어도 하나를 획득하고 상기 복수의 생산 타겟들에 대한 적어도 하나의 파라미터를 결정하기 위한 동작들을 반복하는 단계 - 각각의 생산 타겟에 대한 각각의 이미징 구조체는 미분해된 특징부들을 포함함 -
    를 포함하는, 타겟의 파라미터를 결정하는 방법.
  7. 반도체 타겟의 파라미터를 결정하는 방법으로서,
    하나 이상의 파라미터의 알려진 변동치(variation)들을 갖는 복수의 참조 타겟들 각각으로부터 산란계측 및 이미징 측정치들의 제 1 세트를 수신하는 단계 - 상기 산란계측 및 이미징 측정치들의 제 1 세트는,
    전자기 방사선(radiation)의 입사 빔을 생성하는 방사선 소스;
    상기 참조 타겟들 각각을 향해 상기 입사 빔을 보내는(directing) 조명 광학계(illumination optics);
    상기 입사 빔에 응답하여 각각의 참조 타겟으로부터의 반사된 빔, 회절된 빔, 및 산란된 빔, 중 적어도 하나를 포함하는 출력 빔을 수신한 다음, 산란계측 검출기를 향해 산란계측 측정치의 형태로 각각의 참조 타겟으로부터의 상기 출력 빔의 적어도 일부를 보내는 산란계측 광학계;
    상기 산란계측 광학계로부터 각각의 참조 타겟에 대한 상기 산란계측 측정치를 획득하는 상기 산란계측 검출기;
    각각의 참조 타겟에 대한 상기 출력 빔을 수신하고 이미징 검출기를 향해 각각의 참조 타겟에 대한 이미지 측정치의 형태로 각각의 참조 타겟에 대한 상기 출력 빔의 적어도 일부를 보내는 이미징 광학계; 및
    상기 이미징 광학계로부터 각각의 참조 타겟에 대한 상기 이미지 측정치를 획득하기 위한 상기 이미징 검출기
    에 의해 획득됨 - ;
    상기 산란계측 및 이미징 측정치들의 제 1 세트에 기초하여 신호 응답 측정(signal response measurement; SRM) 모델을 결정하는 단계;
    상기 산란계측 및 이미징 측정치들의 제 1 세트 및 상기 하나 이상의 파라미터의 상기 알려진 변동치들에 기초하여 상기 SRM 모델을 트레이닝하는 단계; 및
    하나 이상의 알려지지 않은 파라미터를 결정하기 위해, 타겟으로부터의 산란계측 및 이미징 측정치들을 상기 SRM 모델에 입력하는 단계 - 상기 이미징 측정치들은 미분해된(unresolved) 특징부들(features)을 포함하는 구조체들로부터 획득됨 -
    를 포함하는, 반도체 타겟의 파라미터를 결정하는 방법.
  8. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 CD-SEM(critical dimension scanning electron microscope; 임계 치수 스캐닝 전자 현미경), SEM, TEM(tunneling electron microscope; 터널링 전자 현미경), AFM(Atomic Force Microscope; 원자간력 현미경), 또는 x-선 계측 시스템으로부터의 측정치들인 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  9. 제 7 항에 있어서,
    상기 알려진 변동치들은 하나 이상의 DOE(design-of-experiment; 실험-설계) 웨이퍼의 복수의 프로그램된 설정 값들(programmed set values)인 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  10. 제 7 항에 있어서,
    상기 알려진 변동치들은,
    하나 이상의 DOE 웨이퍼로부터 복수의 참조 값들을 측정하고;
    보간(interpolation) 함수를 사용함으로써 복수의 보간된 값들을 보간하고; 및
    상기 참조 값들과 상기 보간된 값들을 상기 알려진 변동치들로서 사용하는 것에 의해 결정되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  11. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 다수의 프로그램된 프로세스 변동치들을 갖는 복수의 DOE 웨이퍼들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  12. 제 11 항에 있어서,
    상기 DOE 웨이퍼들은 리소그래피 툴의 포커스 및 도스(dose)를 프로그램함으로써 포토레지스트 층 프로세스 변동치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  13. 제 11 항에 있어서,
    상기 DOE 웨이퍼들은 하나 이상의 이전 프로세스 단계의 리소그래피 툴의 포커스 및 도스(dose)와 하나 이상의 에칭 및 성막(deposition) 프로세스 툴의 에칭 및 성막 파라미터들을 프로그램함으로써 하부층(underlayer) 프로세스 변동치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  14. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트와 상기 알려진 변동치들 중 적어도 일부는 시뮬레이터들에 의해 생성되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  15. 제 14 항에 있어서,
    상기 생성된 산란계측 및 이미징 측정치들과 알려진 변동치들은 다수의 기하학적 구조(geometry), 분산, 프로세스, 및 시스템 파라미터들의 변동치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  16. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 하나 이상의 DOE 웨이퍼로부터 획득된 측정치들과 하나 이상의 시뮬레이터에 의해 생성된 측정치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  17. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 다수의 산란계측 타겟들 및 다수의 이미징 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  18. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 디바이스 영역들 또는 디바이스 영역들과 유사한(resemble) 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  19. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 상이한 계측 툴 포커스, 파장, 및 편광에서 획득되는 측정치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  20. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 이전 프로세스 단계들로부터의 측정치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  21. 제 7 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 알려진 파라미터 값들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  22. 제 7 항에 있어서,
    상기 SRM 모델은 PCA(Principal Components Analysis; 주요 성분 분석), 자동 인코더, 신경망(Neural Network), 및 선형 모델, 중 하나 이상을 사용하도록 구성(arrange)되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  23. 제 7 항에 있어서,
    상기 SRM 모델은 시뮬레이션된 이미지 및 실제 이미지에 대해 트레이닝되지만, 그 다음 하나 이상의 알려지지 않은 파라미터를 결정하기 위해서는 실제 이미지와 함께만 사용되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  24. 제 7 항에 있어서,
    상기 산란계측 측정치들의 제 1 세트는 센서에서 다수의 회절 차수들을 생성하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  25. 제 7 항에 있어서,
    상기 산란계측 측정치들의 제 1 세트는 X 및 Y 오버레이 둘 다에 대해 센서에서 다수의 회절 차수들을 생성하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  26. 제 7 항에 있어서,
    상기 산란계측 측정치들의 제 1 세트는 구조체의 다수의 층들로부터 X 및 Y 오버레이 둘 다에 대해 센서에서 다수의 회절 차수들을 생성하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  27. 제 7 항에 있어서,
    상기 이미징 측정치들의 제 1 세트는 OCD(overlay-critical-dimension; 오버레이 임계 치수) 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하는 방법.
  28. 반도체 타겟의 파라미터를 결정하기 위한 계측 장치로서,
    전자기 방사선(radiation)의 입사 빔을 생성하기 위한 방사선 소스;
    타겟을 향해 상기 입사 빔을 보내기(direct) 위한 조명 광학계(illumination optics);
    상기 입사 빔에 응답하여 타겟으로부터의 반사된 빔, 회절된 빔, 및 산란된 빔, 중 적어도 하나를 포함하는 출력 빔을 수신한 다음, 산란계측 검출기를 향해 산란계측 신호의 형태로 상기 출력 빔의 적어도 일부를 보내기 위한 산란계측 광학계;
    상기 산란계측 광학계로부터 상기 산란계측 신호를 획득하기 위한 상기 산란계측 검출기;
    상기 출력 빔을 수신하고 이미징 검출기를 향해 타겟의 이미지의 형태로 상기 출력 빔의 적어도 일부를 보내기 위한 이미징 광학계;
    상기 이미징 광학계로부터 상기 이미지를 획득하기 위한 상기 이미징 검출기; 및
    상기 방사선 소스, 조명 광학계, 산란계측 광학계, 이미징 광학계, 산란계측 검출기, 및 이미징 검출기에 대해 소정의 동작들 중 적어도 일부를 수행하기 위해 구성된 프로세서
    를 포함하고,
    상기 소정의 동작들은,
    하나 이상의 파라미터의 알려진 변동치(variation)들을 갖는 복수의 참조 타겟들 각각으로부터 산란계측 및 이미징 측정치들의 제 1 세트를 수신하는 동작;
    상기 산란계측 및 이미징 측정치들의 제 1 세트에 기초하여 신호 응답 측정(signal response measurement; SRM) 모델을 결정하는 동작;
    상기 산란계측 및 이미징 측정치들의 제 1 세트 및 상기 하나 이상의 파라미터의 상기 알려진 변동치들에 기초하여 상기 SRM 모델을 트레이닝하는 동작; 및
    하나 이상의 알려지지 않은 파라미터를 결정하기 위해, 타겟으로부터의 산란계측 및 이미징 측정치들을 상기 SRM 모델에 입력하는 동작 - 상기 이미징 측정치들은 미분해된(unresolved) 특징부들(features)을 포함하는 구조체들로부터 획득됨 -
    을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  29. 제 28 항에 있어서,
    상기 장치는 CD-SEM(critical dimension scanning electron microscope; 임계 치수 스캐닝 전자 현미경), SEM, TEM(tunneling electron microscope; 터널링 전자 현미경), AFM(Atomic Force Microscope; 원자간력 현미경), 또는 x-선 계측 시스템의 형태인 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  30. 제 28 항에 있어서,
    상기 알려진 변동치들은 하나 이상의 DOE(design-of-experiment; 실험-설계) 웨이퍼의 복수의 프로그램된 설정 값들(programmed set values)이고,
    상기 알려진 변동치들은,
    하나 이상의 DOE 웨이퍼로부터 복수의 참조 값들을 측정하고;
    보간(interpolation) 함수를 사용함으로써 복수의 보간된 값들을 보간하고; 및
    상기 참조 값들과 상기 보간된 값들을 상기 알려진 변동치들로서 사용하는 것에 의해 결정되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  31. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 다수의 프로그램된 프로세스 변동치들을 갖는 복수의 DOE 웨이퍼들로부터 획득되고, 상기 DOE 웨이퍼들은 리소그래피 툴의 포커스 및 도스(dose)를 프로그램함으로써 포토레지스트 층 프로세스 변동치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  32. 제 31 항에 있어서,
    상기 DOE 웨이퍼들은 또한, 하나 이상의 이전 프로세스 단계의 리소그래피 툴의 포커스 및 도스(dose)와 하나 이상의 에칭 및 성막(deposition) 프로세스 툴의 에칭 및 성막 파라미터들을 프로그램함으로써 하부층(underlayer) 프로세스 변동치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  33. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트와 상기 알려진 변동치들 중 적어도 일부는 시뮬레이터들에 의해 생성되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  34. 제 33 항에 있어서,
    상기 생성된 산란계측 및 이미징 측정치들과 알려진 변동치들은 다수의 기하학적 구조(geometry), 분산, 프로세스, 및 시스템 파라미터들의 변동치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  35. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 하나 이상의 DOE 웨이퍼로부터 획득된 측정치들과 하나 이상의 시뮬레이터에 의해 생성된 측정치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  36. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 다수의 산란계측 타겟들 및 다수의 이미징 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  37. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 디바이스 영역들 또는 디바이스 영역들과 유사한(resemble) 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  38. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 상기 장치에 대한 상이한 포커스, 파장, 및 편광 설정들에서 획득되는 측정치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  39. 제 28 항에 있어서,
    상기 산란계측 및 이미징 측정치들의 제 1 세트는 이전 프로세스 단계들로부터의 측정치들을 포함하는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  40. 제 28 항에 있어서,
    상기 SRM 모델은 시뮬레이션된 이미지 및 실제 이미지에 대해 트레이닝되지만, 그 다음 하나 이상의 알려지지 않은 파라미터를 결정하기 위해서는 실제 이미지와 함께만 사용되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  41. 제 28 항에 있어서,
    상기 산란계측 측정치들의 제 1 세트는 센서에서 다수의 회절 차수들을 생성하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  42. 제 28 항에 있어서,
    상기 산란계측 측정치들의 제 1 세트는 X 및 Y 오버레이 둘 다에 대해 센서에서 다수의 회절 차수들을 생성하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  43. 제 28 항에 있어서,
    상기 산란계측 측정치들의 제 1 세트는 구조체의 다수의 층들로부터 X 및 Y 오버레이 둘 다에 대해 센서에서 다수의 회절 차수들을 생성하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  44. 제 28 항에 있어서,
    상기 이미징 측정치들의 제 1 세트는 OCD(overlay-critical-dimension; 오버레이 임계 치수) 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
  45. 제 28 항에 있어서,
    상기 이미징 측정치들의 제 1 세트는 미분해된(unresolved) 특징부들(features)을 포함하는 타겟들로부터 획득되는 것인, 반도체 타겟의 파라미터를 결정하기 위한 계측 장치.
KR1020217038249A 2014-05-12 2015-05-11 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들 KR102515228B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201461991857P 2014-05-12 2014-05-12
US61/991,857 2014-05-12
US14/708,058 2015-05-08
US14/708,058 US9784690B2 (en) 2014-05-12 2015-05-08 Apparatus, techniques, and target designs for measuring semiconductor parameters
KR1020167033572A KR102332956B1 (ko) 2014-05-12 2015-05-11 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
PCT/US2015/030192 WO2015175425A1 (en) 2014-05-12 2015-05-11 Apparatus, techniques, and target designs for measuring semiconductor parameters

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020167033572A Division KR102332956B1 (ko) 2014-05-12 2015-05-11 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들

Publications (2)

Publication Number Publication Date
KR20210148376A KR20210148376A (ko) 2021-12-07
KR102515228B1 true KR102515228B1 (ko) 2023-03-29

Family

ID=54367622

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217038249A KR102515228B1 (ko) 2014-05-12 2015-05-11 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
KR1020167033572A KR102332956B1 (ko) 2014-05-12 2015-05-11 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020167033572A KR102332956B1 (ko) 2014-05-12 2015-05-11 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들

Country Status (5)

Country Link
US (2) US9784690B2 (ko)
JP (2) JP6566968B2 (ko)
KR (2) KR102515228B1 (ko)
CN (2) CN109632819B (ko)
WO (1) WO2015175425A1 (ko)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9784690B2 (en) 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
WO2015172963A1 (en) * 2014-05-13 2015-11-19 Asml Netherlands B.V. Substrate and patterning device for use in metrology, metrology method and device manufacturing method
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US9793178B2 (en) * 2014-08-28 2017-10-17 University Of Rochester Focused beam scatterometry apparatus and method
JP6630369B2 (ja) 2015-06-17 2020-01-15 エーエスエムエル ネザーランズ ビー.ブイ. 相互レシピ整合性に基づくレシピ選択
WO2017108404A1 (en) 2015-12-23 2017-06-29 Asml Netherlands B.V. Metrology methods, metrology apparatus and device manufacturing method
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
JP6706814B2 (ja) * 2016-03-30 2020-06-10 パナソニックIpマネジメント株式会社 光検出装置および光検出システム
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US9728470B1 (en) 2016-05-10 2017-08-08 Infineon Technologies Austria Ag Semiconductor structure and methods
CN109844647B (zh) 2016-10-14 2022-06-10 科磊股份有限公司 基于衍射的聚焦度量
CN110100174B (zh) 2016-10-20 2022-01-18 科磊股份有限公司 用于图案化晶片特性化的混合度量
US10409171B2 (en) * 2017-01-25 2019-09-10 Kla-Tencor Corporation Overlay control with non-zero offset prediction
JP7179742B2 (ja) * 2017-02-10 2022-11-29 ケーエルエー コーポレイション 散乱計測オーバーレイターゲット及び方法
CN110383419B (zh) * 2017-02-27 2023-09-26 诺威有限公司 用于电测试预测的装置和方法
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
TW201918700A (zh) * 2017-05-05 2019-05-16 美商3M新設資產公司 散射測量系統及其使用方法
CN108962776B (zh) * 2017-05-26 2021-05-18 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3480659A1 (en) * 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
US10837919B2 (en) * 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
WO2019091678A1 (en) 2017-11-07 2019-05-16 Asml Netherlands B.V. Metrology apparatus and a method of determining a characteristic of interest
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
US11022966B1 (en) * 2017-12-15 2021-06-01 Synopsys, Inc. Method of modeling e-beam photomask manufacturing process using image-based artificial neural networks
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
EP3518040A1 (en) * 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3528049A1 (en) * 2018-02-20 2019-08-21 ASML Netherlands B.V. Metrology method and apparatus with increased bandwidth
JP7227988B2 (ja) * 2018-02-27 2023-02-22 エーエスエムエル ネザーランズ ビー.ブイ. 基板上の1つ又は複数の構造の特性を算出するメトロロジ装置及び方法
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
EP3657256A1 (en) * 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
KR102638267B1 (ko) 2018-12-03 2024-02-21 삼성전자주식회사 반도체 웨이퍼 불량 분석 시스템 및 그것의 동작 방법
CN109656103A (zh) * 2018-12-19 2019-04-19 上海华力集成电路制造有限公司 利用scd监控在线产品焦距变化的方法
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US10804167B2 (en) * 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
US20220252990A1 (en) * 2019-07-08 2022-08-11 ASML Netherlands B,V. Metrology method and associated computer product
US11042981B2 (en) 2019-07-12 2021-06-22 SVXR, Inc. Methods and systems for printed circuit board design based on automatic corrections
US10921721B1 (en) * 2019-09-13 2021-02-16 Applied Materials, Inc. Measurement system and grating pattern array
US11415898B2 (en) * 2019-10-14 2022-08-16 Kla Corporation Signal-domain adaptation for metrology
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
CN117892689A (zh) * 2020-04-06 2024-04-16 诺威有限公司 用于半导体制造的系统、方法及存储介质
US11460783B2 (en) * 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
WO2022190210A1 (ja) * 2021-03-09 2022-09-15 株式会社日立ハイテク 欠陥検査装置、欠陥検査方法、および、調整用基板
US11967535B2 (en) 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
KR102441250B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 레이저를 사용하여 소재의 들뜸을 측정하는 검출 시스템 및 이를 사용한 소재의 들뜸을 측정하는 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003294436A (ja) 2002-01-22 2003-10-15 Fei Co 統合測定方法およびシステム
US20040233441A1 (en) * 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2011192769A (ja) 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体デバイス製造方法、及び製造システム
JP2014030048A (ja) 2009-07-31 2014-02-13 Asml Netherlands Bv プロセス変動検出方法、角度分解散乱計、リソグラフィシステムおよびリソグラフィセル

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1319244A1 (en) * 2000-09-20 2003-06-18 Kla-Tencor Inc. Methods and systems for semiconductor fabrication processes
US6934024B2 (en) 2000-10-18 2005-08-23 Regents Of The University Of Minnesota Ellipsometry methods and apparatus using solid immersion tunneling
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
DE10142316A1 (de) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US6927080B1 (en) 2002-10-28 2005-08-09 Advanced Micro Devices, Inc. Structures for analyzing electromigration, and methods of using same
JP4746987B2 (ja) * 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP4078257B2 (ja) * 2003-06-27 2008-04-23 株式会社日立ハイテクノロジーズ 試料寸法測定方法及び荷電粒子線装置
US7608468B1 (en) 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
JP4065817B2 (ja) * 2003-08-12 2008-03-26 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
WO2006012388A2 (en) 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
US7557921B1 (en) * 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
US7408642B1 (en) 2006-02-17 2008-08-05 Kla-Tencor Technologies Corporation Registration target design for managing both reticle grid error and wafer overlay
US7528941B2 (en) 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
NL1036098A1 (nl) 2007-11-08 2009-05-11 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus lithographic, processing cell and device manufacturing method.
US7684038B1 (en) 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
US8004679B2 (en) 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
US8263929B2 (en) 2008-08-06 2012-09-11 Hitachi High-Technologies Corporation Standard member for correction, scanning electron microscope using same, and scanning electron microscope correction method
KR101295203B1 (ko) 2008-10-06 2013-08-09 에이에스엠엘 네델란즈 비.브이. 2차원 타겟을 이용한 리소그래피 포커스 및 조사량 측정
CN102272678A (zh) * 2008-12-30 2011-12-07 Asml荷兰有限公司 检验方法和设备、光刻设备、光刻处理单元和器件制造方法
NL2004656A (en) * 2009-05-12 2010-11-15 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
WO2011011511A1 (en) 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
US8913237B2 (en) * 2012-06-26 2014-12-16 Kla-Tencor Corporation Device-like scatterometry overlay targets
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
WO2014205274A1 (en) 2013-06-19 2014-12-24 Kla-Tencor Corporation Hybrid imaging and scatterometry targets
CN103530819A (zh) * 2013-10-18 2014-01-22 国家电网公司 并网型光伏电站发电系统的输出功率的测定方法及设备
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003294436A (ja) 2002-01-22 2003-10-15 Fei Co 統合測定方法およびシステム
US20040233441A1 (en) * 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
JP2014030048A (ja) 2009-07-31 2014-02-13 Asml Netherlands Bv プロセス変動検出方法、角度分解散乱計、リソグラフィシステムおよびリソグラフィセル
JP2011192769A (ja) 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体デバイス製造方法、及び製造システム

Also Published As

Publication number Publication date
KR20170003951A (ko) 2017-01-10
US20170356853A1 (en) 2017-12-14
CN109632819A (zh) 2019-04-16
JP2019192943A (ja) 2019-10-31
JP6566968B2 (ja) 2019-08-28
KR102332956B1 (ko) 2021-11-29
US9784690B2 (en) 2017-10-10
US20150323471A1 (en) 2015-11-12
WO2015175425A1 (en) 2015-11-19
CN106463430B (zh) 2019-01-15
US10107765B2 (en) 2018-10-23
JP6735884B2 (ja) 2020-08-05
CN109632819B (zh) 2021-04-13
JP2017523591A (ja) 2017-08-17
CN106463430A (zh) 2017-02-22
KR20210148376A (ko) 2021-12-07

Similar Documents

Publication Publication Date Title
KR102515228B1 (ko) 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
KR102356949B1 (ko) 광학 스캐터로메트리에 기반한 프로세스 견고한 오버레이 계측
US10133188B2 (en) Metrology method, target and substrate
KR102109059B1 (ko) 계측 방법, 컴퓨터 제품 및 시스템
TWI703652B (zh) 用於以影像為基礎之量測及以散射術為基礎之重疊量測之信號回應度量
US8913237B2 (en) Device-like scatterometry overlay targets
KR101901770B1 (ko) 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
KR102287785B1 (ko) 반도체 타겟의 계측을 위한 차동 방법 및 장치
KR102363699B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
KR102281795B1 (ko) 메트롤로지 방법, 장치 및 컴퓨터 프로그램
KR20180058819A (ko) 계측 방법, 타겟 및 기판
CN113677983A (zh) 结合x射线计量数据集合以改进参数评估的方法及系统
KR20190003814A (ko) 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법
US20180275524A1 (en) Asymmetry monitoring of a structure

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant