CN109632819A - 用于测量半导体参数的设备、技术和目标设计 - Google Patents

用于测量半导体参数的设备、技术和目标设计 Download PDF

Info

Publication number
CN109632819A
CN109632819A CN201811326714.6A CN201811326714A CN109632819A CN 109632819 A CN109632819 A CN 109632819A CN 201811326714 A CN201811326714 A CN 201811326714A CN 109632819 A CN109632819 A CN 109632819A
Authority
CN
China
Prior art keywords
scatterometry
target
measurement
imaging
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811326714.6A
Other languages
English (en)
Other versions
CN109632819B (zh
Inventor
N·沙皮恩
A·V·舒杰葛洛夫
S·潘戴夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN109632819A publication Critical patent/CN109632819A/zh
Application granted granted Critical
Publication of CN109632819B publication Critical patent/CN109632819B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/93Detection standards; Calibrating baseline adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4788Diffraction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/061Sources
    • G01N2201/06113Coherent sources; lasers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/06Illumination; Optics
    • G01N2201/062LED's
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/10Scanning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/129Using chemometrical methods
    • G01N2201/1296Using chemometrical methods using neural networks

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Investigating Or Analysing Materials By Optical Means (AREA)
  • Testing Of Optical Devices Or Fibers (AREA)

Abstract

本揭露涉及用于测量半导体参数的设备、技术和目标设计。在一个实施例中,揭示了用于确定目标的参数的设备及方法。提供了一种具有成像结构及散射测量结构的目标。利用计量工具的成像通道获得所述成像结构的图像。还利用所述计量工具的散射测量通道从所述散射测量结构获得散射测量信号。基于所述图像及所述散射测量信号两者确定所述目标的至少一个参数,例如叠对误差。

Description

用于测量半导体参数的设备、技术和目标设计
本申请是申请日为2015年05月11日,申请号为“201580024936.0”,而发明名称为“用于测量半导体参数的设备、技术和目标设计”的申请的分案申请。
相关申请案的交叉参考
本申请案主张诺姆·萨斑(Noam Sapiens)等人在2014年5月12日申请的第61/991,857号优先权申请案美国临时申请案的权益,所述申请案在本文是出于全部目的以引用方式并入。
技术领域
本发明大体上涉及用于半导体计量的方法及系统,且更具体来说,涉及使用目标执行组合技术。
背景技术
在集成电路的制造中使用的光刻法或光学光刻术系统已经出现一段时间了。此类系统已被证明在精确制造及形成产品中的极小细节方面极为有效。在一些光刻法系统中,通过经由光束或辐射光束(例如,UV或紫外光)转印图案在衬底上写入电路图像。例如,光刻术系统可包含光源或辐射源,其将电路图像投影通过光罩且将其投影到涂敷有对辐照敏感的材料(例如,光致抗蚀剂)的硅晶片上。曝光的光致抗蚀剂通常形成图案,其在显影之后在后续处理步骤(如例如沉积及/或蚀刻)期间遮蔽晶片的层。
归因于大规模的电路集成及不断减小的半导体装置大小,光罩及制造的装置变得对结构及工艺变动(例如叠对误差、临界尺寸(CD)变动、膜厚度及组分变动等)日益敏感。此类变动如果不加以校正可导致最终装置归因于电计时误差而不能满足期望性能。更糟糕的是,这些误差可导致最终装置发生故障且对良率产生不利影响。
已经开发出许多技术来测量半导体样本的各种特性以改进良率。然而,仍然需要用于测量半导体样本的特性的经改进的目标、设备及技术。
发明内容
下文呈现本发明的简明概要以提供对本发明的某些实施例的基本理解。本概要并非是本发明的详尽概述,且其不识别本发明的重要/关键要素或不界定本发明的范围。本概要的唯一目的是以简化形式呈现本文中所揭示的一些概念作为随后呈现的具体实施方式的序言。
在一个实施例中,揭示了一种确定目标的参数的方法。提供了一种具有成像结构及散射测量结构的目标。利用计量工具的成像通道获得成像结构的图像。还利用计量工具的散射测量通道从散射测量结构获得散射测量信号。基于图像及散射测量信号两者确定目标的至少一个参数,例如叠对误差。
在特定实施方案中,通过在关于目标的相同焦平面处操作成像及散射测量通道获得散射测量信号及图像。在另一实施例中,循序获得散射测量信号及图像,且来自散射测量信号或图像中的一者的至少一个参数是基于来自散射测量信号或图像中的另一者的至少一个参数。
另一方面,在所述计量工具的多个不同操作参数下,针对具有不同已知参数值的多个参考目标重复用于获得图像及散射测量信号以及确定至少一个参数的所述操作。接着,通过基于所述目标的哪个所述确定的参数最接近地匹配所述目标的已知不同参数来选择所述计量工具的所述不同操作参数的子集来确定配方。在确定所述配方之后,针对多个生产目标重复用于获得图像及/或散射测量信号及确定至少一个参数的所述操作。另一方面,所述配方包含所述成像或散射测量通道的选择。另一方面,确定来自所述散射测量通道的参数与来自所述成像通道的参数之间的偏差,且基于此偏差针对所述生产目标校准从所述散射测量通道或成像通道确定的参数。
在另一方法实施例中,获得来自所述散射测量结构的散射测量或所述成像结构的图像测量中的第一者。基于所述散射测量或所述图像测量中的一者确定所述目标的第一参数。基于所述散射测量或图像测量中的所述第一者抑制或调整基于所述散射测量或图像测量中的另一者的第二参数确定。另一方面,首先获得所述图像测量且接着获得所述散射测量,使得可基于来自所述图像测量的所述第一参数隔离或消除所述散射测量中的所述目标的不对称性。在又另一方面中,所述第一参数量化图像性质且基于所述第一参数是否符合预定义规范而抑制确定所述第二参数。在另一特征中,针对多个目标重复用于获得第一参数的所述操作,且仅仅针对具有符合预定义规范的第一参数的目标确定所述散射测量或图像测量的所述第二参数。
在另一实施方案中,首先获得所述图像测量;所述第一参数量化图像性质;且接着获得所述散射测量使得使用所述第一参数调整所述第二参数的确定。另一方面,使用输入有所述第一参数及所述散射测量的散射测量模型确定所述第二参数。
在另一方法实施例中,从具有一或多个参数的已知变动的多个参考目标中的每一者接收散射测量及成像测量的第一集合。基于散射测量及成像测量的此第一集合确定信号响应测量(SRM)模型。基于散射测量及成像测量的所述第一集合及所述一或多个参数的所述已知变动训练所述SRM模型。将来自目标的散射测量及成像测量输入到所述SRM模型中以确定一或多个未知参数。
在另一实施例中,本发明涉及一种用于确定半导体目标的参数的计量设备。所述计量设备包含用于从目标的散射测量结构获得散射测量信号的至少散射测量模块及用于从所述目标的成像结构获得图像的至少成像模块。所述设备还包括处理器,其经配置以分析所述获得的散射测量信号及图像以确定所述目标的至少一个参数。在替代性实施例中,所述设备的处理器经配置以执行上述方法操作中的任一者。
在另一实施方案中,本发明涉及一种用于确定叠对误差的目标。所述目标包括第一光栅结构,其具有可由具有成像通道的计量工具分辨为图像的图像间距。所述目标还包括第二光栅结构,其具有用于由所述计量工具的散射测量通道测量的散射测量间距。所述散射测量间距经调整大小使得一阶衍射光行进通过所述计量工具的图像光瞳。另一方面,将所述第二光栅结构进一步分段为具有满足利用与所述目标相同的工艺形成的装置的预定义设计规则的设计规则间距的多个光栅。另一方面,所述第一光栅结构是由两个以上的层形成以用于确定两个以上的层之间的叠对误差。
本发明的这些及其它特征将在本发明的实施例的以下具体说明及通过实例说明本发明的原理的附图中进行更详细地呈现。
附图说明
图1A是根据本发明的第一实施例的可应用成像及散射测量叠对(SCOL)计量技术的叠对目标的俯视图表示。
图1B说明根据一个实施例的图1A的目标的实例图像。
图2是根据本发明的一个实例实施方案的成像光瞳的简化图。
图3是根据本发明的第二实施例的可应用成像及SCOL计量技术的叠对目标的俯视图表示。
图4是根据本发明的第三实施例的可应用成像及SCOL计量技术的叠对目标的俯视图表示。
图5是其中根据本发明的一个实施例的可在其中测量并分析组合成像及散射测量目标的计量系统的图表表示。
图6是根据本发明的一个实施例的说明配方开发过程的流程图。
图7(a)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差+f的图案化顶层L2的侧视图说明。
图7(b)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差+f及叠对误差+ε的图案化顶层L2的侧视图说明。
图7(c)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差-f的图案化顶层L2的侧视图说明。
图7(d)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差-f及叠对误差+ε的图案化顶层L2的侧视图说明。
图8是根据本发明的另一实例实施方案的说明用于循序叠对计量的程序的流程图。
图9是根据本发明的另一实施例的说明基于来自目标及SRM模型的成像及散射测量两者确定来自此目标的一或多个参数的过程的流程图。
具体实施方式
现在将详细地参考本发明的特定实施例。附图中说明了此实施例的实例。虽然将结合此特定实施例而描述本发明,但是将理解的是,并不旨在将本发明限制于一个实施例。相反地,旨在涵盖如可包含于如由所附权利要求书定义的本发明的精神及范围内的替代例、修改及等效物。在以下描述中,阐述许多特定细节以提供对本发明的透彻理解。可在无这些具体细节的一些或全部的情况下实践本发明。在其它实例中,并未详细描述众所周知的工艺操作以免不必要地模糊本发明。
本发明的某些实施例提供了目标及用于使用散射测量及成像技术两者测量此类目标上的一或多个特性的技术及设备。虽然以下实例涉及叠对误差特性的测量,但是本发明的实施例也可应用于其它结构值(例如,CD、高度、膜厚度、SWA、间距游动(pitch walk)、材料分散及组分等)或工艺值(剂量、焦点、蚀刻时间、沉积时间等)的测量。此外,以下叠对技术被描述为确定两个或两个以上层之间的叠对误差,但是此类技术也可应用于确定由单独工艺形成的结构之间的叠对误差,所述单独工艺在物理上并未位于不同层中(例如,位于多层级结构的不同层级处)。
图1A是根据本发明的第一实施例的可应用成像及散射测量叠对(SCOL)计量技术的叠对目标100的俯视图表示。如所示,目标100包含四个象限110a、110b、110c及110d,其一起包含用于如下文进一步描述那样测量两个方向(x及y)上的两个不同层(例如,示为黑色及灰色图像结构)之间的叠对的结构。
结构的部分经布置使得可经由成像技术确定叠对误差(如果有)。即,目标包含可由成像计量工具分辨的间距的结构。例如,结构组(例如,102a及102b)之间的成像间距P1经选择使得结构102a及102b可由检测电磁波的计量系统(例如,光学或x射线计量工具)分辨为单独结构。
图1B说明根据一个实施例的图1A的目标100的实例图像150。图1B中与图1A的参考标记相同的参考标记对应于相同目标区域。图像可分辨目标结构可被分辨为分离的图像结构,而不可分辨目标结构可在图像中一起变得模糊。例如,目标100的所述组周期性第一层结构102a及102b分别被分辨为分离达成像间距P1的成像结构152a及152b。同样地,所述组周期性第二层结构104a及104b分别被分辨为具有成像间距P1的成像结构154a及154b。其它象限110b到110d可类似地成像。
成像P1的值大体上取决于成像计量工具的特定分辨率及将用于基于目标的可分辨图像测量叠对误差的波长。即,用于利用成像分析技术确定叠对的不同层(或工艺)结构需要在视觉上可分辨为单独成像的结构。作为用于分辨两种结构的概述,一种成像结构的主要衍射最大值与另一种成像结构的第一最小值一致。如果距离较大,那么较好地分辨所述两种结构。然而,如果距离较小,那么所述两种结构被视为不能分辨。一般来说,计量系统的空间分辨率等于1.220λ/(NAillumination+NAcollection),其中λ是波长且NAillumination及NAcollection分别对应于照明及聚光数值孔径。根据第一极小值衍射点的计算推导出1.220值。成像间距P1需要等于或大于系统的分辨率。在一个实例中,对于350nm到900nm的波长范围及约0到0.95的NAillumination及约0.7到0.95的NAcollection,成像间距P1大于或等于约1μm或更具体地为1.2m到2.0m。
成像结构可用于测量两个不同层的对称中心(COS)或对称线(LOS)。一般来说,可分析不同层(黑色及灰色)中的结构图像以确定每一层的COS。如果没有叠对误差,那么两层中的结构的COS或LOS将基本上相等或偏离预定量。例如,两层中的结构可经设计以具有预定义偏差,且当两层的COS或LOS之间的差值高于或低于预定义偏差时存在叠对误差。一般来说,可分析不同层(黑色及灰色)中的结构图像以确定每一层的COS或LOS。
在所说明的实例中,COS/LOS 125存在于第一组的第一层结构104a及104b与第二组的第一层结构114a及114b之间。同样地,COS/LOS 125存在于第一组的第二层结构102a及102b与第二组的第二层结构112a及112b之间。对于象限110c及110d的第一及第二层结构也存在相同的COS/LOS 125。
不同象限可具有用于确定x或y方向上的叠对误差的图像可分辨结构。象限110a及110b经布置以确定x方向上的叠对误差。更具体地,象限110a包含第一群组的第一层结构102a及102b以及第二群组的第二层结构104a及104b,且象限110b包含第一层的图像可分辨结构群组112a及112b以及第二层的结构群组114a及114b。两个象限110a及110b的第一层结构经设计以彼此分离且具有在特定x位置处居中于其间的LOS 125。同样地,象限110c及110d经布置以确定y方向上的叠对误差。例如,象限110c包含第一群组的第一层结构120a及120b以及第二群组的第二层结构122a及122b,且象限110d包含第一群组的第一层结构116a及116b以及第二群组的第二层结构118a及118b。每一象限110c及110d的每一层结构经设计以彼此分离且具有在特定y位置处居中于其间的LOS 125。下文进一步描述了用于使用成像方法确定叠对误差的技术。
在其它实施例中,每一象限可包含用于确定x及y方向两者上的叠对的结构。x及y结构的一个实例包含触点形结构。当然,结构也可经布置用于确定任何合适方向上(除x及y之外)的叠对误差。此外,目标可包含用于确定两个以上的层的叠对误差的结构。
目标还包含可使用SCOL方法分析以用于确定叠对误差的结构。如图1A中所示,每一象限中具有多组第一层结构(例如,分离SCOL间距P2的象限110a的第一层结构106a及106b)。下文进一步描述了用于使用各种SCOL方法确定叠对误差的技术。
SCOL间距P2经选择使得从结构散射的一阶或多阶光落在计量系统的成像光瞳内。图2是根据本发明的一个实例实施方案的图像光瞳202的简化图。如所示,对应于入射光的NA的光点204以及对应的零阶聚光可位于光瞳202的中心中。±1阶光点206a及206b定位到此照明或零阶光点204的一侧。为了至少基于一阶光执行SCOL分析,SCOL间距P2调整大小使得此类一阶光部分落在光瞳202内。
照明光点204d半径是NAillumination(在空气中)。NAcollection大体上是由集光光学器件/孔径界定。如所示,照明光点204的中心与一阶光点的中心之间的距离与λ/P2有关。因此,成像间距P2经选择使得λ/P2减去NAillumination小于NAcollection。更优选地,λ/P2加上NAillumination小于或等于图像光瞳的半径。如果不满足此条件,那么图像光瞳202中将不存在衍射光。在特定实施方案中,SCOL间距是约500nm。换句话来说,间距P2大体上受衍射限制,因此对应的光栅将在图像中表现为单个模糊结构(例如,具有与整个光栅相同的大小的矩形),如图1B中所示。
在其它实施例中,为了更好的工艺兼容性还可将目标的特征分段。例如,图1A的目标100具有在几十个纳米数量级中的精细分段(或设计规则)间距P3,其类似于装置的当前设计规则。
图3是根据本发明的第二实施例的可应用成像及SCOL计量技术的叠对目标300的俯视图表示。在此实例中,目标300具有具备成像间距P1的第一组结构、具备SCOL间距P2的第二组结构及具备设计规则间距P3的第三组结构。如所示,第三结构是呈第二结构的梳形的齿的形式。
图4是根据本发明的第三实施例的可应用成像及SCOL计量技术的叠对目标400的俯视图表示。目标400称为具有两个以上的层的“多层目标”。如针对象限410a所说明,第一层结构(402a及402b)具有图像间距P1a、第二层结构(404a及404b)具有图像间距P1b且第三层结构(406a及406b)具有图像间距P1c。例如,间距大体上可改变达层之间的最小间距的整数倍。
可针对全部三层使用成像来测量多层目标。然而,使用散射测量技术每次可测量两层。可比较来自散射测量或成像技术中的一者的结果与来自另一种技术的结果。
可使用任何合适的计量工具来测量组合成像及散射测量目标。还可使用软件及/或硬件系统的任何合适组合来实施本发明的若干技术。优选地,此计量工具与实施本发明的许多操作的计算机系统集成。此复合系统优选地包括用于获得目标的散射测量信号的至少散射测量模块、用于获得目标的图像的成像模块,及处理器,所述处理器经配置以分析获得的散射测量及成像信号以由此确定此类目标的特性。
图5是根据本发明的一个实施例的可在其中测量并分析组合成像及散射测量目标的计量系统500的图形表示。一般来说,系统500包含用于产生电磁辐射的入射光束的辐射源502。例如,辐射源可呈用于产生可见光谱、IR光谱、UV光谱及/或x射线光谱中的辐射的灯具或激光的形式。
所述系统还大体上包含用于调节并塑形入射光束的照明光学器件504。例如,照明光学器件504可包含用于数值孔径(NA)、光点大小、偏振或额外波前控制的组件,例如偏振器、波板、光圈、空间光调制器等。系统500还可包含用于进一步引导照明光束的一或多个分束器或镜(例如,506a)及用于将入射光束聚焦在样本501的目标上的物镜508。物镜508可经配置以具有相对较高NA,例如大于约0.9。
输出光束接着响应于入射光束而从样本反射、衍射及/或散射且被传递通过分束器506a。分束器506b可经布置以朝散射测量光学器件514透射输出光束的部分,所述散射测量光学器件514调节并将引导输出光束的光瞳图像或光瞳图像的任何加权部分引导到散射测量检测器516上。散射测量检测器516可呈CCD(电荷耦合检测器)相机、CMOS相机、光谱仪或其它二维检测器等的形式。当散射测量光学器件或照明光学器件包含光谱滤光片或不包含任何事物时可使用单维检测器。
分束器506b还可经布置以朝成像光学器件510反射输出光束的部分,所述成像光学器件510用于调节衬底的图像并将所述图像投影到成像检测器512上。成像光学器件可包含用于塑形输出光束的任何组件,例如管透镜、光圈、场光阑、空间光调制器、偏振光学器件(例如,分析仪及波板)等。成像光学器件510可经设计以将输出光束引导到2D检测器512(例如,CCD相机或CMOS相机)上。成像检测器512是在与衬底成光学共轭的平面中。散射测量数据的测量还可在场共轭平面中进行,在所述情况中,仅可使用一个检测器进行散射测量及图像信号检测两者。
检测器512及516基于检测到的输出光束产生样本的对应信号。系统500还可包含成像分析仪520及散射测量分析仪518,其经配置以分析检测到的输出光束/信号,从而实施本文所述的各种成像及散射测量技术。成像及散射测量分析仪可包含一或多个处理器及存储器。
在一个实施例中,成像及散射测量分析仪如本文进一步所述那样共享数据。例如,可将成像数据馈送到散射测量分析仪以供此散射测量分析仪使用,且反之亦然。
另外,散射测量及/或成像测量可使用光瞳图像或场图像使得计算可基于场图像及光瞳图像两者以推断出测量的特性或参数、校准系统或将信息从一个检测器前馈/后馈/侧馈到另一个检测器或光学组件,例如系统中的SLM。
坎德尔(Kandel)等人在2013年5月14日发布的第8,441,639号美国专利中进一步描述了用于测量组合目标的另一实例组合成像及散射测量系统及技术,所述专利是以引用方式全部并入本文中。
无论系统的配置如何,均可采用经配置以存储用于通用检验操作及/或本文所述的发明技术的数据、程序指令的一或多个存储器或存储器模块。程序指令可控制操作系统及/或一或多个应用程序的操作。存储器还可经配置以存储从目标获得的成像及散射测量数据及叠对误差结果以及任选地其它叠对测量数据。
因为此信息及程序指令可用于实施本文所述的系统/方法,所以本发明的实施例可涉及包含用于执行本文所述的各种操作的程序指令、状态信息等的机器可读媒体。机器可读媒体的实例包含(但不限于):磁性媒体,例如硬盘、软盘及磁带;光学媒体,例如CD-ROM光盘;磁光媒体,例如软式光盘;及硬件装置,其经具体配置以存储及执行程序指令,例如只读存储器(ROM)装置及随机存取存储器(RAM)。程序指令的实例包含例如由编译器产生的机器码及含有可由计算机使用解译器来执行的更高级代码的文件两者。
组合散射测量及成像目标可以任何数目的方式使用。一般来说,此类组合目标可用于执行对相同目标使用成像及散射测量方法两者的计量。例如可利用组合成像及散射测量计量工具循序或同时对每一目标执行成像及散射测量。在同时方法中,可操作组合计量系统使得两个子系统的焦点共同位于相同平面上。同时测量可归因于减少系统及目标波动(例如,目标上的相同点上的对中性)实现测量时间减少以及匹配增加。
此类目标存在若干应用。例如,可在测量配方开发期间使用成像及散射测量通道,这可实现将产生最稳健测量的测量参数(例如,波长、偏振、照明孔径及更多)的组合的优化。此外,每一类型的测量在某些境况下可能具有相关的缺陷。例如,散射测量对不对称性敏感,而成像则不会。在另一实例中,成像对对比度敏感,而散射测量则不会。
图6是根据本发明的一个实施例的说明配方开发程序600的流程图。首先,在操作602中,可提供具有具备不同的已知叠对误差的参考目标的测试样本。接着,在操作604中,可选择计量工具参数的第一集合。这些计量参数可包含可经配置以用于操作计量工具的任何合适设置。例如,计量参数可包含用于波长范围、偏振、照明孔径配置或用于阻断或允许入射光的特定部分到达样本的入射角度范围、照明光束或聚光光束在场共轭平面或光瞳共轭平面中的振幅或相位分布等的设置。
接着,在操作606中,可使用成像及散射测量在计量参数的当前集合下测量每一目标。例如可利用组合成像及散射测量计量工具循序或同时对每一目标执行成像及散射测量。
对于成像技术,将每一目标成像以基于每一目标图像确定叠对。虽然关于具有具备180°旋转COS的结构的目标描述以下基于图像的叠对程序,但当然可针对具有镜像对称性的结构容易地修改此程序。此程序也可应用于确定相同层上的两组结构之间的对准误差,而非如所说明的两个不同层上的叠对误差。参考目标可为例如本文所述的任何合适的组合成像及散射测量目标。
在关于每一目标的一个实施方案中,首先,可将X或Y目标结构的中心移动到检验工具的FOV的中心。接着,可确定每一层的关注区域(ROI)。图1B的x目标结构将用于说明基于图像的叠对过程。例如,如由第一层的虚线102a、102b、112a及112b及第二层的虚线104a、104b、114a及114b表示,可对图1B的x方向目标结构形成每一层的四个ROI。虚线130可表示检验工具的FOV,而十字线125表示x目标结构的中心。
可使用任何合适技术确定来自第一及第二层的每一组结构的COS。例如,可利用边缘技术来确定每一层中的结构的COS。在一个实施例中,可确定每一ROI的外侧边缘且接着使用边缘来寻找每一组结构的外侧边缘之间(例如,ROI 102a及112b中的结构的外侧边缘之间)的中心位置。
另一种COS确定技术称为相关技术。在此技术中,可估算每一层的结构的ROI之间的初始COS位置。如针对ROI 102a到102b及112a到112b中的所述对结构所示,COS 125的初始估算可位于此类结构之间。接着,可通过跨与初始COS相距相等距离的位置处的两组结构进行测量来获得两个线性阵列。结构102a到102b及112a到112b将趋向于各自产生具有两个峰值强度值的周期信号。接着,可水平及垂直地翻转并匹配两个获得的线性阵列且计算相关的度量,例如乘积。使阵列相对于彼此移动且对每一偏差计算度量。接着,标绘度量且通过寻找相关度量的最大值来定位正确的COS。还可使用智能搜索算法(例如,二进制搜索)来有效地定位正确的COS位置。换句话来说,对于每一层的每一ROI组,基于初始COS自动地放置其180°旋转配对体。继续移动每一层的COS,直到找到每一层的经旋转图像与原始图像之间的最佳相关为止。在找到最佳相关之后,寻找每一层的COS。可将不同层的COS之间的差值定义为此类不同层的叠对误差。
还可对每一目标执行散射测量以基于来自每一目标的每一单元的检测到的信号确定参数,例如叠对。在叠对实例中,可对光栅上光栅(grating-on-grating)类型的两个层结构及来自两层的交错光栅结构执行无需模型的叠对散射测量。对于组合目标,每一周期散射测量光栅可呈在两层或单独产生的层中形成的光栅上光栅或交错光栅的形式。在任一种情况中,两个不同层中的光栅结构彼此偏离预定义量。当然,对于用于确定除叠对之外的其它参数的目标,散射测量结构无需是光栅上光栅或交错的。
在叠对实例中,图1A、3及4的散射测量结构可呈光栅上光栅结构的形式。图7(a)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差+f的图案化顶层L2的侧视图说明。每一层L1及L2被图案化成一组结构。结构可包含任何合适的光栅特征,例如线、沟槽或一行接触件或其它类型的结构。结构可设计成类似于半导体装置特征。结构也可由不同特征的组合形成。此外,结构可位于样本的任何层上(例如,样本的顶层上、样本的任何层内或部分或完全在样本的层内)。在图7(a)的所说明实施例中,层L1包含完整结构704a到704c,而层L2包含完整结构702a到702c。
如所示,顶层L2的结构与底层L1的结构偏差一定量+f。两个偏离层的结构可位于相邻层内或具有安置在两个偏离层中的任何合适数目及类型的层。图7(a)还示出了图案化层L1及L2与其对应结构之间的三个膜T1、T2及T3。就具有结构的两个层之间存在任何其它层而言,这些其它层展现出对电磁辐射的至少最小程度的透射以允许辐射在具有结构的层之间传播。如果介入层是不透明的,那么这些层将通常具有由底部光栅引起的最小程度的拓扑以允许测量从所述拓扑反射的辐射。
图7(b)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差+f及叠对误差+ε的图案化顶层L2的侧视图说明。图7(c)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差-f的图案化顶层L2的侧视图说明。图7(d)是根据本发明的一个实施例的与图案化底层L1偏离预定义偏差-f及叠对误差+ε的图案化顶层L2的侧视图说明。
可使用任何合适的技术来确定来自每一参考目标的叠对。在一个实施例中,目标由单元组成。每一单元包含由第一工艺形成的至少第一光栅结构及由第二工艺形成的第二光栅结构,且其中每一单元在此类每一单元的第一及第二光栅结构之间具有预定义偏差。不同单元的第一及第二光栅结构可具有相同或不同的预定义偏差。例如,每一单元的每一预定义偏差可经选择以致使一或多个项被从表示从每一单元散射及测量的辐射的周期函数消去。例如,可利用具有多个未知参数(包含未知叠对误差)的周期函数表示每一单元的散射辐射,且基于对多个单元的多个周期函数的分析确定未知叠对误差。
在散射测量方法中,测量来自具有预定义偏差的目标的每一单元(或每一子单元)的一或多个散射光谱。例如,朝向具有预定义偏差的单元结构中的每一者引导入射辐射光束(或将其引导到每一单元的每一子单元结构)以测量从此类结构散射的辐射。图1A、3及4的目标可由不同象限中的不同单元形成。取决于测量系统的能力,可循序或同时实行测量。入射光束可为任何合适形式的电磁辐射,例如激光、发光二极管(LED)或宽带辐射。
虽然本发明的散射测量技术被描述为利用来自多个单元或子单元的测量光谱或散射辐射,但是也可使用从叠对目标获得的任何合适类型的可测量信号来实践本发明的技术。实例信号包含(但不限于)任何类型的椭圆偏振光谱或反射测量术信号,包含:Ψ、Δ、Rs(s偏振的复部反射率)、Rp(p偏振的复反射率)、Rs(|rs|2)、Rp(|rp|2)、R(未偏振反射率)、α(光谱学“阿尔法”信号)、β(光谱学“贝塔”信号)及这些参数的函数,例如tan(Ψ)、cos(Δ)、((Rs-Rp)/(Rs+Rp))等。信号可替代地或另外测量为入射角、检测角、偏振、入射方位角、检测方位角、角分布、相位或波长或一个以上这些参数的组合的函数。信号还可为信号的组合的特征化,例如多个任何上述椭圆测量术及/或反射测量术信号类型的平均值。信号可替代地呈一或多个信号的特性的形式,例如强度值或强度值的组合(例如,平均或加法)。其它实施例可使用单色或激光光源,其中可在单个波长下而非多个波长下获得信号中的至少一者。
在从每一目标获得测量之后,接着可利用周期函数(例如,傅立叶级数)表示每一测量的光谱或信号(子单元光谱的集合)。在此函数中,可部分归因于预定义偏差而消去一或多个项。
接着,可分析代表函数以确定叠对误差ε。例如,多个周期函数各自包含多个未知数(包含未知叠对误差),且可使用这些周期函数来确定未知叠对误差。来自单元(或子单元)的测量光谱可用于确定至少部分位于一个以上层中的结构的叠对,但还可用于确定基本上位于单个层中的结构的叠对。
其它方法可用于基于测量的散射测量信号确定其它参数,例如CD、焦点、剂量等。例如,可使用模型来基于经测量的散射测量信号计算一或多个目标参数。
返回参考图6的配方开发程序,在操作608中,可确定是否具有更多计量参数组合。配方开发期间可能需要探索计量工具参数组合的多个集合。如果具有更多计量参数组合,那么在操作604中可选择新的集合且在操作606中可针对此新的集合获得成像及散射测量。例如,可选择新的波长范围且其它计量参数在后续测量(及分析)期间保持不变。在另一实例中,每一参数可改变且与其它参数的不同组合结合。不同组合可含有任何数目的固定或可变参数。
当没有更多计量参数组合时,在操作612中,可通过选择计量参数组合且选择产生最优叠对结果的散射测量及/或成像模式来确定配方。一般来说,产生最接近地匹配已知叠对误差的叠对的计量参数的组合被选择为配方。接着,在操作614中,可使用配方来对生产样本执行计量且配方开发程序结束。
在另一实例中,可确定来自对参考样本的散射测量及成像测量的叠对误差结果之间的偏差。在生产期间,接着可使用此偏差来相对于其它结果校准成像或散射测量结果。例如,在生产期间可将偏差应用于散射测量结果使得仅获得散射测量。仅使用散射测量将显著地减小计量时间且允许更准确的叠对结果。
在另一应用中,可循序执行散射测量及成像以提供计量结果的一个集合从第一散射测量/成像模式到另一第二散射测量/成像模式的反馈或前馈。即,可以任何次序循序地执行测量以促进将结果馈送到下一次测量。具体地,可例如向前、向后或侧向执行馈送以促进模型建立及评估并且馈送可直接进入OVL计算算法。
图8是根据本发明的一个实例实施方案的说明用于循序叠对计量的程序的流程图。首先,在操作802中,可获得成像或散射测量。在操作804中,可从成像测量确定成像叠对或可从散射测量确定散射测量叠对。接着,在操作806中,可基于此第一次确定的叠对来确定另一成像或散射测量叠对。例如,如果首先确定成像叠对,那么可比较散射测量叠对与此成像叠对以确定可归属于不对称性的散射测量叠对的部分。即,可使用成像作为基础叠对,其不受不对称性影响。
此外,可将任何合适的成像测量(例如侧壁角度测量)馈送到散射测量技术中以解决不对称性或对散射测量确定造成不利影响的其它问题。在一些情况中,可量化并使用成像性质(例如不对称性或膜厚度变动)来调整或抑制某些目标的散射测量叠对。例如,特定目标可与图像对比度相关联,所述图像对比度对应于与其它目标相比高于预定义量的膜厚度变动。可针对散射测量叠对选择特定目标,同时可忽略其它目标且并未利用散射测量方法测量其它目标。
在其它方法中,可从成像测量确定重心的不对称性或偏移的量且将所述量馈送到散射测量叠对确定中以将此重心调整回到“真实”叠对。可在用于如上所述那样以类似于预定义偏差的方式确定散射测量叠对的等式中使用“假”叠对。
在其它实施例中,特定目标类型的量化图像性质可包含在用于确定此目标类型的叠对的散射测量模型中。例如,可基于量化的性质值在叠对确定的模型中使用不同权重。
还可使用散射测量及图像信号来训练计算参数(例如叠对误差)的信号响应模型(SRM)。此方法的实例包含在潘德夫(Pandev)等人在2014年3月24日申请的标题是“基于统计模型的计量(Statistical Model-Based Metrology)”的美国申请案2014/0297211中,且此申请案是以引用方式全文并入本文中。在一个实施方案中,来自成像及散射测量检测器的信号一起使用作为测量模型的输入。
对于训练过程,例如DOE晶片可大体上包含在各种制造参数(例如不同的叠对值)下显影的多个DOI点。可从用于实验设计(DOE)点中的每一者的成像及散射测量检测器收集DOE数据的集合。可基于此DOE数据训练SRM模型以基于来自生产晶片的成像及散射测量两者计算参数,例如叠对误差。基于SRM的技术不仅仅限于叠对测量且可扩展到CD、焦点、剂量等。在这些扩展情况中,可对光致抗蚀剂光栅提供额外DOE(FEM晶片)。
图9是根据本发明的一个实施例的说明基于来自目标及SRM模型的成像及散射测量两者确定来自从目标的一或多个参数的过程900的流程图。首先,在操作902中,接收来自具有一或多个参数的已知变动的参考样本上的每一部位的第一量的散射测量及成像测量。测量的部位展现出至少一个工艺参数、结构参数或两者的已知变动。每一部位含有具有例如如本文所述的成像及散射测量结构的目标。
在一些实施例中,以半导体晶片(例如,实验设计(DOE)晶片)的表面上的DOE图案组织工艺参数变动。以此方式,测量部位询问晶片表面上与不同工艺参数值对应的不同位置。在一个实例中,DOE图案是焦点/曝光矩阵(FEM)图案。通常,展现出FEM图案的DOE晶片包含测量部位的栅格图案。在一个栅格方向(例如,x方向)上,改变曝光剂量,而焦点深度保持恒定。在一个正交栅格方向(例如,y方向)上,改变焦点深度,而曝光剂量保持恒定。以此方式,从DOE晶片收集的测量数据包含与焦点及剂量工艺参数中的已知变动相关联的数据。在其它实施例中,测量数据对应于已知的结构变动,例如叠对、CD等。
接着,在操作904中,可任选地从第一量的测量数据提取一或多个特征。在一些实例中,使用主成分分析(PCA)或非线性PCA分析测量数据以提取最强烈反映存在于不同测量部位处的工艺参数、结构参数或两者中的变动的特征。在一些其它实例中,信号滤波技术可应用于提取最强烈反映存在于不同测量部位处的参数变动的信号数据。在一些其它实例中,最强烈反映存在于不同测量部位处的参数变动的个别信号可选自存在于测量数据中的多个信号。虽然从测量数据提取特征以减少进行后续分析的数据的维数是优选的,但其并非是严格必需的。
接着,在操作906中,可基于第一数据量确定SRM模型。SRM模型大体上经结构化以接收由计量系统在一或多个测量部位处产生的测量数据且直接确定与每一测量目标相关联的工艺参数值、结构参数值或两者。在优选实施例中,SRM模型被实施为神经网络模型。在一个实例中,基于从测量数据提取的特征选择神经网络的节点的数目。在其它实例中,SRM模型可被实施为多项式模型、响应表面模型或其它类型的模型。
在操作907中,还可针对已知为跨收从其收集测量数据的测量部位改变的参数中的每一者产生期望响应模型。一般来说,期望响应模型将已知、变化的参数的值定义为晶片表面上的位置的函数。以此方式,期望响应模型针对给定参数定义晶片图的期望总体形状。
在特定实例中,根据DOE晶片的x及y坐标线性地改变焦点及剂量的已知参数值。在一些实例中,DOE晶片上的焦点参数的期望响应形状是x方向上的倾斜平面而晶片中间具有零交叉。在一个实例中,确定焦点参数值的期望响应函数是焦点=a*x+b,其中a及b是实现与每一测量部位处的已知焦点参数值的最佳拟合的系数。类似地,DOE晶片上的曝光参数的期望响应形状是y方向上的倾斜平面而晶片中间具有零交叉。在另一实例中,确定曝光参数值的期望响应函数是曝光=c*y+d,其中c及d是实现与每一测量部位处的已知曝光参数值的最佳拟合的系数。
在一些其它实例中,测量一或多个结构参数。对于几何参数,晶片图的形状可能更加复杂,且通常由工艺定义形状。在一些这些实例中,基于与测量的DOE晶片相关联的已知工艺参数值产生期望响应模型。
在另一实例中,基于模拟确定与每一测量部位处的已知工艺参数值中的每一者相关联的期望结构参数值。例如,采用过程模拟器来定义工艺参数值的给定集合的结构参数(即,几何或材料参数)的期望响应。示范性过程模拟器包含可购自(美国)加利福利亚州苗比达市的科磊公司(KLA-Tencor Corporation,Milpitas,Calif.(USA))的正性抗蚀剂光学光刻术(PRO LITH)模拟软件。虽然此示范性光刻工艺模型是使用PROLITH软件产生,但是一般来说,可预期任何工艺建模技术或工具。在一些实例中,基于与每一测量部位对应的对应的焦点及曝光参数值确定每一测量部位处的期望结构参数值。在一些实例中,通过将二维(例如,{x,y})图函数拟合到与每一测量部位相关联的结构参数值确定期望响应模型。
接着,在操作908中,可基于从期望响应模型确定的参数值来训练SRM模型。以此方式,使用嵌入在期望响应模型中的工艺参数来将SRM模型约束在过程空间内。以此方式,使用DOE测量数据及期望响应模型产生经训练的SRM模型。可训练SRM模型使得其输出拟合由DOE光谱定义的工艺变动空间中的全部光谱的经定义的期望响应。
在一些实例中,将测量一或多个工艺参数。在这些实例中,期望响应模型是基于与经测量的DOE晶片相关联的已知工艺参数值。
在其它实施例中,接收与关于DOE晶片的结构参数的测量相关联的参考测量数据。参考测量数据是由参考计量系统(例如扫描电子显微镜(SEM)、穿隧电子显微镜(TEM)、原子力显微镜(AFM)或x射线测量系统)从DOE晶片的一或多个测量部位处的目标的测量推导出的。从如上所述的测量数据提取一或多个特征(例如,形状函数)。在一个实例中,使用测量光谱的第一主成分(PCI)来描述与特定结构参数(例如,中间临界尺寸(MCD))相关联的响应表面的总体形状。接着,可基于参考测量数据校准从测量数据提取的形状函数以产生校准的响应表面。接着,通过将二维(例如,{x,y})图函数拟合到校准的响应表面来确定已知结构参数中的每一者的期望响应模型。在一个实例中,MCD参数的期望响应模型是:MCD=a01+a11(y+roy2)+a21x2,其中x及y是晶片坐标,且a01、all、rO、a2l是将函数最佳地拟合到经校准的形状函数的系数。
在训练SRM模型之后,接着可使用SRM模型。返回参考所说明的实例,接着,在操作910中,可将来自每一未知目标的散射测量及成像测量输入到SRM模型以确定此目标的一或多个未知参数。
来自成像及散射测量传感器的个别像素或像素群组可用作到SRM模型的信号。可应用算法来基于例如低相关及高敏感性的准则选择信号。可将加权应用于每一个别信号以得到更好的性能。
本发明不受上述结构限制。可将包含在目标中的结构组织成各种配置及形状,包含(例如)线、栅格、矩形、正方形、曲线、弯曲形状、圆形、圆柱形形状、锥形形状或前述组合。结构的此类配置可安置在目标内的各个位置处,且可描述相对于入射在目标上的电磁辐射的各个角度。例如,可将多组结构组织为垂直于一组准直的辐射光线或入射在目标上的光束的传播方向的一组平行线。在另一情况中,可相对于入射辐射成锐角(可能成45度角)安置组织成一组平行线的结构。此配置可促进x及y方向上的叠对的确定,由此降低对额外叠对图案或测量的需要。替代地,可将入射辐射引导成基本上平行于包括结构或定义结构的平行线中至少一些。此技术允许在不旋转样本的情况下执行x及y叠对测量。
此外,可将任何合适的粗间距叠对目标转换为组合成像及散射测量目标。例如,可通过在本专利的周期图像可分辨结构中的任一者之间形成密集散射测量周期结构将金诺维克(Ghinovker)等人在2006年6月27日发布的第7,068,833号美国专利中描述的周期结构中的任一者转换为组合光栅。本专利(第7,068,833号美国专利)是以引用方式全文并入本文中。
在上述实施例中的任一者中,可确定测量或确定的叠对误差或其它参数是否不符合规范。如果参数是无效的(不仅仅是预定值),可确定目标符合规范。例如,确定不同的层结构之间没有叠对误差或有最小的叠对误差。
如果参数不符合规范,那么可确定目标不符合规范。例如,结构的两个或两个以上层之间存在显著的叠对误差。当找到显著的参数偏差时,可舍弃或修复裸片。如果工艺不符合规范,那么可实施数种技术以缓解问题。在第一种技术中,可调整后续工艺以补偿不符合规范的工艺。在额外或另一种技术中,如果确定光致抗蚀剂图案在任何部分中均未对准,那么可剥离光致抗蚀剂且以经校正图案再次涂敷光致抗蚀剂以消除未对准。
可使用利用本文所述的散射测量及/或成像技术获得的参数结果来计算对光刻法步进器设置的校正以最小化误差,例如叠对误差。用于光刻术步进器或扫描仪的这些计算出的校正通常称为“步进器可校正参数”。从散射测量及/或成像测量获得的步进器可校正参数可用作步进器的输入以最小化后续晶片处理的误差。从散射测量及/或成像获得的误差或步进器可校正参数可输入到自动化过程控制系统,其可接着计算输入到步进器以最小化后续晶片处理的误差的一组步进器校正。误差、步进器可校正参数或利用散射测量及/或成像获得的关于晶片的计算出的最大误差可用于处置产品晶片以决定晶片是否需要返工或满足进一步晶片处理的参数要求。
本文所述的目标结构及子结构通常是使用合适的光刻法技术图案化,且光刻术图案随后使用例如蚀刻及沉积的已建立的处理技术转印到其它材料及层。在最简单的应用中,转印的图案构成经蚀刻或沉积的线或通孔。例如,结构及子结构可为光致抗蚀剂材料的形成物、凹陷的腔体形成物、嵌入式沟槽及/或晶片层内的其它结构。由腔体形成的结构及子结构可为在半导体制造过程期间形成在层中的任一者中的腔体。例如,腔体可形成在光致抗蚀剂层、电介质材料层或金属层中。应当注意的是,上述过程并非限制且可使用任何合适的制造技术。
本文揭示的散射测量光栅可由还可包含成像组件的任何标准散射测量设备来测量。例如,可使用光谱反射计或光谱椭偏仪或使用具有光瞳成像的角度分辨散射计来测量此类散射测量目标结构。在一个实例实施方案中,可测量特定衍射阶(通常0阶或1阶),而没有检测到并分析其它不同的衍射阶。在一些实施例中,可利用0阶或1阶测量所揭示的目标,但是使用1阶将是有利的。测量来自这些此类目标的0阶反射对叠对可能极为不敏感。1阶衍射可较为弱,但是其对叠对的敏感度通常较为高。最优测量模式可使用极亮光源(例如激光器)以仅仅测量+1阶及-1阶。在0阶反射到达检测器之前将其阻断以避免检测器的极度饱和也可能是有利的。
对于SCOL目标光栅,对由聚光光瞳(由粗间距及照明波长确定)捕捉的衍射阶的控制可实现分析算法,其可使用较高衍射阶且还可使用不同定向及阶之间的耦合(例如,x中的1阶与y中的1阶耦合)。此技术可用于例如设计具有2D晶格(例如孔晶格)的两个单元(或甚至一个单元)的目标,所述两个单元同时在x及y中的预期叠对方面有所不同。结合通过使用不同的测量条件(偏振、波长等)(如果需要)获得的信息,此小目标可提供关于叠对误差的相同或甚至更多信息。
1阶散射测量方法归因于不同层的不同衍射效率而可具有相关联的精确度降级。通常例如从上部抗蚀剂层获得的1阶衍射阶的振幅显著大于从底部工艺层获得的1阶衍射阶的振幅。光瞳的对应衍射阶的进一步干涉还可造成大的DC及含有OVL信息的信号的相对较小振幅。为了克服此问题,装置类目标可经设计以有效地减小对应于具有较大衍射效率的层(通常上部层)的衍射阶的振幅。
设备与此小光点的空间相干性极高,且因此测量可对光学器件中的缺陷及粉尘极为敏感。此类缺陷产生斑点,其可造成性能及准确度损失。为了避免此类损失,检验工具还可包含用于处置空间相干性的机构。例如,工具可经配置以利用扫描镜在光瞳平面中执行角扫描且在扫描中将信号平均化以计算叠对。此扫描对光学表面的不同区域进行平均化且可显著地减小光学缺陷及粉尘的影响。此扫描的缺点是其也可取样目标上的不同区域。因此,可使用较大目标。
检验工具还可经配置以除光学器件扫描之外还提供单独晶片扫描(例如,利用晶片载物台)。晶片扫描可与光学器件扫描同步,使得由光瞳平面中的光学扫描引起的场平面中的照明光点位置移动由所述载物台提供的目标移动补偿,这有效地消除了由光学器件的扫描引发的目标扫描。最终结果是对整个光学表面扫描但是在晶片上的单个位置上方固定的设备。还可使用固体沉浸来测量本文所揭示的目标。此设备可包含(例如)物镜与晶片之间具有额外的固体沉浸透镜的角度分辨散射仪。固体沉浸透镜可为具有平坦前表面的消球差透镜。
虽然已为了清楚理解目的而详细描述了前述本发明,但是将明白的是,可在所附权利要求书的范围内实践某些改变及修改。因此,所描述的实施例应当被视为说明性而非限制性的,且本发明不应当限于本文中给定的细节,而应当由所附权利要求书及其全部等效范围界定。

Claims (40)

1.一种确定目标的参数的方法,其包括
提供多个参考目标和多个生产目标,所述多个参考目标具有多个不同的、已知的一或多个参数值,每一参考目标具有成像结构及散射测量结构;
在计量工具的多个不同操作参数下,利用所述计量工具的成像通道获得针对每一参考目标的成像结构的图像,其中每一参考目标的每一成像结构包含未分辨的特征;
在所述计量工具的所述多个不同操作参数下,利用所述计量工具的散射测量通道从所述散射测量结构获得针对每一参考目标的散射测量信号;
基于针对所述多个参考目标中的每一者的所述图像及所述散射测量信号两者确定针对所述多个参考目标的至少一个参数;及
基于针对所述多个参考目标的经确定的至少一个参数中的最接近地匹配所述参考目标的所述已知的、不同的一或多个参数的参数,通过选择所述计量工具的所述不同操作参数的子集来确定配方;及
在确定所述配方之后,针对所述多个生产目标重复用于获得图像及/或散射测量信号及确定至少一个参数的操作,其中每一生产目标的每一成像结构包含未分辨的特征。
2.一种确定半导体目标的参数的方法,其包括:
从具有一或多个参数的已知变动的多个参考目标中的每一者接收散射测量及成像测量的第一集合,其中散射测量及成像测量的所述第一集合通过以下方法获取:
辐射源产生电磁辐射的入射光束,
照明光学器件引导所述入射光束朝向参考目标中的每一者,
散射测量光学器件响应于入射光束而接收从每一参考目标反射、衍射及/或散射的输出光束,并接着以散射测量的形式引导来自于每一参考目标的所述输出光束的至少一部分朝向散射测量检测器,
所述散射测量检测器获得来自所述散射测量光学器件的针对每一参考目标的所述散射测量,
成像光学器件接收每一参考目标的所述输出光束,且以每一参考目标的图像测量的形式引导所述每一参考目标的所述输出光束的至少一部分朝向成像检测器,及
所述成像检测器用于获得来自于所述成像光学器件的针对每一参考目标的所述图像测量;
基于散射测量及成像测量的所述第一集合确定信号响应测量SRM模型;
基于散射测量及成像测量的所述第一集合及所述一或多个参数的所述已知变动训练所述SRM模型;及
将来自目标的散射测量及成像测量输入到所述SRM模型中以确定一或多个未知参数,其中所述成像测量从由未分辨的特征组成的结构获得。
3.根据权利要求2所述的方法,散射测量及成像测量的所述第一集合为来自于CD-SEM(临界尺寸扫描电子显微镜)、SEM、TEM(穿隧电子显微镜)、AFM(原子力显微镜)或x射线计量系统的测量。
4.根据权利要求2所述的方法,其中所述已知变动为一或多个DOE(实验设计)晶片中的多个经编程的集合值。
5.根据权利要求2所述的方法,其中所述已知变动由以下方法确定:
测量来自于一或多个DOE晶片的多个参考值;
通过使用内插函数对多个内插值进行内插;及
使用所述参考值和所述内插值作为所述已知变动。
6.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合从具有多个经编程的工艺变动的多个DOE晶片获得。
7.根据权利要求6所述的方法,其中所述DOE晶片包含通过对光刻工具的焦点和计量编程的光致抗蚀剂层工艺变动。
8.根据权利要求6所述的方法,其中所述DOE晶片包含通过对一或多个在先工艺步骤的光刻工具的所述焦点和剂量以及一或多个蚀刻和淀积工艺工具的蚀刻和淀积参数编程的底层工艺变动。
9.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合和所述已知变动的至少一些由模拟器产生。
10.根据权利要求9所述的方法,其中经产生的散射测量及成像测量和已知变动包含多重几何、分散、工艺和系统参数的变动。
11.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合包含从一或多个DOE晶片获得的测量以及由一或多个模拟器产生的测量。
12.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合从多个散射测量目标和多个成像目标中获得。
13.根据权利要求2所述的方法,其中散射测量和成像测量的所述第一集合从装置区域或类似装置区域的目标获得。
14.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合包含在不同的计量工具焦点、波长和偏振处获得的测量。
15.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合包含来自于在先工艺步骤的测量。
16.根据权利要求2所述的方法,其中散射测量及成像测量的所述第一集合包含已知参数值。
17.根据权利要求2所述的方法,其中所述SRM模型经布置以使用下述模型中的一个或多个:PCA(主成分分析)、自动编码器、神经网络或线性模型。
18.根据权利要求2所述的方法,其中所述SRM模型受过模拟及真实图像的训练,但之后仅具有真实图像的所述SRM模型被用来确定一或多个未知参数。
19.根据权利要求2所述的方法,其中散射测量的所述第一集合从在传感器处产生多个衍射阶的目标获得。
20.根据权利要求2所述的方法,其中散射测量的所述第一集合从在X和Y两者的叠对的传感器处产生多个衍射阶的目标获得。
21.根据权利要求2所述的方法,其中散射测量的所述第一集合从在来自于结构的多个层的X和Y两者的叠对的传感器处产生多个衍射阶的目标获得。
22.根据权利要求2所述的方法,其中成像测量的所述第一集合从OCD(叠对临界尺寸)目标获得。
23.一种用于确定半导体目标的参数的计量设备,其包括:
辐射源,其用于产生电磁辐射的入射光束;
照明光学器件,其用于引导所述入射光束朝向目标;
散射测量光学器件,其用于响应于入射光束而接收从目标反射、衍射及/或散射的输出光束,并接着以散射测量信号的形式引导所述输出光束的至少一部分朝向散射测量检测器;
所述散射测量检测器用于获得来自所述散射测量光学器件的所述散射测量信号;
成像光学器件,其用于接收所述输出光束且以目标的图像的形式引导所述输出光束的至少一部分朝向成像检测器;
所述成像检测器用于获得来自所述成像光学器件的图像;及
处理器,其经配置以用于相对于所述辐射源、照明光学器件、散射测量光学器件、成像光学器件、散射测量检测器和成像检测器执行以下操作中的至少一些:
从具有一或多个参数的已知变动的多个参考目标中的每一者接收散射测量及成像测量的第一集合;
基于散射测量及成像测量的所述第一集合确定信号响应测量SRM模型;
基于散射测量及成像测量的所述第一集合及所述一或多个参数的所述已知变动训练所述SRM模型;及
将来自目标的散射测量及成像测量输入到所述SRM模型中以确定一或多个未知参数,其中所述成像测量从由未分辨的特征组成的结构获得。
24.根据权利要求23所述的设备,其为CD-SEM(临界尺寸扫描电子显微镜)、SEM、TEM(穿隧电子显微镜)、AFM(原子力显微镜)或x射线计量系统的形式。
25.根据权利要求23所述的设备,其中所述已知变动为一或多个DOE(实验设计)晶片中的多个经编程的集合值,其中所述已知变动由以下方法确定:
测量来自于一或多个DOE晶片的多个参考值;
通过使用内插函数对多个内插值进行内插;及
使用所述参考值和所述内插值作为所述已知变动。
26.根据权利要求23所述的设备,其中散射测量及成像测量的所述第一集合从具有多个经编程的工艺变动的多个DOE晶片获得,其中所述DOE晶片包含通过对光刻工具的焦点和计量编程的光致抗蚀剂层工艺变动。
27.根据权利要求26所述的设备,其中所述DOE晶片进一步包含通过对一或多个在先工艺步骤的光刻工具的所述焦点和剂量以及一或多个蚀刻和淀积工艺工具的蚀刻和淀积参数编程的底层工艺变动。
28.根据权利要求23所述的设备,其中散射测量及成像测量的所述第一集合和所述已知变动的至少一些由模拟器产生。
29.根据权利要求28所述的设备,其中经产生的散射测量及成像测量和已知变动包含多重几何、分散、工艺和系统参数的变动。
30.根据权利要求23所述的设备,其中散射测量及成像测量的所述第一集合包含从一或多个DOE晶片获得的测量以及由一或多个模拟器产生的测量。
31.根据权利要求23所述的设备,其中散射测量及成像测量的所述第一集合从多个散射测量目标和多个成像目标中获得。
32.根据权利要求23所述的设备,其中散射测量和成像测量的所述第一集合从装置区域或类似装置区域的目标获得。
33.根据权利要求23所述的设备,其中散射测量及成像测量的所述第一集合包含在所述设备的不同焦点、波长和偏振设置处获取的测量。
34.根据权利要求23所述的设备,其中散射测量及成像测量的所述第一集合包含来自于在先工艺步骤的测量。
35.根据权利要求23所述的设备,其中所述SRM模型受过模拟及真实图像的训练,但之后仅具有真实图像的所述SRM模型被用来确定一或多个未知参数。
36.根据权利要求23所述的设备,其中散射测量的所述第一集合从在传感器处产生多个衍射阶的目标获得。
37.根据权利要求23所述的设备,其中散射测量的所述第一集合从在X和Y两者的叠对的传感器处产生多个衍射阶的目标获得。
38.根据权利要求23所述的设备,其中散射测量的所述第一集合从在来自于结构的多个层的X和Y两者的叠对的传感器处产生多个衍射阶的目标获得。
39.根据权利要求23所述的设备,其中成像测量的所述第一集合从OCD(叠对临界尺寸)目标获得。
40.根据权利要求23所述的设备,其中成像测量的所述第一集合从由未分辨的特征组成的目标获得。
CN201811326714.6A 2014-05-12 2015-05-11 用于测量半导体参数的设备、技术和目标设计 Active CN109632819B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461991857P 2014-05-12 2014-05-12
US61/991,857 2014-05-12
US14/708,058 2015-05-08
US14/708,058 US9784690B2 (en) 2014-05-12 2015-05-08 Apparatus, techniques, and target designs for measuring semiconductor parameters
CN201580024936.0A CN106463430B (zh) 2014-05-12 2015-05-11 用于测量半导体参数的设备、技术和目标设计

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201580024936.0A Division CN106463430B (zh) 2014-05-12 2015-05-11 用于测量半导体参数的设备、技术和目标设计

Publications (2)

Publication Number Publication Date
CN109632819A true CN109632819A (zh) 2019-04-16
CN109632819B CN109632819B (zh) 2021-04-13

Family

ID=54367622

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201580024936.0A Active CN106463430B (zh) 2014-05-12 2015-05-11 用于测量半导体参数的设备、技术和目标设计
CN201811326714.6A Active CN109632819B (zh) 2014-05-12 2015-05-11 用于测量半导体参数的设备、技术和目标设计

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201580024936.0A Active CN106463430B (zh) 2014-05-12 2015-05-11 用于测量半导体参数的设备、技术和目标设计

Country Status (5)

Country Link
US (2) US9784690B2 (zh)
JP (2) JP6566968B2 (zh)
KR (2) KR102515228B1 (zh)
CN (2) CN106463430B (zh)
WO (1) WO2015175425A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114503123A (zh) * 2019-10-14 2022-05-13 科磊股份有限公司 用于计量的信号域适应
CN117288757A (zh) * 2023-09-25 2023-12-26 上海御微半导体技术有限公司 一种缺陷检测装置及缺陷检测方法

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters
KR101901770B1 (ko) * 2014-05-13 2018-09-27 에이에스엠엘 네델란즈 비.브이. 계측에 사용하기 위한 기판 및 패터닝 디바이스, 계측 방법, 및 디바이스 제조 방법
US10151986B2 (en) 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US9793178B2 (en) * 2014-08-28 2017-10-17 University Of Rochester Focused beam scatterometry apparatus and method
NL2016937A (en) 2015-06-17 2016-12-22 Asml Netherlands Bv Recipe selection based on inter-recipe consistency
KR102190305B1 (ko) 2015-12-23 2020-12-14 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법, 메트롤로지 장치 및 디바이스 제조 방법
US9754895B1 (en) 2016-03-07 2017-09-05 Micron Technology, Inc. Methods of forming semiconductor devices including determining misregistration between semiconductor levels and related apparatuses
JP6706814B2 (ja) * 2016-03-30 2020-06-10 パナソニックIpマネジメント株式会社 光検出装置および光検出システム
US10504759B2 (en) 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US9728470B1 (en) 2016-05-10 2017-08-08 Infineon Technologies Austria Ag Semiconductor structure and methods
CN109844647B (zh) * 2016-10-14 2022-06-10 科磊股份有限公司 基于衍射的聚焦度量
US10712145B2 (en) 2016-10-20 2020-07-14 Kla-Tencor Corporation Hybrid metrology for patterned wafer characterization
US10409171B2 (en) * 2017-01-25 2019-09-10 Kla-Tencor Corporation Overlay control with non-zero offset prediction
KR102495480B1 (ko) * 2017-02-10 2023-02-02 케이엘에이 코포레이션 산란계측 측정들에서의 격자 비대칭성들에 관련된 부정확성들의 완화
CN110383419B (zh) * 2017-02-27 2023-09-26 诺威有限公司 用于电测试预测的装置和方法
US10732516B2 (en) * 2017-03-01 2020-08-04 Kla Tencor Corporation Process robust overlay metrology based on optical scatterometry
TW201918700A (zh) * 2017-05-05 2019-05-16 美商3M新設資產公司 散射測量系統及其使用方法
US10598617B2 (en) * 2017-05-05 2020-03-24 Kla-Tencor Corporation Metrology guided inspection sample shaping of optical inspection results
CN108962776B (zh) * 2017-05-26 2021-05-18 台湾积体电路制造股份有限公司 半导体装置及其制造方法和覆盖误差的测量方法
US11112369B2 (en) * 2017-06-19 2021-09-07 Kla-Tencor Corporation Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay
EP3480659A1 (en) * 2017-11-01 2019-05-08 ASML Netherlands B.V. Estimation of data in metrology
US10837919B2 (en) * 2017-11-06 2020-11-17 Kla Corporation Single cell scatterometry overlay targets
KR102529770B1 (ko) * 2017-11-07 2023-05-04 에이에스엠엘 네델란즈 비.브이. 관심 특성을 결정하는 계측 장치 및 방법
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
KR20230147216A (ko) * 2017-11-29 2023-10-20 케이엘에이 코포레이션 디바이스 검사 시스템을 사용한 오버레이 에러의 측정
US11022966B1 (en) * 2017-12-15 2021-06-01 Synopsys, Inc. Method of modeling e-beam photomask manufacturing process using image-based artificial neural networks
US10959318B2 (en) * 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
EP3518040A1 (en) * 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3528049A1 (en) * 2018-02-20 2019-08-21 ASML Netherlands B.V. Metrology method and apparatus with increased bandwidth
WO2019166190A1 (en) 2018-02-27 2019-09-06 Stichting Vu Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US10707175B2 (en) * 2018-05-22 2020-07-07 Globalfoundries Inc. Asymmetric overlay mark for overlay measurement
EP3657256A1 (en) * 2018-11-20 2020-05-27 ASML Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
WO2020106335A1 (en) * 2018-11-21 2020-05-28 Kla-Tencor Corporation Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s)
KR102638267B1 (ko) 2018-12-03 2024-02-21 삼성전자주식회사 반도체 웨이퍼 불량 분석 시스템 및 그것의 동작 방법
CN109656103A (zh) * 2018-12-19 2019-04-19 上海华力集成电路制造有限公司 利用scd监控在线产品焦距变化的方法
US11231362B1 (en) * 2018-12-20 2022-01-25 Kla Corporation Multi-environment polarized infrared reflectometer for semiconductor metrology
US11410290B2 (en) * 2019-01-02 2022-08-09 Kla Corporation Machine learning for metrology measurements
US10804167B2 (en) * 2019-01-24 2020-10-13 Kla-Tencor Corporation Methods and systems for co-located metrology
WO2021005067A1 (en) * 2019-07-08 2021-01-14 Asml Netherlands B.V. Metrology method and associated computer product
US11042981B2 (en) * 2019-07-12 2021-06-22 SVXR, Inc. Methods and systems for printed circuit board design based on automatic corrections
US10921721B1 (en) * 2019-09-13 2021-02-16 Applied Materials, Inc. Measurement system and grating pattern array
US11520321B2 (en) * 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
KR20220164786A (ko) * 2020-04-06 2022-12-13 노바 엘티디. 스펙트럼 기반 계측 및 프로세스 제어를 위한 머신 및 딥 러닝 방법
US11460783B2 (en) 2021-01-07 2022-10-04 Kla Corporation System and method for focus control in extreme ultraviolet lithography systems using a focus-sensitive metrology target
US20240230551A9 (en) * 2021-03-09 2024-07-11 Hitachi High-Tech Corporation Defect inspection device, defect inspection method, and adjustment substrate
US11967535B2 (en) 2021-04-13 2024-04-23 Kla Corporation On-product overlay targets
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
KR102441250B1 (ko) 2022-02-21 2022-09-07 (주)네온테크 레이저를 사용하여 소재의 들뜸을 측정하는 검출 시스템 및 이를 사용한 소재의 들뜸을 측정하는 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040233439A1 (en) * 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20050185174A1 (en) * 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US20090135424A1 (en) * 2007-11-08 2009-05-28 Asml Netherlands B.V. Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method for Determining A Parameter of a Target Pattern
US20130342831A1 (en) * 2012-06-26 2013-12-26 Kla-Tencor Corporation Device-like scatterometry overlay targets
CN103530819A (zh) * 2013-10-18 2014-01-22 国家电网公司 并网型光伏电站发电系统的输出功率的测定方法及设备

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002025708A2 (en) * 2000-09-20 2002-03-28 Kla-Tencor-Inc. Methods and systems for semiconductor fabrication processes
US6934024B2 (en) 2000-10-18 2005-08-23 Regents Of The University Of Minnesota Ellipsometry methods and apparatus using solid immersion tunneling
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
DE10142316A1 (de) 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
US6986280B2 (en) 2002-01-22 2006-01-17 Fei Company Integrated measuring instrument
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
US7170604B2 (en) 2002-07-03 2007-01-30 Tokyo Electron Limited Overlay metrology method and apparatus using more than one grating per measurement direction
US6927080B1 (en) 2002-10-28 2005-08-09 Advanced Micro Devices, Inc. Structures for analyzing electromigration, and methods of using same
JP4746987B2 (ja) * 2002-12-05 2011-08-10 ケーエルエー−テンカー コーポレイション 散乱計測を用いてオーバレイ誤差を検出する装置および方法
JP4078257B2 (ja) * 2003-06-27 2008-04-23 株式会社日立ハイテクノロジーズ 試料寸法測定方法及び荷電粒子線装置
US7608468B1 (en) 2003-07-02 2009-10-27 Kla-Tencor Technologies, Corp. Apparatus and methods for determining overlay and uses of same
JP4065817B2 (ja) * 2003-08-12 2008-03-26 株式会社日立ハイテクノロジーズ 露光プロセスモニタ方法
US7678516B2 (en) 2004-07-22 2010-03-16 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
US7557921B1 (en) * 2005-01-14 2009-07-07 Kla-Tencor Technologies Corporation Apparatus and methods for optically monitoring the fidelity of patterns produced by photolitographic tools
US7408642B1 (en) 2006-02-17 2008-08-05 Kla-Tencor Technologies Corporation Registration target design for managing both reticle grid error and wafer overlay
US7528941B2 (en) 2006-06-01 2009-05-05 Kla-Tencor Technolgies Corporation Order selected overlay metrology
US7684038B1 (en) 2008-04-04 2010-03-23 Kla-Tencor Corporation Overlay metrology target
US8004679B2 (en) 2008-05-09 2011-08-23 Kla-Tencor Corporation Target design and methods for scatterometry overlay determination
US8263929B2 (en) 2008-08-06 2012-09-11 Hitachi High-Technologies Corporation Standard member for correction, scanning electron microscope using same, and scanning electron microscope correction method
WO2010040696A1 (en) 2008-10-06 2010-04-15 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-d target
SG172294A1 (en) * 2008-12-30 2011-07-28 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
WO2010130600A1 (en) * 2009-05-12 2010-11-18 Asml Netherlands B.V. Inspection method for lithography
WO2011011511A1 (en) 2009-07-22 2011-01-27 Kla-Tencor Corporation Angle-resolved antisymmetric scatterometry
CN102498441B (zh) * 2009-07-31 2015-09-16 Asml荷兰有限公司 量测方法和设备、光刻系统以及光刻处理单元
US8441639B2 (en) 2009-09-03 2013-05-14 Kla-Tencor Corp. Metrology systems and methods
JP2011192769A (ja) * 2010-03-15 2011-09-29 Renesas Electronics Corp 半導体デバイス製造方法、及び製造システム
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10101670B2 (en) * 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
TWI621190B (zh) 2013-06-19 2018-04-11 克萊譚克公司 併合成像及散射測量靶
US9784690B2 (en) * 2014-05-12 2017-10-10 Kla-Tencor Corporation Apparatus, techniques, and target designs for measuring semiconductor parameters

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040233439A1 (en) * 2003-02-22 2004-11-25 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20050185174A1 (en) * 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US20090135424A1 (en) * 2007-11-08 2009-05-28 Asml Netherlands B.V. Inspection Method and Apparatus, Lithographic Apparatus, Lithographic Processing Cell and Device Manufacturing Method for Determining A Parameter of a Target Pattern
US20130342831A1 (en) * 2012-06-26 2013-12-26 Kla-Tencor Corporation Device-like scatterometry overlay targets
CN103530819A (zh) * 2013-10-18 2014-01-22 国家电网公司 并网型光伏电站发电系统的输出功率的测定方法及设备

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114503123A (zh) * 2019-10-14 2022-05-13 科磊股份有限公司 用于计量的信号域适应
CN114503123B (zh) * 2019-10-14 2023-07-14 科磊股份有限公司 用于计量的信号域适应
CN117288757A (zh) * 2023-09-25 2023-12-26 上海御微半导体技术有限公司 一种缺陷检测装置及缺陷检测方法
CN117288757B (zh) * 2023-09-25 2024-08-13 上海御微半导体技术有限公司 一种缺陷检测装置及缺陷检测方法

Also Published As

Publication number Publication date
US10107765B2 (en) 2018-10-23
CN109632819B (zh) 2021-04-13
US20170356853A1 (en) 2017-12-14
JP2017523591A (ja) 2017-08-17
US9784690B2 (en) 2017-10-10
JP2019192943A (ja) 2019-10-31
JP6735884B2 (ja) 2020-08-05
CN106463430A (zh) 2017-02-22
KR20210148376A (ko) 2021-12-07
WO2015175425A1 (en) 2015-11-19
KR102515228B1 (ko) 2023-03-29
CN106463430B (zh) 2019-01-15
US20150323471A1 (en) 2015-11-12
KR20170003951A (ko) 2017-01-10
JP6566968B2 (ja) 2019-08-28
KR102332956B1 (ko) 2021-11-29

Similar Documents

Publication Publication Date Title
CN106463430B (zh) 用于测量半导体参数的设备、技术和目标设计
US11415900B2 (en) Metrology system and method for determining a characteristic of one or more structures on a substrate
CN105452963B (zh) 用于评价结构的所感兴趣的参数的值的重构品质的方法和检验设备以及计算机程序产品
US12066764B2 (en) Metrology apparatus and method for determining a characteristic of one or more structures on a substrate
US10859923B2 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
CN108886004B (zh) 用于自动多区带检测及建模的系统及方法
WO2017099870A1 (en) X-ray scatterometry metrology for high aspect ratio structures
TW201708985A (zh) 基於配方間一致性的配方選擇
CN105765463B (zh) 用于计算结构的电磁散射性质及用于估计其几何和材料参数的方法和装置
JP6903131B2 (ja) リソグラフィプロセスのパラメータを測定する方法及び装置、そのような方法及び装置を実施するためのコンピュータプログラム製品
CN107924561A (zh) 使用图像的以模型为基础的计量
CN107076681A (zh) 用于基于图像及散射术的叠对测量的信号响应度量
TW200916978A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2014647A (en) Substrate and Patterning Device for use in Metrology, Metrology Method and Device Manufacturing Method.
JP2018507438A (ja) メトロロジの方法及び装置、コンピュータプログラム、並びにリソグラフィシステム
JP2020525831A (ja) メトロロジパラメータ決定及びメトロロジレシピ選択
TW201712440A (zh) 檢測設備、檢測方法、微影設備及製造方法
KR20220038098A (ko) 계측 측정에 있어서 에러 감소를 위한 시스템 및 방법
JP2022548163A (ja) イメージングベースのオーバレイ測定の品質指標として高調波検出率を適用するためのシステムと方法
EP3401733A1 (en) Method of measuring a structure, inspection apparatus, lithographic system and device manufacturing method
TWI231358B (en) Determination of center of focus by parameter variability analysis

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant