KR20190003814A - 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법 - Google Patents

디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법 Download PDF

Info

Publication number
KR20190003814A
KR20190003814A KR1020187037692A KR20187037692A KR20190003814A KR 20190003814 A KR20190003814 A KR 20190003814A KR 1020187037692 A KR1020187037692 A KR 1020187037692A KR 20187037692 A KR20187037692 A KR 20187037692A KR 20190003814 A KR20190003814 A KR 20190003814A
Authority
KR
South Korea
Prior art keywords
pattern mask
metrology target
metrology
target pattern
sample
Prior art date
Application number
KR1020187037692A
Other languages
English (en)
Other versions
KR102450663B1 (ko
Inventor
명준 이
마크 디 스미스
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Priority to KR1020227033656A priority Critical patent/KR102590192B1/ko
Publication of KR20190003814A publication Critical patent/KR20190003814A/ko
Application granted granted Critical
Publication of KR102450663B1 publication Critical patent/KR102450663B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements

Abstract

리소그래피 시스템은, 제1 방향을 따라 이격되고 광축 주위에 대칭적으로 분포된 두 개의 조명 극을 포함하는 조명 소스와, 조명 소스로부터 조명을 수광하는 패턴 마스크와, 패턴 마스크에 대응하는 이미지를 샘플 상에 생성하기 위한 투영 광학 장치의 세트를 포함한다. 패턴 마스크는 계측 타겟 패턴 마스크 및 디바이스 패턴 마스크 요소를 포함한다. 디바이스 패턴 마스크 요소는 제1 방향을 따라 디바이스 이격 거리로 분포된다. 계측 타겟 패턴 마스크는 디바이스 패턴 마스크 요소의 회절 패턴에 대응하는 회절 패턴을 갖는 계측 타겟 패턴 마스크 요소의 세트를 포함한다. 계측 타겟 패턴 마스크와 관련된 샘플 상에 생성된 계측 타겟은 제2 방향을 따라 특성화가능하고, 디바이스 패턴 마스크 요소와 관련된 샘플 상에 생성된 디바이스 패턴 요소의 인쇄 특성에 대응하는 인쇄 특성을 갖는다.

Description

디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법
관련 출원에 대한 상호 참조
본 출원은 발명자인 이 명준 및 마크 디. 스미스(Mark D. Smith)에 의해 2014년 4월 1일자로 출원된 “회전 조명으로 조명되는 경사 디바이스에 대해 대각선 서브 해상도 보조 피처를 사용하는 오버레이 계측 타겟 디자인(OVERLAY METROLOGY TARGET DESIGN USING DIAGONAL SUB-RESOLUTION ASSIST FEATURES FOR TILTED DEVICES ILLUMINATED BY ROTATED ILLUMINATION)”이라는 명칭의 미국 가출원 제61/973,266 호의 35 USC §119(e)에 의거한 이익을 주장하고, 이 미국 가출원은 그 전체가 본원에 참고로 포함된다.
본 발명은 일반적으로 계측(metrology)에 관한 것으로서, 보다 상세하게는 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟(metrology targets)에 관한 것이다.
계측 타겟은 전형적으로 두 개의 직교 측정 방향을 따라 측정가능한 진단 정보를 제공하도록 디자인된다. 이와 관련하여, 계측 툴은 모든 방향에서 반도체 층의 인쇄 특성을 특징화하기 위한 기초로서 두 개의 직교 측정 방향에 따른 계측 타겟의 하나 이상의 계측 메트릭(예컨대, 오버레이 오차, 임계 치수, 측벽 각도 등)을 측정할 수 있다. 그러나, 반도체 층의 일부 인쇄된 디바이스 피처(printed device features)가 계측 타겟에 의해 좌우되는 직교 측정 방향에 대해 회전되는 경우가 있을 수 있다. 또한, 상술한 바와 같이, 특정 배향으로 디바이스 피처를 제조하도록 맞춤화된 조명 프로파일(예를 들어, 전술한 예시의 회전된 라인/공간 피처를 제조하도록 맞춤화된 회전된 쌍극 조명 프로파일)은 모든 방향에서 동일한 인쇄 특성을 제공하지 않을 수 있다. 이러한 방식으로, 직교 측정 방향을 따라 배향된 인쇄된 피처를 포함하는 계측 타겟은 디바이스 피처와 상이한 인쇄 특성을 나타낼 수 있다. 따라서, 계측 타겟의 계측 측정은 디바이스 피처의 프린팅 특성의 정확한 표현을 제공하지 않을 수 있다. 따라서, 위에서 식별된 것과 같은 결함을 치유하는 시스템 및 방법을 제공하는 것이 바람직할 것이다.
리소그래피 시스템은 본 발명의 하나 이상의 예시적인 실시예에 따라 개시된다. 일 예시적인 실시예에서, 리소그래피 시스템은 리소그래피 시스템의 광축 주위로 대칭적으로 분포된 두 개의 조명 극(illumination poles)을 포함하는 조명 소스(illumination source)를 포함한다. 다른 예시적인 실시예에서, 두 개의 조명 극은 제1 방향을 따라 이격된다. 다른 예시적인 실시예에서, 리소그래피 시스템은 조명 소스로부터 조명을 수광하도록 배치된 패턴 마스크를 포함한다. 다른 예시적인 실시예에서, 패턴 마스크는 계측 타겟 패턴 마스크 및 복수의 디바이스 패턴 마스크 요소를 포함한다. 다른 예시적인 실시예에서, 디바이스 패턴 마스크 요소의 적어도 일부는 제1 방향을 따라 디바이스 이격 거리로 분포된다. 다른 예시적인 실시예에서, 계측 타겟 패턴 마스크는 복수의 디바이스 패턴 마스크 요소의 회절 패턴에 대응하는 회절 패턴을 갖는 계측 타겟 패턴 마스크 요소의 세트를 포함한다. 다른 예시적인 실시예에서, 리소그래피 시스템은 샘플 상에 패턴 마스크에 대응하는 이미지를 생성하기 위한 투영 광학 장치의 세트(a set of projection optics)를 포함한다. 다른 예시적인 실시예에서, 계측 타겟 패턴 마스크와 관련된 샘플 상에 생성된 계측 타겟은 제1 방향과 다른 제2 방향을 따라 특성화될 수 있다. 다른 예시적인 실시예에서, 계측 타겟의 하나 이상의 인쇄 특성은 디바이스 패턴 마스크 요소와 관련된 샘플 상에 생성된 디바이스 패턴 요소의 하나 이상의 인쇄 특성에 대응한다.
리소그래피 시스템은 본 발명의 하나 이상의 예시적인 실시예에 따라 개시된다. 일 예시적인 실시예에서, 리소그래피 시스템은 리소그래피 시스템의 광축 주위로 대칭적으로 분포된 2 개의 조명 극을 포함하는 조명 소스를 포함한다. 다른 예시적인 실시예에서, 두 개의 조명 극은 제1 방향을 따라 이격된다. 다른 예시적인 실시예에서, 패턴 마스크는 조명 소스로부터 조명을 수광하도록 배치된다. 다른 예시적인 실시예에서, 패턴 마스크는 계측 타겟 패턴 마스크 및 복수의 디바이스 패턴 마스크 요소를 포함한다. 다른 예시적인 실시예에서, 디바이스 패턴 마스크 요소의 적어도 일부는 제1 방향을 따라 디바이스 분리 거리로 분포된다. 다른 예시적인 실시예에서, 계측 타겟 패턴 마스크는 제1 방향을 따라 디바이스 이격 거리로 분포된 계측 타겟 패턴 마스크 요소의 세트를 포함한다. 다른 예시적인 실시예에서, 리소그래피 시스템은 샘플 상에 패턴 마스크에 대응하는 이미지를 생성하기 위한 투영 광학 장치의 세트를 포함한다. 다른 예시적인 실시예에서, 계측 타겟 패턴 마스크와 관련된 샘플 상에 생성된 계측 타겟은 제1 방향과 다른 제2 방향을 따라 특성화될 수 있다.
패턴 마스크는 본 발명의 하나 이상의 예시적인 실시예에 따라 개시된다. 일 예시적인 실시예에서, 패턴 마스크는 계측 타겟 패턴 마스크를 포함한다. 다른 예시적인 실시예에서, 패턴 마스크는 복수의 디바이스 패턴 마스크 요소를 포함한다. 다른 예시적인 실시예에서, 디바이스 패턴 마스크 요소의 적어도 일부는 제1 방향을 따라 디바이스 이격 거리로 분포된다. 다른 예시적인 실시예에서, 계측 타겟 패턴 마스크는 복수의 디바이스 패턴 마스크 요소의 회절 패턴에 대응하는 회절 패턴을 갖는 계측 타겟 패턴 마스크 요소의 세트를 포함한다. 다른 예시적인 실시예에서, 계측 패턴 마스크의 이미지와 관련된 샘플 상에 생성된 계측 타겟은 디바이스 패턴 마스크 요소와 관련된 샘플 상에 생성된 디바이스 패턴 요소의 하나 이상의 인쇄 특성에 대응하는 하나 이상의 인쇄 특성을 포함한다. 다른 예시적인 실시예에서, 샘플 상에 생성된 계측 타겟은 제1 방향과 다른 제2 방향을 따라 특성화될 수 있다.
계측 타겟 패턴 마스크를 디자인하는 방법은 본 발명의 하나 이상의 예시적인 실시예에 따라 개시된다. 일 예시적인 실시예에서, 상기 방법은 상이한 세그멘테이션 피치(segmentation pitches)를 갖는 복수의 계측 타겟 패턴 마스크의 모델을 생성하는 것을 포함한다. 다른 예시적인 실시예에서, 복수의 계측 타겟 패턴 마스크 중의 계측 타겟 패턴 마스크는 제1 방향을 따라 분포된 계측 타겟 패턴 마스크 요소의 세트를 포함한다. 다른 예시적인 실시예에서, 상기 방법은 복수의 계측 타겟 패턴 마스크의 이미지와 관련된 복수의 계측 타겟의 하나 이상의 인쇄 특성을 시뮬레이팅하는 것을 포함한다. 다른 예시적인 실시예에서, 계측 타겟은 제1 방향과 다른 제2 방향을 따라 특성화될 수 있다. 다른 예시적인 실시예에서, 상기 방법은 복수의 계측 타겟의 하나 이상의 인쇄 특성을 복수의 디바이스 패턴 마스크 요소의 이미지와 관련된 복수의 디바이스 패턴 요소의 하나 이상의 인쇄 특성과 비교하는 것을 포함한다. 다른 예시적인 실시예에서, 상기 방법은 복수의 계측 타겟의 하나 이상의 인쇄 특성과 복수의 디바이스 패턴 마스크 요소의 하나 이상의 인쇄 특성을 비교하는 것 사이의 대응 관계(correspondence)에 기초하여, 복수의 계측 타겟 패턴 마스크 중의 특정 계측 타겟 패턴 마스크를 선택하는 것을 포함한다.
전술한 일반적인 설명 및 다음의 상세한 설명은 모두 예시적이고 설명만을 위한 것일 뿐이며, 본 발명을 청구된 바와 같이 반드시 국한하는 것이 아니라는 것을 이해해야 한다. 본 명세서에 통합되어 그 일부를 구성하는 첨부 도면은 본 발명의 실시예를 도시하고, 일반적인 설명과 함께 본 발명의 원리를 설명하는 역할을 한다.
본 발명의 다수의 이점은 첨부된 도면을 참조하면 당업자에게 보다 잘 이해될 수 있다.
도 1a는 본 발명의 하나 이상의 실시예에 따른 반도체 디바이스 시스템을 도시한 개념도이다.
도 1b는 본 발명의 하나 이상의 실시예에 따른 리소그래피 서브 시스템을 도시한 개념도이다.
도 1c는 본 발명의 하나 이상의 실시예에 따른 계측 서브 시스템을 도시한 개념도이다.
도 1d는 본 발명의 다른 실시예에 따른 계측 서브 시스템을 도시한 개념도이다.
도 2a는 본 발명의 하나 이상의 실시예에 따른 계측 타겟을 포함한 샘플의 일부를 도시한 평면도이다.
도 2b는 본 발명의 하나 이상의 실시예에 따라 계측 타겟에 대해 회전된 인쇄된 디바이스 피처를 포함하는 샘플의 일부분을 도시한 평면도이다.
도 3은 본 발명의 하나 이상의 실시예에 따라 회전된 인쇄 패턴 요소를 제조하기 위한 조명 소스의 쌍극 조명 프로파일을 도시한 도면이다.
도 4a는 본 발명의 하나 이상의 실시예에 따른 패턴 마스크와 조명 소스의 제1 조명 극의 다중 회절 빔과 관련된 빔 경로를 도시하는 리소그래피 서브 시스템의 개념도이다.
도 4b는 본 발명의 하나 이상의 실시예에 따른 패턴 마스크와 제2 조명 극의 다중 회절 빔과 관련된 빔 경로를 도시하는 리소그래피 서브 시스템의 개념도이다.
도 5는 본 발명의 하나 이상의 실시예에 따른 리소그래피 서브 시스템의 동공 평면(pupil plane)(406)에서의 회절 빔의 분포를 도시한 도면이다.
도 6은 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크를 포함한 패턴 마스크의 일부를 도시한 평면도이다.
도 7a는 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크의 일부를 도시한 평면도이다.
도 7b는 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크의 일부를 도시한 평면도이다.
도 7c는 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크(60)의 일부를 도시한 평면도이다.
도 8a는 본 발명의 하나 이상의 실시예에 따라, 도 7a 내지 도 7c에 도시된 디바이스 패턴 마스크 피처 및 계측 패턴 마스크 피처와 관련된 회전된 라인/공간 패턴 마스크 요소를 포함한 이진 패턴 마스크의 동공 평면 회전 패턴을 도시한 회절 프로파일을 포함한다.
도 8b는 본 발명의 하나 이상의 실시예에 따라, 도 7a 내지 도 7c에 도시된 디바이스 패턴 마스크 피처 및 계측 패턴 마스크 피처와 관련된 회전된 라인/공간 패턴 마스크 요소를 포함한 위상 시프트 패턴 마스크의 동공 평면 회절 패턴을 도시한 회절 프로파일을 포함한다.
도 9의 A는 본 발명의 하나 이상의 실시예에 따라, 도 7a에 도시된 패턴 마스크 피처와 관련된 인쇄된 패턴 피처를 도시한 도면이다.
도 9의 B는 본 발명의 하나 이상의 실시예에 따라, 도 7b에 도시된 패턴 마스크 피처와 관련된 인쇄된 패턴 피처(904)를 도시한 도면이다.
도 9의 C는 본 발명의 하나 이상의 실시예에 따라, 도 7c에 도시된 패턴 마스크 피처와 관련된 인쇄된 패턴 피처(908)를 도시한 도면이다.
도 10은 본 발명의 하나 이상의 실시예에 따라, 유사한 인쇄 특성을 갖는 계측 타겟 및 디바이스의 인쇄를 가능하게 하기 위해 계측 패턴 마스크 요소 및 디바이스 패턴 마스크 요소를 공동 최적화(co-optimizing)하는 방법을 도시한 흐름도이다.
이제, 첨부된 도면에 도시된 본 발명의 대상에 대해 상세한 참조가 행해질 것이다.
일반적으로 도 1 내지 도 10을 참조하면, 본 발명의 실시예는 회전된 세그먼트화된 패턴 요소를 갖는 계측 타겟에 관한 것이다. 추가적인 실시예는, 세그먼트의 배향 및/또는 이격이 디바이스의 인쇄된 요소의 배향 및/또는 이격과 대응하는 회전된 세그먼트화된 패턴 요소를 갖는 계측 타겟에 관한 것이다. 추가적인 실시예는, 세그먼트의 배향 및/또는 이격이 디바이스의 인쇄된 요소의 배향 및/또는 이격과 대응하는 회전된 세그먼트화된 패턴 요소를 갖는 서브 해상도 보조 피처(SRAF: Sub-Resolution Assist Feature)를 포함한 회전된 세그먼트화된 패턴 요소를 갖는 계측 타겟에 관한 것이다. 이와 관련하여, 리소그래피 공정의 편차(deviations)는 디바이스 및 계측 타겟의 인쇄된 요소의 인쇄 특성에 유사하게 영향을 줄 수 있다. 다른 실시예는 회전된 세그먼트화된 패턴 요소를 가진 계측 타겟을 제공하기 위한 패턴 마스크에 관한 것이다.
반도체 디바이스는 기판 상에 패터닝된 재료의 다중 인쇄된 층으로서 형성될 수 있음이 인식된다. 각각의 인쇄된 층은, 하나 이상의 재료 증착 단계, 하나 이상의 리소그래피 단계 또는 하나 이상의 에칭 단계와 같은 일련의 공정 단계를 통해 제조될 수 있지만, 이에 한정되는 것은 아니다. 또한, 각각의 인쇄된 층은 최종 디바이스를 적절히 구성하기 위해 특정의 허용 오차 내에서 제조되어야 한다. 예를 들어, 선폭, 측벽 각도 및 각 층 내의 인쇄된 요소의 상대적인 배치와 같은 인쇄 특성은 잘 특성화되고 제어되어야 하며, 이들 인쇄 특성은 이에 국한되는 것은 아니다. 따라서, 계측 타겟은 하나 이상의 인쇄된 층 상에 제조되어, 층의 인쇄 특성을 효율적으로 특성화할 수 있다. 이와 관련하여, 인쇄된 층 상의 계측 타겟의 인쇄된 특성의 편차는 그 층 상의 모든 인쇄된 요소의 인쇄된 특성의 편차를 나타낼 수 있다.
리소그래피 단계에서 인쇄된 패턴의 피처의 밀도뿐만 아니라 최소 피처 크기는 리소그래피 시스템의 투영 광학 장치의 광학 해상도에 의해 적어도 부분적으로 제한된다. 그러나, 리소그래피 시스템의 해상도에 가깝거나 이보다 낮은 피처는 다양한 리소그래피 기술을 사용하여 제조될 수 있다.
계측 타겟은, 전형적으로 하나 이상의 인쇄 특성의 정확한 표현을 제공하기 위해 특정 기하학적 구조로 배열된 명확한(well-defined) 인쇄된 요소를 포함할 수 있다. 따라서, 계측 타겟은 전형적으로 두 개의 직교 방향을 따라 인쇄 특성의 정확한 측정을 제공하도록 디자인될 수 있다. 예를 들어, 오버레이 계측 타겟은, 각 층의 요소들의 상대적 위치가 두 개의 직교 방향을 따라 측정되어 임의의 방향을 따른 오프셋 오차(예를 들어, 패턴 배치 오차(PPE: Pattern Placement Errors))를 기술하도록 배열된 두 개 이상의 인쇄된 층 상에 인쇄된 요소를 포함할 수 있다.
주어진 층 상의 계측 타겟의 인쇄된 요소는 그 층의 인쇄된 디바이스 요소와 동일한 특성(예를 들어, 패턴 배치 오차, 측벽 각도, 임계 치수 등)으로 인쇄하는 것이 일반적으로 바람직하다. 이와 관련하여, 계측 타겟의 인쇄 특성은 전체 인쇄 층의 인쇄 특성을 특성화하는 데 사용될 수 있다. 그러나, 명확한 측정 방향을 가진 계측 타겟의 사용은 측정 방향에 대해 회전된 인쇄 요소를 포함한 디바이스 층에 대해 문제를 발생시킬 수 있다.
또한, 인쇄된 피처의 특성이 공정 파라미터의 편차에 대해 견고한 정도는 다양한 인자에 의존할 수 있다. 예를 들어, 공정 파라미터의 편차에 대한 견고성은 원하는 인쇄된 피처의 치수 및/또는 밀도(그러나, 이에 국한되지 않음)와 같은 원하는 패턴 피처의 특성에 의해 영향을 받을 수 있다. 또한, 공정 파라미터의 편차에 대한 견고성은, 초점 심도(DOF: Depth of Focus), 투영 광학 장치의 개구 수(NA: Numerical Aperture), 조명 소스의 형상, 조명 소스의 대칭성, 조명 소스의 스펙트럼 내용 또는 조명 소스의 코히어런스(coherence)(그러나, 이에 국한되지 않음)와 같은 리소그래피 툴의 광학 특성에 의해 영향을 받을 수 있다. 또한, 공정 파라미터의 편차에 대한 견고성은, 인쇄된 패턴을 생성하기 위해 샘플 상에 이미징되는, 패턴 요소의 투과성, 패턴 요소에 의해 유도된 광학 위상 또는 투영 광학 장치의 해상도에 대한 패턴 요소의 치수(그러나, 이에 국한되지 않음)와 같은 패턴 마스크의 특성에 의해 영향을 받을 수 있다. 또한, 인쇄된 파라미터의 견고성과 관련된 많은 그러한 특성은 상호 의존적일 수 있다.
따라서, 특정 층의 인쇄된 요소에 대해 맞춤화된 리소그래피 시스템의 특정 구성(예를 들어, 조명 소스의 조명 프로파일, 패턴 마스크 기술 등)이 디바이스 요소 및 계측 타겟 요소에 대해 일관된 인쇄 특성을 제공하지 못하는 경우가 있을 수도 있다. 일부의 경우, 조명 소스의 프로파일은 특정 패턴 및/또는 배향에 대해 맞춤화될 수 있다. 예시적인 예로서, 비축 조명(off-axis illumination)은 고차 회절 차수를 패턴 마스크로부터 투영 광학 장치로 향하게 함으로써, 축상 조명(on-axis illumination)에 비해 해상도 및/또는 피사계 심도(depth of field)를 향상시킬 수 있다. 따라서, 주기적인 일련의 라인(예를 들어, 라인/공간 패턴)을 인쇄하기 위해 맞춤화된 조명 소스는 인쇄된 라인의 피치 방향을 따라 이격된 (예를 들어, 인쇄된 라인에 직교하는) 두 개의 조명 극으로 구성된 쌍극 조명 소스를 포함할 수 있다. 이 층에 대한 패턴 마스크는 명확한 측정 방향뿐만 아니라 측정 방향에 대해 회전된 라인/공간 패턴을 갖는 계측 타겟에 대한 마스크 패턴을 포함할 수 있다. 그러나, 디바이스 요소 및 계측 요소의 인쇄 특성(예를 들어, 패턴 배치 오차 등)은 일관성이 없을 수도 있다. 또한, 리소그래피 시스템 내의 일시적으로 변하는 수차(예를 들어, 리소그래피 시스템의 온도, 압력, 공기 흐름 등의 변동과 관련됨)는 디바이스 요소 및 계측 타겟 요소의 인쇄 특성의 예기치 않은 변동을 초래할 수 있다.
본 발명의 실시예는 동일한 인쇄 층에서의 회전된 디바이스 요소의 인쇄 특성에 대응하는 인쇄 특성을 갖는 계측 타겟을 제공 및/또는 분석하는 시스템 및 방법에 관한 것이다. 추가의 실시예는 회전된 디바이스 요소의 회절 패턴에 대응하는 회절 패턴을 갖는 계측 타겟을 제공 및/또는 분석하는 시스템 및 방법에 관한 것이다.
본 명세서 전반에 걸쳐 사용되는 바와 같이, 용어 "샘플"은 일반적으로 반도체 또는 비 반도체 물질(예를 들어, 웨이퍼 등)로 형성된 기판을 지칭한다. 예를 들어, 반도체 또는 비 반도체 재료는 단결정 실리콘, 갈륨 비화물 및 인듐 인화물을 포함할 수 있지만, 이에 국한되지는 않는다. 샘플은 하나 이상의 층을 포함할 수 있다. 예를 들어, 이러한 층은 레지스트, 유전체 재료, 도전성 재료 및 반도전성 재료를 포함할 수 있지만, 이에 국한되는 것은 아니다. 많은 상이한 타입의 이러한 층이 당업계에 공지되어 있으며, 본원에서 사용된 용어 "샘플"은 모든 타입의 이러한 층이 형성될 수 있는 샘플을 포괄하는 것으로 의도된다. 샘플 상에 형성된 하나 이상의 층은 패터닝되거나 패터닝되지 않을 수 있다. 예를 들어, 샘플은 각각 반복 가능한 패터닝된 피처를 갖는 복수의 다이를 포함할 수 있다. 이러한 재료 층의 형성 및 처리에 의해 궁극적으로 완성된 디바이스가 생성될 수 있다. 많은 상이한 타입의 이러한 디바이스는 샘플 상에 형성될 수 있으며, 본원에서 사용된 용어 "샘플"은 당해 분야에서 알려진 임의의 타입의 디바이스가 제조되는 샘플을 포괄하는 것으로 의도된다. 또한, 본 발명의 개시의 목적을 위해, 샘플 및 웨이퍼라는 용어는 상호 교환 가능한 것으로 해석되어야 한다. 또한, 본 발명의 개시의 목적을 위해, 패터닝 디바이스, 마스크 및 레티클이라는 용어는 상호 교환 가능한 것으로 해석되어야 한다.
도 1a는 본 발명의 하나 이상의 실시예에 따른 반도체 디바이스 시스템(100)을 도시한 개념도이다. 일 실시예에서, 이 시스템(100)은 본 발명의 하나 이상의 실시예에 따라 샘플(122)에 하나 이상의 패턴(예를 들어, 계측 타겟 패턴 등)을 리소그래피로 인쇄하기 위한 리소그래피 서브 시스템(102)을 포함한다. 리소그래피 서브 시스템(102)은 당해 분야에서 공지된 임의의 리소그래피 인쇄 툴을 포함할 수 있다. 예를 들어, 리소그래피 서브 시스템(102)은 스캐너(scanner) 또는 스테퍼(stepper)를 포함할 수 있지만, 이에 국한되는 것은 아니다. 다른 실시예에서, 이 시스템(100)은 샘플(122)상의 하나 이상의 인쇄된 패턴을 특성화하기 위한 계측 서브 시스템(104)을 포함한다. 예를 들어, 계측 서브 시스템(104)은 당해 분야에서 공지된 임의의 방법을 사용하여 임의의 계측 메트릭(예컨대, 오버레이 오차, CD, 측벽 각도 등)을 측정할 수 있다. 일 실시예에서, 계측 서브 시스템(104)은 샘플(122)의 하나 이상의 이미지의 생성에 기초하여 계측 데이터를 측정하는 이미지 기반 계측 툴을 포함한다. 다른 실시예에서, 계측 서브 시스템(104)은 샘플로부터의 광의 산란(반사, 회절, 확산 산란 등)에 기초하여 계측 데이터를 측정하기 위한 스캐터로메트리(scatterometry) 기반 계측 시스템을 포함한다.
다른 실시예에서, 이 시스템(100)은 제어기(106)를 포함한다. 다른 실시예에서, 제어기(106)는 메모리 매체(110) 상에 유지되는 프로그램 명령어를 실행하도록 구성된 하나 이상의 프로세서(108)를 포함한다. 이와 관련하여, 제어기(106)의 하나 이상의 프로세서(108)는 본 명세서 전체에 걸쳐 기술된 다양한 공정 단계 중 임의의 것을 실행할 수 있다. 또한, 제어기(106)는 마스크 지지 디바이스(116) 및/또는 샘플 스테이지(124)에 통신 가능하게 연결되어, 샘플(122)(예를 들어, 샘플 상의 레지스트 층(126) 등)로의 패턴 마스크(118) 상의 패턴 요소의 전사를 지시한다. 본원에서 주목할 것은, 본 발명의 리소그래피 서브 시스템(102)이 본원 명세서 전체에 걸쳐 기술된 임의의 패턴 마스크 디자인을 구현할 수 있다는 것이다. Lee 등은 2009 년 6 월 9 일에 허여된 미국 특허 제 7,545,520 호의 마스크 기반 리소그래피(mask-based lithography)를 일반적으로 기술하고 있으며, 이 미국 특허는 그 전체가 본원에 포함된다.
계측 서브 시스템(104)은 반도체 제조와 관련된 다양한 타입의 측정을 제공할 수 있다. 예를 들어, 계측 서브 시스템(104)은 임계 치수, 오버레이, 측벽 각도, 막 두께, 또는 공정 관련 파라미터(예를 들어, 리소그래피 단계 동안의 샘플(122)의 초점 위치, 리소그래피 단계 동안의 조명의 노출 도즈량 등)(하지만, 이에 국한되지는 않음)와 같은 하나 이상의 계측 타겟의 하나 이상의 계측 메트릭을 제공할 수 있다.
도 1b는 본 발명의 하나 이상의 실시예에 따른 리소그래피 서브 시스템(102)을 도시한 개념도이다. 일 실시예에서, 리소그래피 서브 시스템(102)은 하나 이상의 조명 빔(114)을 생성하도록 구성된 조명 소스(112)를 포함한다. 하나 이상의 조명 빔(114)은 자외선(UV) 방사선, 가시 광선 또는 적외선(IR) 방사선을 포함하지만, 이에 국한되지 않는 하나 이상의 선택된 파장의 광을 포함할 수 있다. 다른 실시예에서, 조명 소스(112)는 당해 분야에서 공지된 임의의 패턴을 갖는 하나 이상의 조명 빔(114)을 생성할 수 있다. 예를 들어, 조명 소스(112)는 단극(single-pole) 조명 소스, 쌍극(dipole) 조명 소스, C-쿼드(C-Quad) 조명 소스, 퀘이사(Quasar) 조명 소스 또는 자유형 조명 소스를 포함할 수 있지만, 이에 국한되는 것은 아니다.
다른 실시예에서, 리소그래피 서브 시스템(102)은 마스크 지지 디바이스(116)를 포함한다. 마스크 지지 디바이스(116)는 패턴 마스크(118)를 고정하도록 구성된다. 다른 실시예에서, 리소그래피 서브 시스템(102)은 하나 이상의 조명 빔(114)에 의해 조명된 패턴 마스크(118)의 이미지를 샘플 스테이지(124) 상에 배치된 샘플(122)의 표면 상에 투영하도록 구성된 투영 광학 장치의 세트(120)를 포함한다. 예를 들어, 투영 광학 장치의 세트(120)는 패턴 마스크(118)의 이미지를 샘플(122) 상의 레지스트 층(126) 상에 투영하여, 패턴 마스크(118) 상의 패턴 요소에 대응하는 레지스트 층(126) 상에 인쇄된 패턴 요소(예를 들어, 계측 패턴)를 생성하도록 구성될 수 있다. 다른 실시예에서, 마스크 지지 디바이스(116)는 패턴 마스크(118)를 작동시키거나 위치시키도록 구성될 수 있다. 예를 들어, 마스크 지지 디바이스(116)는 시스템(100)의 투영 광학 장치의 세트(120)에 대하여 선택된 위치로 패턴 마스크(118)를 작동시킬 수 있다.
패턴 마스크(118)는 당해 분야에서 공지된 임의의 이미징 구성에서 (예를 들어, 리소그래피 서브 시스템(102)에 의해) 이용될 수 있다. 예를 들어, 패턴 마스크(118)는 패턴 요소가 샘플(122)의 레지스트 층(126)의 인쇄된 패턴 요소로서 포지티브하게 이미징되는 포지티브 마스크(예를 들어, 명시야 마스크(bright-field mask))일 수 있다. 다른 예로서, 패턴 마스크(118)는 패턴 마스크(118)의 패턴 요소가 샘플(122)의 레지스트 층(126)의 네거티브 인쇄된 패턴 요소(예를 들어, 갭, 공간 등)를 형성하는 네거티브 마스크(예를 들어, 암시야 마스크(dark-field mask))일 수 있다.
도 1c는 본 발명의 하나 이상의 실시예에 따른 계측 서브 시스템(104)을 도시한 개념도이다. 일 실시예에서, 계측 서브 시스템(104)은 계측 조명 빔(130)을 생성하는 계측 조명 소스(128)를 포함한다. 다른 실시예에서, 계측 조명 소스(128)는 조명 소스(112)와 동일하다. 다른 실시예에서, 계측 조명 소스(128)는 별도의 계측 조명 빔(130)을 생성하도록 구성된 별도의 조명 소스이다. 계측 조명 빔(130)은 자외선(UV) 방사선, 가시 광선 또는 적외선(IR) 방사선을 포함하지만, 이에 국한되지 않는 하나 이상의 선택된 파장의 광을 포함할 수 있다.
다른 실시예에서, 계측 조명 소스(128)는 계측 조명 빔(130)을 조명 경로(132)를 통해 샘플(122)로 향하게 한다. 조명 경로(132)는 하나 이상의 렌즈(134)를 포함할 수 있다. 또한, 조명 경로(132)는 계측 조명 빔(130)을 변경 및/또는 컨디셔닝하는 데 적합한 하나 이상의 추가 광학 컴포넌트(136)를 포함할 수 있다. 예를 들어, 하나 이상의 광학 컴포넌트(136)는 하나 이상의 편광기, 하나 이상의 필터, 하나 이상의 빔 스플리터, 하나 이상의 확산기, 하나 이상의 균질기(homogenizers), 하나 이상의 아포다이저(apodizers) 또는 하나 이상의 빔 형성기를 포함할 수 있지만, 이에 국한되는 것은 아니다. 일 실시예에서, 조명 경로(132)는 빔 스플리터(138)를 포함한다. 다른 실시예에서, 계측 서브 시스템(104)은 계측 조명 빔(130)을 샘플(122) 상에 포커싱하기 위한 대물 렌즈(140)를 포함한다.
다른 실시예에서, 계측 서브 시스템(104)은 수집 경로(144)를 통해 샘플(122)로부터 방출되는 방사선을 캡처하도록 구성된 하나 이상의 검출기(142)를 포함한다. 수집 경로(144)는 대물 렌즈(140)에 의해 수집된 조명을 지향 및/또는 변경하기 위한, 하나 이상의 렌즈(146), 하나 이상의 필터, 하나 이상의 편광기, 하나 이상의 빔 블럭 또는 하나 이상의 빔 스플리터를 포함하지만, 이에 국한되지 않는 다수의 광학 요소를 포함할 수 있다.
예를 들어, 검출기(142)는 수집 경로(144) 내의 요소(예를 들어, 대물 렌즈(140), 하나 이상의 렌즈(146) 등)에 의해 제공되는 샘플(122)의 이미지를 수신할 수 있다. 다른 예로서, 검출기(142)는 샘플(122)로부터 (예를 들어, 거울 반사(specular reflection), 확산 반사 등을 통해) 반사 또는 산란된 방사선을 수광할 수 있다. 다른 예로서, 검출기(142)는 샘플에 의해 생성된 방사선(예를 들어, 계측 조명 빔(130)의 흡수와 관련된 루미네슨스(luminescence) 등)을 수광할 수 있다. 다른 예로서, 검출기(142)는 샘플(122)로부터 하나 이상의 회절 차수의 방사선(예를 들어, 0 차 회절, ±1 차 회절, ±2 차 회절 등의 방사선)을 수광할 수 있다. 또한, 본원에서 주목되는 것은 하나 이상의 검출기(142)가 샘플(122)로부터 수광된 조명을 측정하기에 적합한 당해 분야에서 공지된 임의의 광 검출기를 포함할 수 있다는 것이다. 예를 들어, 검출기(142)는 CCD 검출기, TDI 검출기, 포토멀티플라이어 튜브(PMT: Photomultiplier Tube), 아발란치 포토다이오드(APD: Avalanche Photodiode) 등을 포함할 수 있지만, 이에 국한되는 것은 아니다. 다른 실시예에서, 검출기(142)는 샘플(122)로부터 방출되는 방사선의 파장을 식별하기에 적합한 분광 검출기(spectroscopic detector)를 포함할 수 있다. 또한, 계측 서브 시스템(104)은 계측 서브 시스템(104)에 의한 (예를 들어, 다중 계측 툴에 의한) 다중 계측 측정을 가능하게 하는 (예를 들어, 하나 이상의 빔 스플리터에 의해 생성되는 다수의 빔 경로와 관련된) 다수의 검출기(142)를 포함할 수 있다.
다른 실시예에서, 계측 서브 시스템(104)은 시스템(100)의 제어기(106)에 통신 가능하게 결합된다. 이와 관련하여, 제어기(106)는 계측 데이터(예를 들어, 계측 측정 결과, 타겟의 이미지, 동공 이미지 등) 또는 계측 메트릭(예를 들어, 정밀도, 툴 유도된 시프트(tool-induced shift), 감도, 회절 효율, 쓰루 초점 기울기(through-focus slope), 측벽 각도, 임계 치수 등)을 포함하지만, 이에 국한되지는 않는 데이터를 수신하도록 구성될 수 있다.
도 2a 및 도 2b는 계측 타겟(202) 및 계측 타겟(202)에 대해 회전된 인쇄된 디바이스 피처(204)를 도시하는 샘플의 평면도이다. 본원에서 인식되는 것은, 샘플(122)의 어떤 소정의 인쇄된 층은 계측 타겟(202)과 관련된 하나 이상의 인쇄된 요소뿐만 아니라 제조된 디바이스와 관련된 인쇄된 디바이스 피처(204)를 포함할 수 있다는 것이다. 이와 관련하여, 인쇄된 층에서 계측 타겟(202)의 인쇄된 요소는 그 층의 리소그래피 공정과 관련된 진단 정보를 제공할 수 있다. 또한, 계측 타겟(202)은 제조된 디바이스의 인쇄된 디바이스 피처(204)를 나타낼 수 있어서, 인쇄된 디바이스 피처(204)의 인쇄에 영향을 주는 리소그래피 공정의 편차는 계측 타겟(202)을 특성화함으로써 측정될 수 있다. 예를 들어, 리소그래피 공정의 편차는 하나 이상의 이전에 인쇄된 층에 대한 인쇄된 층의 오정렬, 인쇄된 디바이스 피처의 선폭의 변경 등을 포함할 수 있지만, 이에 국한되는 것은 아니다. 따라서, 계측 타겟(202)의 인쇄 특성은 인쇄된 디바이스 피처(204)의 인쇄 특성에 대응하는 것이 바람직할 수 있다.
도 2a는 본 발명의 하나 이상의 실시예에 따른 계측 타겟(202)을 포함한 샘플(122)의 일부를 도시한 평면도이다. 일 실시예에서, 계측 타겟(202)은 샘플(122)의 하나 이상의 인쇄된 층 상에 위치된 인쇄된 피처를 포함한다. 예를 들어, 도 2a에 도시된 바와 같이, 오버레이 계측 타겟으로서 구성된 계측 타겟(202)은 샘플(122)의 제1 인쇄된 층 상의 제1 세트의 인쇄된 계측 피처(206)와, 샘플(122)의 제2 인쇄된 층 상의 제2 세트의 인쇄된 계측 피처(208)를 포함할 수 있다. 따라서, 제1 인쇄된 층에 대한 제2 인쇄된 층의 오프셋(예를 들어, 패턴 배치 오차(PPE))은 제1 세트의 인쇄된 계측 피처(206)와 제2 세트의 인쇄된 계측 피처(208)의 상대적 위치를 측정함으로써 특성화될 수 있다.
다른 실시예에서, 계측 타겟(202)은 두 개의 직교 방향(예컨대, 도 2a의 X-방향 및 Y-방향)을 따라 계측 측정이 행해지도록 배향된다. 예를 들어, 제1 세트의 인쇄된 계측 피처(206)와 제2 세트의 인쇄된 계측 피처(208)는 X-방향 및 Y-방향을 따라 정의된 에지를 갖는 인쇄된 요소를 포함할 수 있어서, X-방향 및 Y-방향을 따른 제1 세트의 인쇄된 계측 피처(206)와 제2 세트의 인쇄된 계측 피처(208)의 상대적 위치는 (예를 들어, 계측 서브 시스템(104) 등에 의해) 쉽게 측정될 수 있다. 이와 관련하여, 측정 방향은 샘플(122)의 평면 내의 임의의 방향을 따라 제1 세트의 인쇄된 계측 피처(206) 및 제2 세트의 인쇄된 계측 피처(208)의 상대적 위치를 특징짓는 기초로서 작용할 수 있다. 따라서, 샘플(122)의 평면 내의 임의의 방향을 따른 제1 세트의 인쇄된 계측 피처(206) 및 제2 세트의 인쇄된 계측 피처(208)의 상대적 위치는 상기 측정 방향을 따라 투영된 컴포넌트와 관련된 오프셋에 의해 특징지어 질 수 있다.
도 2b는 본 발명의 하나 이상의 실시예에 따라 계측 타겟(202)에 대해 회전된 인쇄된 디바이스 피처(204)를 포함한 샘플(122)의 일부를 도시한 평면도이다. 일 실시예에서, 샘플(122)의 인쇄된 층은 인쇄된 디바이스 피처(204) 및 인쇄된 계측 피처의 세트 모두를 포함한다. 다른 실시예에서, 인쇄된 디바이스 피처(204)는 인쇄된 계측 피처를 포함하는 샘플(122)의 적어도 하나의 인쇄된 층과 관련된다. 예를 들어, 인쇄된 디바이스 피처(204)는 제1 세트의 패턴 마스크 피처(602)와 동일한 인쇄된 층 상에 인쇄될 수 있다. 이와 관련하여, 제1 세트의 패턴 마스크 피처(602)와 관련된 하나 이상의 인쇄 적성 특성은 인쇄된 디바이스 피처(204)의 인쇄 적성 특성을 나타낼 수 있다. 따라서, 계측 서브 시스템(104)은 제1 세트의 패턴 마스크 피처(602)의 하나 이상의 인쇄 적성 특성(예를 들어, 오버레이, 임계 치수, 측벽 각도 등)을 측정할 수 있다.
다른 실시예에서, 인쇄된 디바이스 피처(204)는 계측 타겟(202)과 관련된 임의의 측정 방향에 대해 회전된 하나 이상의 피처를 포함한다. 예를 들어, 인쇄된 디바이스 피처(204)는 계측 타겟(202)과 관련된 임의의 측정 방향에 대해 회전되는 방향을 따라 배향된 하나 이상의 에지를 가질 수 있다. 다른 실시예에서, 도 2에 도시된 바와 같이, 인쇄된 디바이스 피처(204)는 디바이스 피치(214)에 의해 이격된 디바이스 폭(212)을 갖는 인쇄된 라인 피처(210)의 세트로서 형성된 회전된 라인-공간 패턴을 포함한다. 예를 들어, 인쇄된 디바이스 피처(204)는, 도 2에 도시된 바와 같이, Y-방향에 대해 회전 각도(216)로 회전될 수 있다. 이와 관련하여, 디바이스 피치(214)의 방향은 계측 타겟(202)의 임의의 측정 방향과 정렬되지 않을 수 있다.
본원에서 주목되는 것은, 회전된 라인-공간 패턴이 샘플(122)의 활성 메모리 층과 관련될 수 있지만, 관련되도록 요구되지는 않는다는 것이다. 추가로 주목되는 것은, 도 2의 계측 타겟(202)의 도시 및 관련 설명은 단지 예시 목적으로만 제공되며, 본 발명을 제한하는 것으로 해석되어서는 안된다는 것이다. 예를 들어, 샘플(122)은 임의의 타입의 계측 측정을 위해 구성된 당해 분야에서 공지된 임의의 타입의 계측 타겟을 포함할 수 있다. 일 사례에서, 계측 타겟(202)은 두 개 초과의 인쇄된 층의 상대적인 정렬을 특성화하기에 적합한 오버레이 계측 타겟으로서 구성될 수 있다. 다른 사례에서, 샘플(122)은 하나 이상의 임계 치수 또는 하나 이상의 측벽 각도의 특성화에 적합한 하나 이상의 계측 타겟을 포함할 수 있지만, 포함하도록 요구되지는 않는다. 다른 사례에서, 샘플(122)은 리소그래피 단계 동안 리소그래피 서브 시스템(102)의 초점 볼륨 내에서 샘플(122)의 위치를 결정하기 위한 초점 감응 계측 타겟 또는 리소그래피 단계 동안 샘플(122)에 의해 수광된 조명의 도즈량을 결정하기 위한 노광 감응 계측 타겟과 같은(이에 국한되지는 않음) 리소그래피 서브 시스템(102)을 모니터링하기에 적합한 하나 이상의 공정 감응 계측 타겟을 포함할 수 있다.
샘플(122) 상에 제조된 반도체 디바이스의 각 인쇄된 층은 하나 이상의 리소그래피 단계를 포함하는 일련의 공정 단계로부터 형성될 수 있다. 또한, 리소그래피 서브 시스템(102)의 구성은 각각의 인쇄된 층에 대해, 보다 구체적으로는 층을 위해 인쇄될 특정 구조물에 대해 맞춤화될 수 있다. 이와 관련하여, 조명 소스(112)는, 예를 들어, 제1 층의 리소그래피 단계를 위한 제1 조명 프로파일, 제2 층의 리소그래피 단계를 위한 제2 조명 프로파일 등을 포함하도록 구성될 수 있다.
도 3은 본 발명의 하나 이상의 실시예에 따라 회전된 인쇄 패턴 요소를 제조하기 위한 조명 소스(112)의 쌍극 조명 프로파일(300)을 도시한 도면이다. 전술한 바와 같이, 반도체 디바이스는 패터닝된 재료의 다중 인쇄된 층으로서 형성될 수 있다.
일 실시예에서, 쌍극 조명 프로파일(300)은, 쌍극 조명 프로파일(300)의 중심점 주위로 대칭적으로 분포되고, 계측 타겟에 의해 정의된 측정 방향에 대해 회전된 방향(예컨대, 이전 공정 단계의 부분으로서 인쇄된 계측 타겟의 하나 이상의 피처에 의해 정의된 방향 또는 후속 공정 단계에서 인쇄될 피처의 디자인에 의해 정의되는 방향)을 따라 이격된 제1 조명 극(302a) 및 제2 조명 극(302b)을 포함한다.
쌍극 조명 프로파일(300)의 조명 극은 당해 분야에서 공지된 임의의 형상을 가질 수 있다. 일 실시예에서, 도 3에 도시된 바와 같이, 조명 극은 아크(arcs)로서 형성된다. 예를 들어, 조명 극의 내측 및 외측 범위는 리소그래피 서브 시스템(102)의 광축을 중심으로 하는 원의 일부로서 형성될 수 있다. 다른 실시예에서, 조명 극은 원으로 형성된다.
도 4a 및 도 4b는 본 발명의 하나 이상의 실시예에 따라 쌍극 조명 소스로서 구성된 조명 소스(112)를 포함하는 리소그래피 서브 시스템(102)을 도시한 개념도이다. 도 4a는 본 발명의 하나 이상의 실시예에 따른 패턴 마스크(118)에 의한 조명 소스의 제1 조명 극(302a)의 다중 회절 빔과 관련된 빔 경로를 도시하는 리소그래피 서브 시스템(102)의 개념도이다. 일 실시예에서, 제1 조명 극(302a)은 오프축 조명 빔(114a)을 생성한다. 다른 실시예에서, 패턴 마스크(118)는 입사 조명 빔(114a)을 회절시켜, 0 차 회절 빔(402a) 및 1 차 회절 빔(404a)을 포함하지만, 이에 국한되지 않는 다중 회절 빔을 생성한다. 다른 실시예에서, 회절 빔 중 두 개의 빔(예를 들어, 0 차 회절 빔(402a) 및 1 차 회절 빔(404a))은 투영 광학 장치의 세트(120)에 의해 캡처되어 샘플(122)(예컨대, 샘플(122)의 레지스트 층(126))로 지향되어, 샘플(122) 상의 패턴 마스크(118)의 에어리얼 이미지(aerial image)를 생성한다. 이와 관련하여, 캡처된 회절 빔(예를 들어, 도 4a에 도시된 바와 같은 0 차 회절 빔(402a) 및 1 차 회절 빔(404a))은 리소그래피 서브 시스템(102)의 동공 평면(406)(예를 들어, 투영 광학 장치의 세트(120)의 동공 평면) 내에 있다.
도 4b는 본 발명의 하나 이상의 실시예에 따른 패턴 마스크(118) 및 제2 조명 극(302b)의 다중 회절 빔과 관련된 빔 경로를 도시하는 리소그래피 서브 시스템(102)의 개념도이다. 일 실시예에서, 제2 조명 극(302b)은 오프축 조명 빔(114b)을 생성한다. 다른 실시예에서, 패턴 마스크(118)는 입사 조명 빔(114b)을 회절시켜, 0 차 회절 빔(402b) 및 1 차 회절 빔(404b)을 포함하지만, 이에 국한되지 않는 다중 회절 빔을 생성한다. 다른 실시예에서, 회절 빔 중 두 개의 빔(예를 들어, 0 차 회절 빔(402b) 및 1 차 회절 빔(404b))은 투영 광학 장치의 세트(120)에 의해 캡처되어 샘플(122)(예컨대, 샘플(122)의 레지스트 층(126))로 지향되어, 샘플(122) 상의 패턴 마스크(118)의 에어리얼 이미지를 생성한다. 이와 관련하여, 캡처된 회절 빔(예를 들어, 도 4b에 도시된 바와 같은 0 차 회절 빔(402b) 및 1 차 회절 빔(404b))은 리소그래피 서브 시스템(102)의 동공 평면(406)(예를 들어, 투영 광학 장치의 세트(120)의 동공 평면) 내에 있다.
본원에서 주목되는 것은, 샘플(122) 상에 패턴 마스크(118)의 에어리얼 이미지를 생성하기 위해, 도 4a 및 도 4b와 관련된 빔 경로뿐만 아니라 대칭적인 조명 극(도시되지 않음)의 추가적인 쌍이 동시에 제공될 수 있다는 것이다.
도 5는 본 발명의 하나 이상의 실시예에 따른 리소그래피 서브 시스템(102)의 동공 평면(406)에서의 회절 빔(402a, 402b, 404a, 404b)의 분포를 도시한 도면이다. 일 실시예에서, 회절 빔(402a, 404a)은, 도 4a에 도시된 바와 같이, 패턴 마스크(118)에 의한 조명 빔(114a)의 회절과 관련된 빔 경로에 대응한다. 예를 들어, 회절 빔(402a)은 0 차 회절 빔에 대응할 수 있고, 회절 빔(404a)은 1 차 회절 빔에 대응할 수 있다. 또한, 회절 빔(402a, 404a)은 회절 빔(402a, 404a) 사이의 광학 위상차가 0이고 샘플의 조명이 대칭이 되도록 대칭적으로 분포될 수 있다. 유사하게, 회절 빔(402b, 404b)은, 도 4b에 도시된 바와 같이, 패턴 마스크(118)에 의한 조명 빔(114b)의 회절과 관련된 빔 경로에 대응할 수 있다. 예를 들어, 회절 빔(402b)은 0 차 회절 빔에 대응할 수 있고, 회절 빔(404b)은 1 차 회절 빔에 대응할 수 있다.
일 실시예에서, 조명 소스(112)(예를 들어, 도 4a 및 도 4b에 도시된 대칭적인 조명 소스 등) 및 패턴 마스크(118)는, 한 쌍의 대칭적인 조명 극의 각각으로부터의 회절 빔이 리소그래피 서브 시스템(102)을 통해 전파될 때 동일한 광 경로 길이를 갖도록 공동 최적화된다. 예를 들어, 조명 소스(112) 및 패턴 마스크(118)는 회절 빔이 동공 평면(406)에서 대칭적으로 분포되도록 공동 최적화될 수 있다. 또한, 회절 빔(402b, 404b)은 회절 빔(402b, 404b) 사이의 광학 위상차가 0이고 샘플의 조명이 대칭이 되도록 대칭적으로 분포될 수 있다. 또한, 두 개의 조명 극으로부터의 빔은 중첩될 수 있다. 예를 들어, 도 5에 도시된 바와 같이, 회절 빔(402a) 및 회절 빔(404b)은 중첩될 수 있다. 유사하게, 회절 빔(402b) 및 회절 빔(404a)은 중첩될 수 있다.
다른 실시예에서, 공동 평면(406)에서 회절 빔 간의 이격은 샘플(122) 상에 비교적 높은 심도를 달성하도록 디자인된다. 예를 들어, 공동 평면(406)에서 회절 빔 간의 이격은 조명 소스(112)의 조명 극 간의 이격과 동일하도록 구성될 수 있다. 이와 관련하여, 샘플(122)의 초점 위치의 편차에 대한 인쇄된 패턴 요소의 감도가 감소될 수 있다.
도 6은 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크(600)를 포함한 패턴 마스크(118)의 일부를 도시한 평면도이다. 예를 들어, 계측 타겟 패턴 마스크(600)는 도 2a에 도시된 오버레이 계측 타겟의 하나의 층과 관련될 수 있다. 일 실시예에서, 계측 타겟 패턴 마스크(600)는 제1 방향(예를 들어, X-방향)을 따라 배향된 제1 세트의 패턴 마스크 피처(602) 및 제2 방향(예를 들어, Y-방향)을 따라 배향된 제2 세트의 패턴 마스크 피처(604)를 포함한다. 다른 실시예에서, 제1 세트의 패턴 마스크 피처(602)는 X-방향을 따라 세그먼트화되어, 제1 세트의 패턴 마스크 피처(602)의 상대적 위치가 X-방향의 임의의 패턴 배치 오차의 표시를 제공할 수 있다. 예를 들어, 제1 세트의 패턴 마스크 피처(602)는 X-방향을 따라 폭(606) 및 피치(608)를 가질 수 있다. 다른 실시예에서, 제2 세트의 패턴 마스크 피처(604)는 Y-방향을 따라 세그먼트화되어, 제1 세트의 패턴 마스크 피처(602)의 상대적 위치가 Y-방향의 임의의 패턴 배치 오차의 표시를 제공할 수 있다. 예를 들어, 제2 세트의 패턴 마스크 피처(604)는 Y-방향을 따라 폭(610) 및 피치(612)를 가질 수 있다. 따라서, 계측 타겟 패턴 마스크(600)는 X-방향 및 Y-방향을 따른 명확한 측정 방향을 가질 수 있다. 다른 실시예에서, 패턴 마스크 피처의 폭(606, 610)은 피치(608, 612)의 적어도 절반이다. 이와 관련하여, 패턴 마스크 피처는 샘플(122) 상에 정확하게 인쇄될 수 있다. 또한, 패턴 마스크 피처와 관련된 회절 패턴은 디바이스 패턴 마스크 요소의 회절 패턴에 보다 정확하게 대응할 수 있다.
일부 실시예에서, 반도체 디바이스의 인쇄된 층의 계측 타겟 패턴 마스크(600)의 개별 피처는 동일한 층과 관련된 패턴 마스크 요소에 대응하는 치수 및/또는 배향을 갖는 패턴 마스크 요소를 포함하도록 세그먼트화된다. 이와 관련하여, 계측 타겟(예를 들어, 계측 타겟(202) 등)의 인쇄된 요소의 인쇄 특성은 동일한 층의 디바이스 요소의 인쇄 특성에 대응할 수 있다. 따라서, 계측 타겟은 제조된 디바이스 요소의 인쇄 특성과 관련된 진단 정보를 제공할 수 있다. 예시적인 예로서, 유사한 치수 및/또는 배향을 갖는 패턴 마스크 요소는 패턴 마스크(118)의 에어리얼 이미지를 샘플(122) 상에 투영하는 데 사용되는 리소그래피 서브 시스템(102)의 조명 빔(114)을 유사하게 회절시킬 수 있다. 다른 예시적인 예로서, 유사한 치수 및/또는 배향을 갖는 샘플(122) 상의 인쇄된 요소는 인쇄된 층의 인쇄 특성을 진단하는 데 사용되는 계측 서브 시스템(104)의 계측 조명 빔(130)을 유사하게 회절시킬 수 있다.
도 7a 내지 도 7c는, 제1 세트의 패턴 마스크 피처(602)의 개별 피처가 동일한 인쇄된 층의 인쇄된 디바이스 피처(204)와 동일한 디바이스 폭(212) 및 디바이스 피치(214)를 가진 마스크 패턴 요소를 포함하도록 세그먼트화되는(예를 들어, 도 2 참조) 본 발명의 실시예를 도시하는 계측 타겟 패턴 마스크(600)의 일부(614)를 도시한 평면도이다.
도 7a는 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크(600)의 일부(614)를 도시한 평면도이다. 일 실시예에서, 패턴 마스크 피처(700)는 X-방향을 따라 세그먼트화된다. 이와 관련하여, 패턴 마스크 피처(700)의 개별 패턴 마스크 요소는 인쇄된 디바이스 피처(204)와 동일한 치수를 가질 수 있지만, 상이한 배향을 가질 수 있다.
도 7b는 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크(600)의 일부(614)를 도시한 평면도이다. 일 실시예에서, 제1 세트의 패턴 마스크 피처(602)의 패턴 마스크 피처(702)는 인쇄된 디바이스 피처(204)와 동일한 회전 각도(216)로 배향된다. 따라서, 패턴 마스크 피처(702)의 개별 패턴 마스크 요소는 인쇄된 디바이스 피처(204)와 동일한 치수 및 배향을 가질 수 있다.
본원에서 주목되는 것은, (예를 들어, 리소그래피 서브 시스템(102)에 의해) 샘플 상에 생성된 패턴 마스크(118)의 이미지는 패턴 마스크 내의 패턴 마스크 요소의 근접성에 결정적으로 의존할 수 있다는 것이다. 이와 관련하여, 리소그래피 서브 시스템(102)(예를 들어, 투영 광학 장치의 세트(120))의 해상도보다 작은 치수(예를 들어, 실제 치수, 패턴 마스크 요소 사이의 이격 등)를 갖는 패턴 마스크 요소는 산란, 회절 등의 광학적 효과에 기초하여 샘플의 레지스트 층 상에 인쇄되는 패턴에 영향을 미칠 수 있다. 또한, 서브 해상도 패턴 마스크 요소(대안으로, 서브 해상도 보조 피처(SRAF), 광 근접성 보정(OPC: Optical Proximity Correction) 패턴 마스크 요소 등)는 샘플(122) 상에 분해 가능하게 이미징되지 않고도 인쇄된 요소의 하나 이상의 특성(예를 들어, PPE, 측벽 각도, 임계 치수 등)에 영향을 미칠 수 있다. 예를 들어, 서브 해상도 패턴 마스크 요소는 분해 가능하게 인쇄되지 않고도 샘플(122) 상에 견고한 인쇄 요소의 제조를 가능하게 할 수 있다.
도 7c는 본 발명의 하나 이상의 실시예에 따른 계측 타겟 패턴 마스크(600)의 일부(614)를 도시한 평면도이다. 일 실시예에서, 제1 세트의 패턴 마스크 피처(602)의 패턴 마스크 피처(704)는 인쇄된 디바이스 피처(204)와 동일한 회전 각도(216)로 배향된다. 따라서, 패턴 마스크 피처(704)의 개별 패턴 마스크 요소는 인쇄된 디바이스 피처(204)와 동일한 치수 및 배향을 가질 수 있다.
다른 실시예에서, 계측 타겟 패턴 마스크(600)는 서브 해상도 패턴 마스크 요소(706)를 포함한다. 다른 실시예에서, 서브 해상도 패턴 마스크 요소(706)는 인쇄된 디바이스 피처(204)와 동일한 디바이스 피치(214) 및 회전 각도(216)로 이격된 패턴 마스크 피처를 포함하지만, 피치 방향을 따라 리소그래피 서브 시스템(102)의 해상도보다 작은 폭(708)을 갖는다. 이와 관련하여, 서브 해상도 패턴 마스크 요소(706)는 샘플(122) 상에 분해 가능하게 인쇄되지 않을 수 있지만, 인쇄된 디바이스 피처(204)의 인쇄 특성에 대응하도록 계측 타겟 패턴 마스크(600)의 인쇄 특성에 영향을 줄 수 있다. 예를 들어, 서브 해상도 패턴 마스크 요소(706)는 (예를 들어, OPC 등을 통해) 디바이스 패턴 마스크 요소에 존재하지 않는 계측 타겟 패턴 마스크(600)의 추가 에지와 관련된 회절을 상쇄(counteract)시킬 수 있다.
다른 실시예에서, 도 7c에 도시된 바와 같이, 서브 해상도 패턴 마스크 요소(706)는 제1 세트의 패턴 마스크 피처(602)의 연장부를 포함할 수 있다. 이와 관련하여, 계측 타겟 패턴 마스크(600)는 패턴 마스크 요소의 일부가 샘플(122) 상에 인쇄될 수 있는 반면, 다른 부분은 인쇄될 수 없도록 가변 폭을 갖는 패턴 마스크 요소를 포함할 수 있다.
다른 실시예에서, 서브 해상도 패턴 마스크 요소(706)는 제1 세트의 패턴 마스크 피처(602)의 연장부를 포함하지 않을 수 있다. 따라서, 계측 타겟 패턴 마스크(600)는 샘플(122)의 인쇄된 층 상에 그 층의 인쇄된 디바이스 요소의 인쇄 특성에 대응하는 인쇄 특성을 갖는 계측 타겟의 제조를 가능하게 하는데 적합한 임의의 치수 및/또는 배향을 갖는 제2 세트의 패턴 마스크 피처(604)를 포함할 수 있다.
서브 해상도 패턴 마스크 요소(706)는 (예를 들어, 도 7c에 도시된 바와 같이) 제1 세트의 패턴 마스크 피처(602) 사이 및/또는 제1 세트의 패턴 마스크 피처(602)의 주위에 위치할 수 있다. 또한, 서브 해상도 패턴 마스크 요소(706)가 계측 타겟 패턴 마스크(600)의 선택된 부분(614)에 도시되어 있지만, 서브 해상도 패턴 마스크 요소(706)는, 예컨대, 제2 세트의 패턴 마스크 피처(604)에 인접한 계측 타겟 패턴 마스크(600)의 임의의 부분 내에 포함될 수 있지만, 이에 국한되지는 않는다. 따라서, 도 7a 내지 도 7c는 전술한 계측 타겟 패턴 마스크(600), 제1 세트의 패턴 마스크 피처(602), 제2 세트의 패턴 마스크 피처(604) 및 서브 해상도 패턴 마스크 요소(706)의 설명과 함께 설명의 목적으로만 제공되며 제한적으로 해석되어서는 안된다.
일부 실시예에서, 계측 타겟 패턴 마스크의 패턴 마스크 피처는 동일한 인쇄된 층의 디바이스 피처와 관련된 패턴 마스크 요소의 회절 패턴에 대응하는 회절 패턴을 갖도록 디자인된다. 전술한 바와 같이, 계측 타겟이 동일한 인쇄된 층의 디바이스 피처와 동일한 인쇄 특성(예를 들어, 패턴 배치 오차, 측벽 각도 등)을 나타내는 것이 일반적으로 바람직하다. 본원에서 주목되는 것은 반도체 공정의 리소그래피 단계는 (예를 들어, 레지스트 층(126) 등을 노광하기 위해) 샘플(122) 상에 패턴 마스크(118)의 에어리얼 이미지를 형성하는 것을 포함할 수 있다. 또한, 리소그래피 서브 시스템(102)의 동공 평면(예를 들어, 도 4의 동공 평면(406) 등)의 조명 분포는 에어리얼 이미지를 형성하는 데 사용되는 투영 광학 장치의 세트(120)에 의해 수집된 조명의 회절 차수를 포함한다. 따라서, 인쇄된 요소의 인쇄 특성은 동공 평면 회절 프로파일에 적어도 부분적으로 의존할 수 있다. 이와 관련하여, 디바이스 패턴 요소 회절 패턴에 대응하는 회절 패턴을 나타내기 위해 계측 타겟 패턴 마스크의 패턴 마스크 피처를 디자인하게 되면 계측 타겟 및 인쇄된 디바이스 요소에 대해 유사한 인쇄 특성이 제공될 수 있다.
도 8a 및 도 8b는, 이진 및 위상 시프트 패턴 마스크 각각에 대해, 도 7a 내지 도 7c에 도시된 디바이스 및 계측 패턴 마스크 피처(700-706)와 관련된 회전된 라인/공간 패턴 마스크 요소를 포함한 패턴 마스크의 동공 평면 회전 패턴을 도시한 회절 프로파일을 포함한다. 일 실시예에서, 회절 프로파일은 도 2b에 도시된 인쇄된 디바이스 피처(204)의 회전 각도(216)에 대응하는 회전 각도(216)를 갖는 도 3의 회전된 쌍극 조명 프로파일로 구성된 조명 소스(112)를 사용하여 생성된다.
도 8a는 본 발명의 하나 이상의 실시예에 따라, 도 7a 내지 도 7c에 도시된 디바이스 및 계측 패턴 마스크 피처(700-706)와 관련된 회전된 라인/공간 패턴 마스크 요소를 포함한 이진 패턴 마스크의 동공 평면 회전 패턴을 도시한 회절 프로파일을 포함한다. 다른 실시예에서, 회절 프로파일(800)은 도 2의 인쇄된 디바이스 피처(204)의 형성을 위한 라인/공간 패턴 마스크 요소를 포함하는 이진 패턴 마스크(118)의 회절 프로파일을 도시한다. 예를 들어, 회절 프로파일(800)의 조명 로브(802)는 조명 빔(114a)의 0 차 회절 및 조명 빔(114b)의 1 차 회절로부터의 중첩 조명을 포함할 수 있다(예를 들어, 도 4a, 도 4b 및 도 5 참조). 또한, 회절 프로파일(800)의 조명 로브(804)는 조명 빔(114b)의 0 차 회절 및 조명 빔(114a)의 1 차 회절로부터의 중첩 조명을 포함할 수 있다(예를 들어, 도 4a 및 도 4b 참조).
다른 실시예에서, 회절 프로파일(806)은 도 7a에 도시된 계측 패턴 마스크 피처(700)를 포함하는 이진 패턴 마스크(118)의 회절 프로파일을 도시한다. 다른 실시예에서, 회절 프로파일(808)은 도 7b에 도시된 계측 패턴 마스크 피처(702)를 포함하는 이진 패턴 마스크(118)의 회절 프로파일을 도시한다. 다른 실시예에서, 회절 프로파일(810)은 도 7c에 도시된 계측 패턴 마스크 피처(704)를 포함하는 이진 패턴 마스크(118)의 회절 프로파일을 도시한다. 이와 관련하여, 반도체 디바이스의 인쇄된 층과 관련된 디바이스 패턴 마스크 요소에 대응하는 치수 및/또는 배향을 갖도록 세그먼트화된 반도체 디바이스의 인쇄된 층의 계측 타겟 패턴 마스크(600)의 패턴 마스크 요소는 디바이스 패턴 마스크 요소와 유사한 회절 패턴을 나타낼 수 있다. 따라서, 계측 타겟(예를 들어, 계측 타겟(202) 등)의 인쇄된 요소의 인쇄 특성은 동일한 층의 디바이스 요소의 인쇄 특성에 대응할 수 있다.
도 8a에 도시된 바와 같이, 세그먼트화된 계측 타겟 패턴 마스크 피처의 패턴 요소의 치수 및 배향 모두를 디바이스 패턴 마스크 요소의 치수 및 배향에 매칭시키는 것이 치수 또는 배향을 단독으로 매칭시키는 것보다 더 정확한 디바이스 패턴 마스크 요소의 회절 프로파일의 표현을 제공할 수 있는 경우가 있을 수 있다. 예를 들어, 도 7b의 패턴 마스크 피처(702)의 회절 프로파일(808)은 패턴 마스크 피처(700)의 회절 프로파일(806)보다 디바이스 패턴 마스크 요소의 회절 프로파일(800)에 더 정확하게 대응할 수 있다. 또한, 서브 해상도 피처를 포함하는 계측 타겟 패턴 마스크 요소의 회절 프로파일이 디바이스 패턴 마스크 요소의 회절 프로파일에 추가로 대응할 수 있는 경우가 있을 수 있다. 일 사례에서, 서브 해상도 피처는 디바이스 패턴 마스크 요소에 대해서는 존재하지 않는 계측 타겟 패턴 마스크 피처의 에지와 관련된 아티팩트를 상쇄시킬 수 있다. 예를 들어, 서브 해상도 패턴 마스크 요소(706)를 포함하는 패턴 마스크 피처(704)의 회절 프로파일(810)은 회절 프로파일(808) 또는 회절 프로파일(806) 중 어느 하나보다 회절 프로파일(800)에 더 정확하게 대응할 수 있다.
도 8b는 본 발명의 하나 이상의 실시예에 따라, 도 7a 내지 도 7c에 도시된 디바이스 및 계측 패턴 마스크 피처(700-706)와 관련된 회전된 라인/공간 패턴 마스크 요소를 포함한 위상 시프트 패턴 마스크의 동공 평면 회절 패턴을 도시한 회절 프로파일을 포함한다. 다른 실시예에서, 회절 프로파일(812)은 도 7a에 도시된 계측 패턴 마스크 피처(700)를 포함하는 위상 시프트 패턴 마스크(118)의 회절 프로파일을 도시한다. 다른 실시예에서, 회절 프로파일(814)은 도 7b에 도시된 계측 패턴 마스크 피처(702)를 포함하는 위상 시프트 패턴 마스크(118)의 회절 프로파일을 도시한다. 다른 실시예에서, 회절 프로파일(816)은 도 7c에 도시된 계측 패턴 마스크 피처(704)를 포함하는 위상 시프트 패턴 마스크(118)의 회절 프로파일을 도시한다.
유사하게, 동일한 층과 관련된 디바이스 패턴 마스크 요소에 대응하는 치수 및/또는 배향을 갖도록 세그먼트화된 위상 시프트 패턴 마스크(118)의 계측 타겟 패턴 마스크 요소는 디바이스 패턴 마스크 요소와 유사한 회절 패턴(예컨대, 회절 프로파일(800))을 나타낼 수 있다. 따라서, 계측 타겟(예를 들어, 계측 타겟(202) 등)의 인쇄된 요소의 인쇄 특성은 동일한 층의 디바이스 요소의 인쇄 특성에 대응할 수 있다.
도 9의 A는 본 발명의 하나 이상의 실시예에 따라, 도 7a에 도시된 패턴 마스크 피처(700)와 관련되고 도 3의 회전된 쌍극 조명 프로파일(300)을 사용하여 생성된 인쇄된 패턴 피처(900)를 도시한 도면이다. 일 실시예에서, 조명 소스(112)의 조명 극의 배향 각도와 패턴 마스크 피처(700)의 패턴 마스크 요소의 배향 간의 불일치는 인쇄된 패턴 피처(900)의 인쇄 오차를 초래한다. 예를 들어, 인쇄된 패턴 피처(900)의 단면 프로파일(902)은 임계 치수, 측벽 각도, 또는 인쇄된 패턴 피처(900)의 패턴 요소의 인쇄된 깊이의 변동과 같은 가변 인쇄 특성을 도시하지만, 이에 국한되는 것은 아니다.
도 9의 B는 본 발명의 하나 이상의 실시예에 따라, 도 7b에 도시된 패턴 마스크 피처(702)와 관련되고 도 3의 회전된 쌍극 조명 프로파일(300)을 사용하여 생성된 인쇄된 패턴 피처(904)를 도시한 도면이다. 조명 소스(112)의 조명 극의 배향 각도를 패턴 마스크 피처(702)의 배향에 매칭시키면 인쇄된 패턴 피처(904)의 인쇄 오차는 감소될 수 있다. 예를 들어, 인쇄된 패턴 피처(904)의 단면 프로파일(906)은 도 8a에 도시된 것보다 더 가파른 측벽 각도와 보다 일관성있는 인쇄된 깊이의 인쇄된 패턴 피처(904)의 패턴 요소를 도시할 수 있다.
도 9의 C는 본 발명의 하나 이상의 실시예에 따라, 도 7c에 도시된 패턴 마스크 피처(704)와 관련되고, 도 3의 회전된 쌍극 조명 프로파일(300)을 사용하여 생성된 인쇄된 패턴 피처(908)를 도시한 도면이다. 조명 소스(112)의 조명 극의 배향 각도를 패턴 마스크 피처(704)의 배향에 매칭시키면, (예를 들어, 도 8a 또는 도 8b에 도시된 인쇄 특성에 비해) 인쇄된 패턴 피처(908)의 인쇄 오차가 감소될 수 있다. 또한, 계측 타겟 패턴 마스크(600)의 서브 해상도 패턴 마스크 요소(706)는 인쇄된 패턴 피처(908)의 더욱 견고한 인쇄 특성을 가능하게 할 수 있다. 예를 들어, 인쇄된 패턴 피처(908)의 단면 프로파일(910)은 도 8a 또는 도 8b에 도시된 것보다 균일한 임계 치수의 (예를 들어, 부분(912) 등 내의) 인쇄된 패턴 피처(908)의 에지 부근의 패턴 요소를 도시할 수 있다.
도 1a 내지 도 1d를 다시 참조하면, 조명 소스(예를 들어, 조명 소스(112), 계측 조명 소스(128) 등)는 조명 빔(예를 들어, 조명 빔(114), 계측 조명 빔(130) 등)을 생성하는 데 적합한 당해 분야에서 공지된 임의의 조명 소스를 포함할 수 있다. 예를 들어, 조명 소스는 단색 광 소스(예를 들어, 레이저), 둘 이상의 이산 파장을 포함하는 스펙트럼을 갖는 다색 광 소스, 광대역 광 소스, 또는 파장 스위핑(wavelength-sweeping) 광 소스를 포함할 수 있지만, 이에 국한되는 것은 아니다. 또한, 조명 소스는 백색 광 소스(예를 들어, 가시 광선 파장을 포함하는 스펙트럼을 갖는 광대역 광 소스), 레이저 소스, 자유형 조명 소스, 단극 조명 소스, 다극 조명 소스, 아크 램프, 무전극 램프, 또는 레이저 지속 플라즈마(laser sustained plasma: LSP) 소스로부터 형성될 수 있지만, 이로부터 형성되도록 요구되는 것은 아니다.
다른 실시예에서, 조명 소스에 의해 방출된 하나 이상의 조명 빔의 방사선의 파장은 튜닝 가능하다. 이와 관련하여, 하나 이상의 조명 빔의 방사선의 파장은 방사선(예를 들어, UV 방사선, 가시광 방사선, 적외선 방사선 등)의 임의의 선택된 파장으로 조정될 수 있다.
조명 소스는 또한 높은 휘도를 갖는 광을 제공하도록 구성될 수 있다. 예를 들어, 조명 소스는 약 1 W/(nm cm2 Sr)보다 큰 휘도를 갖는 조명 빔을 제공할 수 있다. 시스템(100)은 또한 그 전력 및 파장을 안정화시키기 위해 광 소스에 대한 고속 피드백을 포함할 수 있다. 광 소스의 출력은 자유 공간 전파를 통해 전달될 수 있거나, 또는 일부의 경우에, 임의의 타입의 광섬유 또는 광 가이드를 통해 전달될 수 있다. 또한, 조명 빔은 자유 공간 전파 또는 유도된 광(예를 들어, 광섬유, 광 파이프 등)을 통해 전달될 수 있다.
본원에서 추가로 주목되는 것은 본 발명의 개시의 목적을 위해, 조명 소스(예를 들어, 리소그래피 서브 시스템(102)의 조명 소스(112))의 조명 극은 조명 소스의 특정 위치로부터의 조명을 나타낼 수 있다는 것이다. 이와 관련하여, 광축(148)에 대한 조명 소스 상의 각 공간 위치는 조명 극으로 간주될 수 있다. 또한, 조명 극은 당해 분야에서 공지된 임의의 형상 또는 크기를 가질 수 있다. 또한, 자유형 조명 소스는 조명 극의 분포에 대응하는 조명 프로파일을 갖는 것으로 간주될 수 있다.
본원에서 주목되는 것은 패턴 마스크(118)가 반사성 또는 투과성 요소일 수 있다는 것이다. 일 실시예에서, 패턴 마스크(118)는 패턴 요소가 (예를 들어, 조명 빔(104)의 흡수 또는 반사를 통해) 조명 빔(114)의 투과를 완전히 또는 부분적으로 차단하는 투과성 요소이다. 따라서, 조명 빔(114)은 패턴 요소 간의 공간을 통해 투영 광학 장치의 세트(120)로 전달될 수 있다. 예를 들어, 패턴 요소가 조명 빔(114)의 투과를 완전히 차단하는 패턴 마스크(118)는 이진 패턴 마스크로서 동작할 수 있다. 추가로 인식되는 것은, 조명 소스(112)로부터의 광이 완전히 차단되거나 또는 완전히 투과/반사되어 이미지를 생성하는 포커스 감응 이진 패턴 마스크가 리소그래피 서브 시스템(102)에서 샘플의 초점 위치를 결정하는 데 이용될 수 있다는 것이다. 예를 들어, 이진 패턴 마스크는 제조 비용이 비교적 저렴하고 많은 리소그래피 시스템에 쉽게 통합될 수 있다. 다른 실시예에서, 패턴 마스크(118)의 피처(예를 들어, 패턴 요소, 패턴 요소 간의 공간 등)는 조명 빔(114)의 광학 위상을 변경하도록 디자인된다. 이와 관련하여, 패턴 마스크(118)는 위상 마스크(예를 들어, 교번 위상 시프트 마스크 등)로서 동작할 수 있다.
다른 실시예에서, 패턴 마스크(118)는, 패턴 마스크 요소가 투영 광학 장치의 세트(120)에 조명 빔(114)을 전체적으로 또는 부분적으로 반사시키고, 패턴 마스크 요소 간의 공간이 조명 빔(114)을 흡수 또는 투과시키는 반사성 마스크이다. 또한, 패턴 마스크(118)의 패턴 요소는 조명 빔(114)을 반사 및/또는 흡수하기 위해 당해 분야에서 공지된 임의의 불투명 또는 반투명 재료로 형성될 수 있다. 다른 실시예에서, 패턴 마스크 요소는 금속을 포함할 수 있다. 예를 들어, 패턴 마스크 요소는 크롬(예를 들어, 크롬 합금 등)으로 형성될 수 있지만, 이로부터 형성되도록 요구되는 것은 아니다.
이와 관련하여, 마스크 지지 디바이스(116)는 기계적, 진공, 정전기 또는 다른 클램핑 기술(이에 국한되지는 않음)과 같은 당해 분야에서 공지된 임의의 수단을 이용하여 패턴 마스크(118)를 유지할 수 있다.
다른 실시예에서, 시스템(100)은 샘플(122)을 고정하기에 적합한 샘플 스테이지(124)를 포함한다. 샘플 스테이지(124)는 당해 분야에서 공지된 임의의 샘플 스테이지 아키텍처를 포함할 수 있다. 예를 들어, 샘플 스테이지(124)는 선형 스테이지를 포할 수 있지만, 이에 국한되는 것은 아니다. 다른 예로서, 스테이지 어셈블리(118)는 회전 스테이지를 포함할 수 있지만, 이에 국한되는 것은 아니다. 또한, 샘플(106)은 반도체 웨이퍼와 같은 웨이퍼를 포함할 수 있지만, 이에 국한되는 것은 아니다.
도 1c 및 도 1d를 참조하면, 본 발명의 실시예는 하나 이상의 조명 각도를 갖는 분광 엘립소미터(spectroscopic ellipsometer), (예컨대, 회전 보상기를 사용하여) 뮬러 행렬 요소를 측정하기 위한 분광 엘립소미터, 단파장 엘립소미터(single-wavelength ellipsometer), 각도 분해 엘립소미터(angle-resolved ellipsometer)(예를 들어, 빔 프로파일 엘립소미터), 분광 반사계(spectroscopic reflectometer), 단일 파장 반사계, 각도 분해 반사계(예를 들어, 빔 프로파일 반사계), 이미징 시스템, 동공 이미징 시스템, 스펙트럼 이미징 시스템, 또는 스캐터로미터를 포함하지만, 이에 국한되지는 않는 당해 분야에서 공지된 임의의 타입의 계측 시스템을 포함할 수 있다. 또한, 계측 시스템은 단일 계측 툴 또는 다수의 계측 툴을 포함할 수 있다. 다수의 계측 툴을 포함하는 계측 시스템은 일반적으로 미국 특허 제 7,478,019 호에 기술되어 있다. 주로 반사성 광학 장치에 기초한 포커싱된 빔 엘립소미트리(ellipsometry)는 일반적으로 미국 특허 제 5,608,526 호에 기재되어 있으며, 이는 그 전체가 본원에 참고로 포함된다. 기하학적 광학 장치에 의해 정의된 크기를 초과하여 조명 스폿의 확산을 야기하는 광학 회절의 영향을 완화시키는 아포다이저(apodizers)의 사용은 일반적으로 미국 특허 제 5,859,424 호에 기술되어 있으며, 이는 그 전체가 본원에 참고로 포함된다. 동시 다중 입사각 조명을 갖는 높은 개구수 툴(high-numerical-aperture tools)의 사용은 일반적으로 미국 특허 제 6,429,943 에 기술되어 있으며, 이는 그 전체가 본원에 참고로 포함된다.
추가로 인식되는 것은, 계측 툴이 임계 치수(CD), 오버레이, 측벽 각도, 막 두께, 또는 공정 관련 파라미터(예를 들어, 초점, 도즈량 등)와 같은 하나 이상의 타겟의 특성을 측정할 수 있지만, 이에 국한되는 것이 아니라는 것이다. 타겟은 본질적으로 주기적인, 예를 들어 메모리 다이의 격자(grating)와 같은 특정의 관심 영역을 포함할 수 있다. 계측 타겟은 다양한 공간적 특성을 더 가질 수 있으며, 일반적으로 하나 이상의 리소그래피로 구별되는 노광에서 인쇄될 수 있는 하나 이상의 층 내의 피처를 포함할 수 있는 하나 이상의 셀(cells)로 구성된다. 타겟 또는 셀은 2 배 또는 4 배 회전 대칭, 반사 대칭과 같은 다양한 대칭을 가질 수도 있다. 그러한 계측 구조의 예가 미국 특허 제 6,985,618 호에 기술되고 있으며, 이는 그 전체가 본원에 참고로 포함된다. 서로 다른 셀 또는 셀의 조합은 별개의 층 또는 노광 단계에 속할 수 있다. 개개의 셀은 이격된 비 주기적 피처를 포함할 수 있거나 또는 대안으로 1, 2 또는 3 차원 주기적 구조 또는 비 주기적 및 주기적 구조의 조합으로 구성될 수 있다. 주기적 구조는 세그먼트화되지 않을 수도 있고, 또는 자신을 인쇄하는 데 사용된 리소그래피 공정의 최소 디자인 룰 또는 그에 근사할 수 있는 미세하게 세그먼트화된 피처로 구성될 수도 있다.
계측 타겟은 동일 층 내, 또는 계측 구조 위의 층, 아래의 층 또는 그 계측 구조의 층 간의 더미피케이션 구조(dummification structure)와 나란히 배치되거나 이에 근접할 수 있다. 타겟은 계측 도구로 두께를 측정할 수 있는 다수의 층(예를 들어, 막)을 포함할 수 있다. 또한, 계측 툴은 반도체 스택의 하나 이상의 층의 구성 또는 샘플 상 또는 그 내부의 하나 이상의 결함의 구성을 측정할 수 있지만, 그를 측정하도록 요구되는 것은 아니다. 비 주기적 타겟을 특성화하기 위한 계측 툴의 사용은 일반적으로 2016 년 3 월 22 일에 허여된 미국 특허 제 9,291,554 호에 기술되어 있으며, 이는 그 전체가 본원에 참고로 포함된다.
타겟은 (예를 들어, 정렬, 오버레이 등록 동작 등과 함께) 사용을 위해 반도체 웨이퍼 상에 배치된 타겟 디자인을 포함할 수 있다. 또한, 타겟은 반도체 웨이퍼 상의 다수의 장소에 위치될 수 있다. 예를 들어, 타겟은 스크라이브 라인 내에(예를 들어, 다이 사이) 및/또는 다이 자체 내에 위치할 수 있다. 다수의 타겟은 미국 특허 제 7,478,019 호에 기재된 바와 같이 동일 또는 다수의 계측 툴에 의해 동시에 또는 순차적으로 측정될 수 있으며, 이 미국 특허는 그 전체가 본원에 참고로 포함된다.
또한, 관심있는 파라미터의 측정은 다수의 알고리즘을 포함할 수 있다. 예를 들어, 조명 빔(104)과 샘플(106) 상의 계측 타겟 간의 광학적 상호 작용은 전자기(EM) 솔버(solver)를 사용하여 모델링될 수 있지만, 이에 국한되는 것은 아니다. 또한, EM 솔버는 엄격한 결합파 분석(rigorous coupled-wave analysis: RCWA), 유한 요소법 분석(finite element method analysis), 모멘트 분석 방법(method of moments analysis), 표면 적분 기법(surface integral technique), 체적 적분 기법(volume integral technique), 또는 유한 차분 시간 영역 분석(finite-difference time-domain analysis)을 포함하지만, 이에 국한되지 않는 당해 분야에서 공지된 임의의 방법을 이용할 수 있다. 또한, 수집된 데이터는, 라이브러리(libraries), 빠른 축소 차수 모델(fast-reduced-order models), 회귀 분석(regression), 신경망과 같은 머신 학습 알고리즘, 지지 벡터 머신(Support-Vector Machine: SVM), 차원 감소 알고리즘(dimensionality-reduction algorithms)(예컨대, 주성분 분석(principal component analysis: PCA), 독립 성분 분석(independent component analysis: ICA), 로컬 선형 임베딩(local-linear embedding: LLE 등), 데이터의 희소 표현(sparse representation of data)(예컨대, 푸리에 또는 웨이브렛 변환, 칼만 필터, 동일하거나 상이한 툴 타입으로부터 매칭을 촉진시키는 알고리즘 등)을 포함하지만, 이에 국한되지는 않는 데이터 피팅 및 최적화 기술(data fitting and optimization techniques)을 사용하여 분석될 수 있다. 예를 들어, 데이터 수집 및/또는 피팅은 KLA-TENCOR에 의해 제공되는 신호 응답 계측(Signal Response Metrology: SRM) 소프트웨어 제품에 의해 수행될 수 있지만, 이에 의해 수행되도록 요구되는 것은 아니다.
다른 실시예에서, 계측 툴에 의해 생성된 원시 데이터는 모델링, 최적화 및/또는 피팅(예를 들어, 위상 특성화 등)을 포함하지 않는 알고리즘에 의해 분석된다. 스캐터로메트리 오버레이 계측에서의 대칭적인 타겟 디자인의 사용은 일반적으로 2015 년 7 월 23 일에 공개된 미국 특허 공개 제2015/0204664 호에 기술되어 있으며, 이는 그 전체가 본원에 참고로 포함된다. 본원에서 주목되는 것은, 제어기에 의해 수행되는 계산 알고리즘이 병렬화, 분산 계산, 부하 밸런싱, 멀티 서비스 지원, 계산 하드웨어의 디자인 및 구현, 또는 동적 부하 최적화를 통한 계측 애플리케이션에 대해 맞춤화될 수 있지만, 맞춤화되도록 요구되는 것은 아니라는 것이다. 또한, 알고리즘의 다양한 구현예는 (예를 들어, 펌웨어, 소프트웨어, 또는 FPGA (Field Programmable Gate Array) 등을 통한) 제어기에 의해, 또는 계측 툴과 관련된 하나 이상의 프로그램 가능한 광학 요소에 의해 수행될 수 있지만, 이에 의해 수행되도록 요구되는 것은 아니다. 공정 모델링의 사용은 일반적으로 2014 년 6 월 19 일에 공개된 미국 특허 공개 제2014/0172394 호에 기술되어 있으며, 이는 그 전체가 본원에 참고로 포함된다.
다른 실시예에서, 샘플(122) 상으로의 계측 조명 빔(130)의 입사각은 조정 가능하다. 예를 들어, 빔 스플리터(138) 및 대물 렌즈(140)를 통한 계측 조명 빔(130)의 경로는 샘플(122) 상으로의 계측 조명 빔(130)의 입사각을 제어하도록 조정될 수 있다. 이와 관련하여, 계측 조명 빔(130)은 계측 조명 빔(130)이 샘플(122) 상으로의 수직 입사각을 갖도록 빔 스플리터(126) 및 대물 렌즈(140)를 관통하는 공칭 경로를 가질 수 있다. 또한, 샘플(122) 상으로의 계측 조명 빔(130)의 입사각은 (예를 들어, 회전가능 미러, 공간 광 변조기, 자유형 조명 소스 등에 의해) 빔 스플리터(138) 상의 계측 조명 빔(130)의 위치 및/또는 각도를 변경함으로써 제어될 수 있다. 다른 실시예에서, 계측 조명 소스(128)는 하나 이상의 계측 조명 빔(130)을 소정의 각도(예를 들어, 조각(glancing angle), 45 도 각도 등)로 샘플(122)로 지향시킨다.
다른 실시예에서, 제어기(106)는 계측 조명 소스(128)에 통신가능하게 결합되어 조명 빔(104)과 샘플(122) 사이의 입사각의 조정을 지시한다. 다른 실시예에서, 제어기(106)는 (예를 들어, 피드백에 응답하여) 하나 이상의 선택된 파장의 조명을 제공하도록 계측 조명 소스(128)에 지시한다. 일반적인 의미에서, 제어기(106)는 계측 서브 시스템(104) 내의 임의의 요소와 통신가능하게 결합될 수 있다.
도 1d는 본 발명의 다른 실시예에 따른 계측 서브 시스템(104)을 도시한 개념도이다. 일 실시예에서, 조명 경로(132) 및 수집 경로(144)는 별개의 요소를 포함한다. 예를 들어, 조명 경로(132)는 계측 조명 빔(130)을 샘플(122) 상에 포커싱하기 위해 제1 포커싱 요소(150)를 이용할 수 있고, 수집 경로(144)는 샘플(122)로부터 방사선을 수집하기 위해 제2 포커싱 요소(152)를 이용할 수 있다. 이와 관련하여, 제1 포커싱 요소(150) 및 제2 포커싱 요소(152)의 개구 수(numerical apertures)는 상이할 수 있다. 또한, 본원에서 주목되는 것은, 도 1d에 도시된 계측 서브 시스템(104)이 멀티 각의 샘플(122)의 조명, 및/또는 (예를 들어, 하나 이상의 추가 검출기(142)에 결합된) 하나 초과의 계측 조명 소스(128)의 사용을 가능하게 할 수 있다는 것이다. 이와 관련하여, 도 1d에 도시된 계측 서브 시스템(104)은 다수의 계측 측정을 수행할 수 있다. 다른 실시예에서, 하나 이상의 광학 컴포넌트는 샘플(122)을 중심으로 선회(pivoting)하는 회전 가능한 아암(arm)(미도시)에 장착될 수 있어서, 샘플(122) 상으로의 계측 조명 빔(130)의 입사각은 회전 가능한 아암의 위치에 의해 제어될 수 있다.
제어기(106)의 하나 이상의 프로세서(108)는 당해 분야에서 공지된 임의의 처리 요소를 포함할 수 있다. 이러한 의미에서, 하나 이상의 프로세서(108)는 알고리즘 및/또는 명령어를 실행하도록 구성된 임의의 마이크로프로세서 타입의 디바이스를 포함할 수 있다. 일 실시예에서, 하나 이상의 프로세서(108)는 본 발명의 개시 내용 전반에 걸쳐 기술되는 바와 같이 시스템(100)을 동작시키도록 구성된 프로그램을 실행하도록 구성된 데스크탑 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 임의의 다른 컴퓨터 시스템(예를 들어, 네트워크형 컴퓨터)을 구성할 수 있다. 추가로 인식되는 것은, 용어 "프로세서"는 비 일시적인 메모리 매체(110)로부터의 프로그램 명령어를 실행하는 하나 이상의 처리 요소를 갖는 임의의 디바이스를 포괄하도록 광범위하게 정의될 수 있다. 또한, 본 발명의 개시 내용 전반에 걸쳐 기술된 단계는 단일 제어기(106) 또는 대안으로 다수의 제어기에 의해 수행될 수 있다. 또한, 제어기(106)는 공통 하우징 또는 다수의 하우징 내에 하우징된 하나 이상의 제어기를 포함할 수 있다. 이러한 방식으로, 임의의 제어기 또는 제어기의 조합은 시스템(100) 내로의 통합에 적합한 모듈로서 개별적으로 패키징될 수 있다. 또한, 제어기(106)는 검출기(142)로부터 수신된 데이터를 분석하고 그 데이터를 계측 서브 시스템(104) 내의 또는 시스템(100) 외부의 추가 컴포넌트에 공급할 수 있다.
메모리 매체(110)는 관련된 하나 이상의 프로세서(108)에 의해 실행 가능한 프로그램 명령어를 저장하기에 적합한 당해 분야에서 공지된 임의의 저장 매체를 포함할 수 있다. 예를 들어, 메모리 매체(110)는 비 일시적인 메모리 매체를 포함할 수 있다. 다른 예로서, 메모리 매체(110)는 판독 전용 메모리, 랜덤 액세스 메모리, 자기 또는 광학 메모리 디바이스(예를 들어, 디스크), 자기 테이프, SSD 등을 포함할 수 있지만, 이에 국한되는 것은 아니다. 추가로 주목되는 것은 메모리 매체(110)가 하나 이상의 프로세서(108)와 함께 공통 제어기 하우징에 하우징될 수 있다는 것이다. 일 실시예에서, 메모리 매체(110)는 하나 이상의 프로세서(108) 및 제어기(106)의 물리적 위치에 대해 원격에 위치될 수 있다. 예를 들어, 제어기(106)의 하나 이상의 프로세서(108)는 네트워크(예를 들어, 인터넷, 인트라넷 등)를 통해 액세스 가능한 원격 메모리(예를 들어, 서버)에 액세스할 수 있다. 그러므로, 전술한 설명은 본 발명에 대한 제한으로서 해석되어서는 안되며 단지 예시에 불과할 뿐이다.
도 10은 본 발명의 하나 이상의 실시예에 따라, 유사한 인쇄 특성을 갖는 계측 타겟 및 디바이스의 인쇄를 가능하게 하기 계측 패턴 마스크 요소 및 디바이스 패턴 마스크 요소를 공동 최적화하는 방법(1000)을 도시한 흐름도이다. 본 출원인이 주목하는 것은 시스템(100)의 맥락에서 전술한 실시예 및 가능한 기술이 방법(1000)으로 확장되도록 해석되어야 한다는 것이다. 그러나, 방법(1000)은 시스템(100)의 아키텍처에 국한되지 않는다는 것이 또한 주목된다.
일 실시예에서, 단계(1002)는 디바이스의 리소그래피 및/또는 지리적 모델을 구축하는 것을 포함한다. 예를 들어, 단계(1002)는 반도체 디바이스의 인쇄된 층의 일부로서 제조될 디바이스의 적어도 일부의 리소그래피 모델을 구축하는 것을 포함할 수 있다. 다른 실시예에서, 단계(1004)는 하나 이상의 계측 타겟의 리소그래피 모델을 구축하는 것을 포함한다. 이와 관련하여, 디바이스 및/또는 하나 이상의 계측 타겟의 파라미터화된 버전이 생성된다. 또한, 공정 모델링을 위한 기하학적 엔진의 사용은 KLA-TENCOR에 의해 제공되는 ACUSHAPE 소프트웨어 제품에서 구현될 수 있다.
다른 실시예에서, 단계(1006)는 계측 타겟 성능을 시뮬레이팅하는 것을 포함한다. 예를 들어, 콘트라스트, 정밀도 또는 회절 효율과 같은(그러나 이에 국한되지는 않음) 계측 측정의 하나 이상의 양태는 하나 이상의 계측 타겟에 대해 시뮬레이팅될 수 있다. 이와 관련하여, 하나 이상의 계측 타겟의 성능은 시뮬레이팅, 평가, 및/또는 비교될 수 있다.
다른 실시예에서, 단계(1008)는 하나 이상의 계측 타겟 패턴 마스크의 하나 이상의 인쇄 특성(예를 들어, 하나 이상의 시뮬레이팅된 인쇄 특성)을 디바이스 패턴 마스크의 인쇄 특성에 매칭시키는 것을 포함한다. 이와 관련하여, 계측 타겟 패턴 마스크 및 인쇄된 디바이스 요소의 인쇄된 특성 간의 임의의 차이가 평가될 수 있다. 전술한 바와 같이, 계측 서브 시스템(104)에 의해 측정된 계측 타겟의 인쇄 특성이 디바이스 요소를 나타낼 수 있도록 계측 타겟 및 디바이스 요소가 동일한 인쇄 특성으로 인쇄되는 것이 일반적으로 바람직하다. 예를 들어, 단계(1008)는 하나 이상의 인쇄된 디바이스의 패턴 배치 오차와 비교하기 위해 계측 타겟 각각의 하나 이상의 인쇄된 요소의 패턴 배치 오차의 시뮬레이션을 포함할 수 있지만, 이를 포함하도록 요구되는 것은 아니다.
인쇄 특성의 시뮬레이션은 당해 분야에서 공지된 임의의 방법에 의해 수행될 수 있다. 예를 들어, Zernike 감도 분석은 패턴 배치 오차를 시뮬레이팅하는 데 이용될 수 있지만, 이용되도록 요구되는 것은 아니다.
다른 실시예에서, 단계(1008)는 다수의 세그멘테이션 피치(예를 들어, 계측 타겟 패턴 마스크의 개별 패턴 마스크 요소 간의 이격 거리)에 대해 계측 타겟 패턴 마스크의 인쇄 성능을 시뮬레이팅하는 것을 포함한다. 이와 관련하여, 인쇄된 디바이스 요소의 인쇄 특성에 대응하는 인쇄 특성을 가장 정확하게 제공하는, 각 계측 타겟 패턴 마스크에 대한 세그멘테이션 거리가 결정될 수 있다. 본원에서 주목되는 것은 최적의 세그멘테이션 피치가 디바이스 패턴 요소의 피치와 매칭할 수 있지만, 매칭하도록 요구되는 것은 아니라는 것이다.
다른 실시예에서, 단계(1010)는 각각의 계측 타겟 패턴 마스크와 관련된 공정 윈도우를 결정하는 것을 포함한다. 본원에서 인식되는 것은, 리소그래피 인쇄와 관련하여, 샘플 상의 인쇄된 피처의 제조와 관련된 공정 윈도우가 전형적으로 지정된 허용 오차 내에서 인쇄된 피처의 제조에 적합한 공정 파라미터의 범위를 한정한다는 것이다. 예를 들어, 공정 윈도우는 리소그래피 툴의 광학 축을 따른 샘플의 위치(예를 들어, 샘플의 초점 위치)와 관련된 디포커스(defocus)에 대한 제한을 한정할 수 있다. 다른 예로서, 공정 윈도우는 샘플에 입사하는 조명 소스로부터의 에너지 도즈량(예를 들어, 샘플의 노광)에 대한 제한을 한정할 수 있다. 또한, 인쇄된 피처의 하나 이상의 특성에 대한 다수의 공정 파라미터의 변동의 영향은 상호 의존적일 수 있다. 이와 관련하여, 공정 윈도우를 결정하는 것은 관심있는 공정 파라미터의 허용 가능한 범위를 한정하기 위한 다수의 공정 파라미터(예를 들어, 포커스 노광 매트릭스(FEM) 등)의 다차원 분석을 포함할 수 있다. 추가로 인식되는 것은 비교적 큰 공정 윈도우를 갖는 계측 타겟 패턴 마스크가 리소그래피 서브 시스템(102)의 편차에 대해 상대적으로 견고할 수 있다는 것이다.
다른 실시예에서, 단계(1012)는 계측 타겟 패턴 마스크를 선택하는 것을 포함한다. 예를 들어, 계측 타겟 패턴 마스크는, (예를 들어, 단계(1006)에 의해 결정되는 바와 같은) 계측 성능, (예를 들어, 단계(1008)에 의해 결정되는 바와 같은) 인쇄 특성이 디바이스 패턴 마스크 요소의 인쇄 특성과 매칭하는 정도, (예를 들어, 단계(1010)에 의해 결정되는 바와 같은) 공정 윈도우의 크기 등을 포함한 인자의 조합에 기초하여 선택될 수 있다. 일부 실시예에서, 계측 타겟 패턴 마스크는 방법(1000)의 단계의 반복 적용에 기초하여 선택될 수 있다. 예를 들어, 디바이스 매칭의 단계(1008) 및/또는 하나 이상의 계측 타겟 패턴 마스크에 대한 공정 윈도우를 결정하는 단계(1010) 후에, 새로운 계측 타겟 패턴 마스크의 새로운 모델이 단계(1004)에서 생성되어 단계(1006 내지 1010)에서 분석될 수 있다.
본원 명세서에 기술된 발명의 요지는 때로는 다른 구성 컴포넌트 내에 포함되거나 다른 컴포넌트와 연결된 상이한 컴포넌트를 도시한다. 그러한 도시된 아키텍처는 단지 예시적인 것에 불과하며 실제로 동일한 기능을 달성하는 많은 다른 아키텍처가 구현될 수 있다는 것을 이해해야 한다. 개념적 의미에서, 동일한 기능을 달성하기 위한 컴포넌트의 임의의 배열은 원하는 기능이 달성되도록 효과적으로 "관련"된다. 따라서, 특정 기능을 달성하기 위해 결합된 임의의 두 개의 컴포넌트는 아키텍처 또는 중간의 컴포넌트와는 관계없이 원하는 기능이 달성되도록 서로 "관련된다"고 간주될 수 있다. 유사하게, 이와 같이 관련된 임의의 두 개의 컴포넌트는 원하는 기능을 달성하기 위해 서로 "접속된" 또는 "결합된" 것으로 간주될 수 있으며, 그렇게 관련될 수 있는 임의의 두 개의 컴포넌트는 또한 서로 원하는 기능을 달성하기 위해 서로 "결합가능한" 것으로 간주될 수 있다. 결합가능한 특정 예는 물리적으로 상호 작용가능한 및/또는 물리적으로 상호 작용하는 컴포넌트 및/또는 무선으로 상호 작용가능한 및/또는 무선으로 상호 작용하는 컴포넌트 및/또는 논리적으로 상호 작용가능한 및/또는 논리적으로 상호 작용하는 컴포넌트를 포함하지만, 이에 국한되는 것은 아니다.
본 발명의 개시물과 그에 따른 다수의 장점은 전술한 설명에 의해 이해될 것이고, 본 발명의 개시된 요지를 벗어나지 않고 또는 그 모든 재료의 이점을 희생시키지 않는 범위 내에서 컴포넌트의 형태, 구성 및 배치에서 다양한 변경이 이루어질 수 있음이 명백할 것이다. 기술된 형태는 단지 설명적인 것에 불과하며, 이러한 변경을 포괄하고 포함하는 것이 아래의 청구범위의 의도이다. 또한, 본 발명은 첨부된 청구범위에 의해 한정되는 것으로 이해되어야 한다.

Claims (31)

  1. 리소그래피 시스템으로서,
    상기 리소그래피 시스템의 광축 주위에 대칭적으로 분포된 두 개의 조명 극을 포함하는 조명 소스 - 상기 두 개의 조명 극은 제1 방향을 따라 이격됨 -;
    상기 조명 소스로부터 조명을 수광하도록 위치된 패턴 마스크 - 상기 패턴 마스크는 계측 타겟 패턴 마스크 및 복수의 디바이스 패턴 마스크 요소를 포함하고, 상기 디바이스 패턴 마스크 요소 중 적어도 일부는 상기 제1 방향을 따라 디바이스 이격 거리로 분포되며, 상기 계측 타겟 패턴 마스크는 상기 복수의 디바이스 패턴 마스크 요소의 회절 패턴에 대응하는 회절 패턴을 갖는 계측 타겟 패턴 마스크 요소의 세트를 포함함 -;
    상기 패턴 마스크에 대응하는 이미지를 샘플 상에 생성하는 투영 광학 장치의 세트
    를 포함하고,
    상기 계측 타겟 패턴 마스크와 관련된 상기 샘플 상에 생성된 계측 타겟은 상기 제1 방향과는 상이한 제2 방향을 따라 특성화될 수 있으며, 상기 계측 타겟의 하나 이상의 인쇄 특성은 상기 디바이스 패턴 마스크 요소와 관련된 샘플 상에 생성된 디바이스 패턴 요소의 하나 이상의 인쇄 특성에 대응하는 것인, 리소그래피 시스템.
  2. 제1항에 있어서,
    상기 하나 이상의 인쇄 특성은, 상기 샘플 상의 상대적 위치, 임계 치수 또는 측벽 각도 중 적어도 하나를 포함하는 것인, 리소그래피 시스템.
  3. 제1항에 있어서,
    상기 계측 타겟 패턴 마스크는 상기 제2 방향을 따라 분포된 서브 해상도 영역을 포함하는 것인, 리소그래피 시스템.
  4. 제3항에 있어서,
    상기 서브 해상도 영역은 상기 투영 광학 장치의 세트의 광학 해상도보다 작은 하나 이상의 피처(feature)를 포함하여, 상기 샘플 상의 상기 계측 타겟이 상기 서브 해상도 영역과 관련된 계측 타겟 패턴 마스크 요소의 부분을 포함하지 않도록 하는 것인, 리소그래피 시스템.
  5. 제3항에 있어서,
    상기 제1 방향을 따라 측정된 상기 서브 해상도 영역 내에서 상기 계측 타겟 패턴 마스크 요소의 부분의 폭은 상기 투영 광학 장치의 세트의 광학 해상도보다 작으며, 상기 샘플 상의 계측 타겟은 상기 서브 해상도 영역 내에서 계측 타겟 패턴 마스크 세그먼트의 부분을 포함하지 않는 것인, 리소그래피 시스템.
  6. 제1항에 있어서,
    상기 계측 타겟 패턴 마스크는 상기 제2 방향을 따라 분포된 하나 이상의 피처를 포함하는 것인, 리소그래피 시스템.
  7. 제6항에 있어서,
    상기 계측 타겟 패턴 마스크 요소의 세그먼트는 상기 제2 방향을 따라 타겟 이격 거리만큼 이격되는 것인, 리소그래피 시스템.
  8. 제7항에 있어서,
    상기 타겟 이격 거리는 상기 제2 방향을 따라 상기 계측 타겟 패턴 요소의 세그먼트의 길이보다 작은 것인, 리소그래피 시스템.
  9. 제1항에 있어서,
    상기 패턴 마스크는 명시야 패턴 마스크 또는 암시야 패턴 마스크 중 적어도 하나인 것인, 리소그래피 시스템.
  10. 리소그래피 시스템으로서,
    상기 리소그래피 시스템의 광축 주위에 대칭적으로 분포된 두 개의 조명 극을 포함하는 조명 소스 - 상기 두 개의 조명 극은 제1 방향을 따라 분리됨 -;
    상기 조명 소스로부터 조명을 수광하도록 위치된 패턴 마스크 - 상기 패턴 마스크는 계측 타겟 패턴 마스크 및 복수의 디바이스 패턴 마스크 요소를 포함하고, 상기 디바이스 패턴 마스크 요소 중 적어도 일부는 상기 제1 방향을 따라 디바이스 이격 거리로 분포되며, 상기 계측 타겟 패턴 마스크는 상기 제1 방향을 따라 상기 디바이스 이격 거리로 분포된 계측 타겟 패턴 마스크 요소의 세트를 포함함 -; 및
    상기 패턴 마스크에 대응하는 이미지를 샘플 상에 생성하는 투영 광학 장치의 세트
    를 포함하고,
    상기 계측 타겟 패턴 마스크와 관련된 샘플 상에 생성된 계측 타겟은 상기 제1 방향과는 다른 제2 방향을 따라 특성화될 수 있는 것인, 리소그래피 시스템.
  11. 제10항에 있어서,
    상기 계측 타겟 패턴 마스크의 회절 패턴은 상기 제1 방향을 따라 상기 디바이스 이격 거리로 분포된 상기 디바이스 패턴 마스크 요소의 부분의 회절 패턴에 대응하는 것인, 리소그래피 시스템.
  12. 제10항에 있어서,
    상기 계측 타겟 패턴 마스크는 상기 제2 방향을 따라 분포된 서브 해상도 영역을 포함하는 것인, 리소그래피 시스템.
  13. 제12항에 있어서,
    상기 서브 해상도 영역은 상기 투영 광학 장치의 세트의 광학 해상도보다 작은 하나 이상의 피처를 포함하여, 상기 샘플 상의 상기 계측 타겟이 상기 서브 해상도 영역과 관련된 계측 타겟 패턴 마스크 요소의 부분을 포함하지 않도록 하는 것인, 리소그래피 시스템.
  14. 제12항에 있어서,
    상기 제1 방향을 따라 측정된 상기 서브 해상도 영역 내에서 상기 계측 타겟 패턴 마스크 요소의 부분의 폭은 상기 투영 광학 장치의 세트의 광학 해상도보다 작으며, 상기 샘플 상의 계측 타겟은 상기 서브 해상도 영역 내에서 계측 타겟 패턴 마스크 세그먼트의 부분을 포함하지 않는 것인, 리소그래피 시스템.
  15. 제10항에 있어서,
    상기 계측 타겟 패턴 마스크는 상기 제2 방향을 따라 분포된 하나 이상의 피처를 포함하는 것인, 리소그래피 시스템.
  16. 제15항에 있어서,
    상기 계측 타겟 패턴 마스크 요소의 세그먼트는 상기 제2 방향을 따라 타겟 이격 거리만큼 이격되는 것인, 리소그래피 시스템.
  17. 제16항에 있어서,
    상기 타겟 이격 거리는 상기 제2 방향을 따라 상기 계측 타겟 패턴 요소의 세그먼트의 길이보다 작은 것인, 리소그래피 시스템.
  18. 제10항에 있어서,
    상기 패턴 마스크는 명시야 패턴 마스크 또는 암시야 패턴 마스크 중 적어도 하나인 것인, 리소그래피 시스템.
  19. 패턴 마스크로서,
    계측 타겟 패턴 마스크;
    복수의 디바이스 패턴 마스크 요소
    를 포함하고,
    상기 디바이스 패턴 마스크 요소 중 적어도 일부는 제1 방향을 따라 디바이스 이격 거리로 분포되고, 상기 계측 타겟 패턴 마스크는 상기 복수의 디바이스 패턴 마스크 요소의 회절 패턴에 대응하는 회절 패턴을 갖는 계측 타겟 패턴 마스크 요소의 세트를 포함하며, 상기 계측 패턴 마스크의 이미지와 관련된 샘플 상에 생성된 계측 타겟은 상기 디바이스 패턴 마스크 요소와 관련된 샘플 상에 생성된 디바이스 패턴 요소의 하나 이상의 인쇄 특성에 대응하는 하나 이상의 인쇄 특성을 포함하며, 상기 샘플 상에 생성된 상기 계측 타겟은 상기 제1 방향과는 다른 제2 방향을 따라 특성화될 수 있는 것인, 패턴 마스크.
  20. 제19항에 있어서,
    상기 하나 이상의 인쇄 특성은, 샘플 상의 상대적 위치, 임계 치수, 또는 측벽 각도 중 적어도 하나를 포함하는 것인, 패턴 마스크.
  21. 제19항에 있어서,
    상기 계측 타겟 패턴 마스크는 상기 제2 방향을 따라 분포된 서브 해상도 영역을 포함하는 것인, 패턴 마스크.
  22. 제21항에 있어서,
    상기 서브 해상도 영역은 상기 투영 광학 장치의 세트의 광학 해상도보다 작은 하나 이상의 피처를 포함하여, 상기 샘플 상의 상기 계측 타겟이 상기 서브 해상도 영역과 관련된 계측 타겟 패턴 마스크 요소의 부분을 포함하지 않도록 하는 것인, 패턴 마스크.
  23. 제21항에 있어서,
    상기 제1 방향을 따라 측정된 상기 서브 해상도 영역 내에서 상기 계측 타겟 패턴 마스크 요소의 부분의 폭은 상기 투영 광학 장치의 세트의 광학 해상도보다 작으며, 상기 샘플 상의 계측 타겟은 상기 서브 해상도 영역 내에서 계측 타겟 패턴 마스크 세그먼트의 부분을 포함하지 않는 것인, 패턴 마스크.
  24. 제19항에 있어서,
    상기 계측 타겟 패턴 마스크는 상기 제2 방향을 따라 분포된 하나 이상의 피처를 포함하는 것인, 패턴 마스크.
  25. 제24항에 있어서,
    상기 계측 타겟 패턴 마스크 요소의 세그먼트는 상기 제2 방향을 따라 타겟 이격 거리만큼 이격되는 것인, 패턴 마스크.
  26. 제25항에 있어서,
    상기 타겟 이격 거리는 상기 제2 방향을 따라 상기 계측 타겟 패턴 요소의 세그먼트의 길이보다 작은 것인, 패턴 마스크.
  27. 제19항에 있어서,
    상기 패턴 마스크는 명시야 패턴 마스크 또는 암시야 패턴 마스크 중 적어도 하나인 것인, 패턴 마스크.
  28. 계측 타겟 패턴 마스크를 디자인하는 방법으로서,
    상이한 세그멘테이션 피치를 가진 복수의 계측 타겟 패턴 마스크의 모델을 생성하는 단계 - 상기 복수의 계측 타겟 패턴 마스크 중 계측 타겟 패턴 마스크는 제1 방향을 따라 분포된 계측 타겟 패턴 마스크 요소의 세트를 포함함 -;
    상기 복수의 계측 타겟 패턴 마스크의 이미지와 관련된 복수의 계측 타겟의 하나 이상의 인쇄 특성을 시뮬레이팅하는 단계 - 상기 계측 타겟은 상기 제1 방향과는 상이한 제2 방향을 따라 특성화가능함 -;
    상기 복수의 계측 타겟의 하나 이상의 인쇄 특성을 상기 복수의 디바이스 패턴 마스크 요소의 이미지와 관련된 복수의 디바이스 패턴 요소의 하나 이상의 인쇄 특성과 비교하는 단계; 및
    상기 복수의 계측 타겟의 하나 이상의 인쇄 특성과 상기 복수의 디바이스 패턴 마스크 요소의 하나 이상의 인쇄 특성을 비교하는 것 사이의 대응 관계에 기초하여, 상기 복수의 계측 타겟 패턴 마스크 중의 특정 계측 타겟 패턴 마스크를 선택하는 단계
    를 포함하는, 계측 타겟 패턴 마스크를 디자인하는 방법.
  29. 제28항에 있어서,
    상기 복수의 계측 타겟 패턴 마스크 중 특정 계측 타겟 패턴 마스크를 선택하는 단계는, 상기 복수의 계측 타겟 패턴 마스크의 시뮬레이팅된 성능에 기초하여 상기 복수의 계측 타겟 패턴 마스크 중 특정 계측 타겟 패턴 마스크를 선택하는 단계를 더 포함하는 것인, 계측 타겟 패턴 마스크를 디자인하는 방법.
  30. 제28항에 있어서,
    상기 복수의 계측 타겟 패턴 마스크 중 특정 계측 타겟 패턴 마스크를 선택하는 단계는, 상기 복수의 계측 타겟 패턴 마스크의 공정 윈도우에 기초하여 상기 복수의 계측 타겟 패턴 마스크 중 특정 계측 타겟 패턴 마스크를 선택하는 단계를 더 포함하는 것인, 계측 타겟 패턴 마스크를 디자인하는 방법.
  31. 제28항에 있어서,
    상기 하나 이상의 인쇄 특성은, 상기 샘플 상의 상대적 위치, 임계 치수 또는 측벽 각도 중 적어도 하나를 포함하는 것인, 계측 타겟 패턴 마스크를 디자인하는 방법.
KR1020187037692A 2016-05-29 2017-05-26 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법 KR102450663B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227033656A KR102590192B1 (ko) 2016-05-29 2017-05-26 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662342986P 2016-05-29 2016-05-29
US62/342,986 2016-05-29
US15/224,290 2016-07-29
US15/224,290 US10018919B2 (en) 2016-05-29 2016-07-29 System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
PCT/US2017/034779 WO2017210128A1 (en) 2016-05-29 2017-05-26 System and method for fabricating metrology targets oriented with an angle rotated with respect to device features

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227033656A Division KR102590192B1 (ko) 2016-05-29 2017-05-26 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법

Publications (2)

Publication Number Publication Date
KR20190003814A true KR20190003814A (ko) 2019-01-09
KR102450663B1 KR102450663B1 (ko) 2022-10-04

Family

ID=60417818

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187037692A KR102450663B1 (ko) 2016-05-29 2017-05-26 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법
KR1020227033656A KR102590192B1 (ko) 2016-05-29 2017-05-26 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227033656A KR102590192B1 (ko) 2016-05-29 2017-05-26 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법

Country Status (5)

Country Link
US (1) US10018919B2 (ko)
KR (2) KR102450663B1 (ko)
CN (1) CN109196630B (ko)
TW (2) TWI778731B (ko)
WO (1) WO2017210128A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102323388B1 (ko) * 2015-05-15 2021-11-05 케이엘에이 코포레이션 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법
US10705435B2 (en) * 2018-01-12 2020-07-07 Globalfoundries Inc. Self-referencing and self-calibrating interference pattern overlay measurement
US11137692B2 (en) * 2018-01-12 2021-10-05 Kla-Tencor Corporation Metrology targets and methods with oblique periodic structures
US10692203B2 (en) 2018-02-19 2020-06-23 International Business Machines Corporation Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
US10533848B2 (en) * 2018-03-05 2020-01-14 Kla-Tencor Corporation Metrology and control of overlay and edge placement errors
US10677588B2 (en) * 2018-04-09 2020-06-09 Kla-Tencor Corporation Localized telecentricity and focus optimization for overlay metrology
JP7210249B2 (ja) * 2018-11-30 2023-01-23 キヤノン株式会社 光源装置、照明装置、露光装置及び物品の製造方法
US11551980B2 (en) * 2019-03-08 2023-01-10 Kla-Tencor Corporation Dynamic amelioration of misregistration measurement
EP3889684A1 (en) * 2020-04-01 2021-10-06 ASML Netherlands B.V. Removing an artefact from an image

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070195295A1 (en) * 2005-02-21 2007-08-23 Tadahito Fujisawa Mask pattern data forming method, photomask and method of manufacturing semiconductor device
US20110273685A1 (en) * 2010-05-06 2011-11-10 Asml Netherlands B.V. Production of an alignment mark
US20140141536A1 (en) * 2012-11-09 2014-05-22 Kla-Tencor Corporation Method and System for Providing a Target Design Displaying High Sensitivity to Scanner Focus Change

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US20060172207A1 (en) 2005-01-28 2006-08-03 Megumi Asaba Exposure analyzing system, method for analyzing exposure condition, and method for manufacturing semiconductor device
DE102005046973B4 (de) * 2005-09-30 2014-01-30 Globalfoundries Inc. Struktur und Verfahren zum gleichzeitigen Bestimmen einer Überlagerungsgenauigkeit und eines Musteranordnungsfehlers
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7408642B1 (en) * 2006-02-17 2008-08-05 Kla-Tencor Technologies Corporation Registration target design for managing both reticle grid error and wafer overlay
US7925486B2 (en) 2006-03-14 2011-04-12 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US7545520B2 (en) 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
US8237913B2 (en) 2007-05-08 2012-08-07 Asml Netherlands B.V. Lithographic apparatus and method
US20090135390A1 (en) * 2007-11-26 2009-05-28 Advanced Micro Devices, Inc. Lithographic alignment marks
US8930156B2 (en) 2008-07-21 2015-01-06 Kla-Tencor Corporation Metrology through use of feed forward feed sideways and measurement cell re-use
US8891061B2 (en) 2008-10-06 2014-11-18 Asml Netherlands B.V. Lithographic focus and dose measurement using a 2-D target
US8214771B2 (en) 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
NL2008702A (en) 2011-05-25 2012-11-27 Asml Netherlands Bv Computational process control.
US20130042089A1 (en) 2011-08-11 2013-02-14 Advanced Micro Devices, Inc. Word line late kill in scheduler
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9576861B2 (en) * 2012-11-20 2017-02-21 Kla-Tencor Corporation Method and system for universal target based inspection and metrology
NL2011816A (en) * 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9909982B2 (en) 2013-03-08 2018-03-06 Kla-Tencor Corporation Pupil plane calibration for scatterometry overlay measurement
US9354508B2 (en) * 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
WO2015009619A1 (en) * 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
WO2015080858A1 (en) 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
KR102323388B1 (ko) * 2015-05-15 2021-11-05 케이엘에이 코포레이션 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070195295A1 (en) * 2005-02-21 2007-08-23 Tadahito Fujisawa Mask pattern data forming method, photomask and method of manufacturing semiconductor device
US20110273685A1 (en) * 2010-05-06 2011-11-10 Asml Netherlands B.V. Production of an alignment mark
US20140141536A1 (en) * 2012-11-09 2014-05-22 Kla-Tencor Corporation Method and System for Providing a Target Design Displaying High Sensitivity to Scanner Focus Change

Also Published As

Publication number Publication date
US20170343903A1 (en) 2017-11-30
TW202144933A (zh) 2021-12-01
KR20220137167A (ko) 2022-10-11
TWI778731B (zh) 2022-09-21
KR102450663B1 (ko) 2022-10-04
US10018919B2 (en) 2018-07-10
TW201805715A (zh) 2018-02-16
KR102590192B1 (ko) 2023-10-16
TWI738787B (zh) 2021-09-11
CN109196630A (zh) 2019-01-11
CN109196630B (zh) 2020-11-10
WO2017210128A1 (en) 2017-12-07

Similar Documents

Publication Publication Date Title
KR102590192B1 (ko) 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법
JP6723269B2 (ja) 焦点感応オーバーレイターゲットを使用する焦点決定のためのシステムおよび方法
KR102515228B1 (ko) 반도체 파라미터들을 측정하기 위한 장치, 기법들, 및 타겟 설계들
JP5280555B2 (ja) 検査装置および方法、リソグラフィ装置、リソグラフィ処理セル、およびデバイス製造方法
US8553218B2 (en) Calibration method and apparatus
CN108886004B (zh) 用于自动多区带检测及建模的系统及方法
KR102641781B1 (ko) 프로세스 감응성 계측 시스템 및 방법
US10095122B1 (en) Systems and methods for fabricating metrology targets with sub-resolution features
KR20160124850A (ko) 리소그래피를 수반하는 제조 공정을 위한 공정 파라미터의 측정
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
KR20180095605A (ko) 스캐터로메트리에서의 편광 튜닝
NL2009001A (en) Methods and patterning devices for measuring phase aberration.
KR102313418B1 (ko) 포커스-민감성 계측 타겟들을 위한 시스템 및 방법
KR20190046962A (ko) 공정 장치를 모니터링하는 장치 및 방법
EP4246232A1 (en) Illumination arrangement for a metrology device and associated method
KR20060132618A (ko) 차분 임계 치수 및 오버레이 계측 장치 및 측정 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant