KR102641781B1 - 프로세스 감응성 계측 시스템 및 방법 - Google Patents

프로세스 감응성 계측 시스템 및 방법 Download PDF

Info

Publication number
KR102641781B1
KR102641781B1 KR1020187007128A KR20187007128A KR102641781B1 KR 102641781 B1 KR102641781 B1 KR 102641781B1 KR 1020187007128 A KR1020187007128 A KR 1020187007128A KR 20187007128 A KR20187007128 A KR 20187007128A KR 102641781 B1 KR102641781 B1 KR 102641781B1
Authority
KR
South Korea
Prior art keywords
focus
pattern
illumination
sample
pattern element
Prior art date
Application number
KR1020187007128A
Other languages
English (en)
Other versions
KR20180030722A (ko
Inventor
명준 리
마크 디. 스미스
산제이 카파시
스틸리안 판데프
디미트리 산코
프라딥 수브라마냔
애디 레비
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20180030722A publication Critical patent/KR20180030722A/ko
Application granted granted Critical
Publication of KR102641781B1 publication Critical patent/KR102641781B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70091Illumination settings, i.e. intensity distribution in the pupil plane or angular distribution in the field plane; On-axis or off-axis settings, e.g. annular, dipole or quadrupole settings; Partial coherence control, i.e. sigma or numerical aperture [NA]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70641Focus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

리소그래피 시스템은 조명 소스 및 투영 광학장치의 세트를 포함한다. 조명 소스는 축외 조명 폴로부터의 조명의 빔을 패턴 마스크로 지향시킨다. 패턴 마스크는 조명 폴로부터의 조명을 포함하는 회절 빔의 세트를 생성하기 위한 패턴 엘리먼트의 세트를 포함한다. 투영 광학장치의 세트에 의해 수신되는 회절 빔의 세트 중 적어도 두 개의 회절 빔은 투영 광학장치의 세트의 동공 평면에서 비대칭적으로 분포된다. 회절 빔의 세트 중 적어도 두 개의 회절 빔은, 패턴 엘리먼트의 세트의 이미지에 대응하는 제조 엘리먼트의 세트를 형성하도록 샘플에 비대칭적으로 입사한다. 샘플 상의 제조 엘리먼트의 세트는 투영 광학장치의 세트의 광학 축을 따르는 샘플의 위치의 하나 이상의 지표를 포함한다.

Description

프로세스 감응성 계측 시스템 및 방법
본 출원은, Myungjun Lee, Mark D. Smith, Sanjay Kapasi, Stillian Pandev, 및 Dimitry Sanko를 발명자로 하여 2015년 8월 14일자로 출원된 발명의 명칭이 LITHOGRAPHY-AWARE FOCUS/DOSE MONITORING TARGET DESIGN METHOD인 미국 가출원 제62/205,410호의 35 U.S.C. §119(e) 하에서의 이익을 주장하는데, 이 가출원은 참조에 의해 그 전체가 본원에 통합된다.
본 출원은, Myungjun Lee 및 Mark D. Smith를 발명자로 하여 2015년 8월 14일자로 출원된 발명의 명칭이 HIGHLY SENSITIVE AND COST-EFFECTIVE FOCUS MONITORING TECHNIQUES USING THE BINARY MASK WITH THE OPTIMIZED OFF-AXIS ILLUMINATION인 미국 가출원 제62/205,529호의 35 U.S.C. §119(e) 하에서의 이익을 주장하는데, 이 가출원은 참조에 의해 그 전체가 본원에 통합된다.
본 출원은, Myungjun Lee, Mark D. Smith, Pradeep Subrahmanyan, 및 Ady Levy를 발명자로 하여 2016년 2월 19일자로 출원된 발명의 명칭이 HIGHLY SENSITIVE FOCUS MONITORING TECHNIQUE BASED ON ILLUMINATION AND TARGET CO-OPTIMIZATION인 미국 가출원 제62/297,697호의 35 U.S.C. §119(e) 하에서의 이익을 주장하는데, 이 가출원은 참조에 의해 그 전체가 본원에 통합된다.
본 개시는 일반적으로 계측(metrology)에 관한 것으로서, 더 상세하게는, 프로세스 감응성 패턴 마스크(process-sensitive pattern mask)를 사용한 조명 소스 및 계측 타겟의 공동 최적화(co-optimization)에 관한 것이다.
반도체 리소그래피 툴은 통상적으로 좁은 선폭 및 고밀도를 갖는 피쳐(feature)를 적절하게 기록하기 위해 엄격한 허용 오차(tolerance) 내에서 동작해야만 한다. 예를 들면, 샘플의 초점 위치 및 샘플에 의해 수신되는 조명의 선량(dose)과 같은 프로세스 파라미터는, 인쇄 피쳐(printed feature)가 소망하는 사양 내에 있도록 정확하게 모니터링될 수도 있다. 프로세스 감응성 계측 타겟은, 계측 타겟의 하나 이상의 특성(예를 들면, 두 피쳐의 정렬)이 리소그래피 단계와 관련되는 프로세스 파라미터의 값을 나타내는 리소그래피 단계 동안 웨이퍼 상으로 패턴화되는 특수 마크이다. 프로세스 감응성 계측 타겟은 통상적으로 리소그래피 툴에 의해 생성되는 패턴 마스크의 이미지로서 생성되며, 조명 소스 또는 패턴 마스크 상의 특정한 피쳐에 의해 영향을 받을 수도 있다. 또한, 반도체 제조 라인에서 사용되는 프로세스 감응성 패턴 마스크는 비용 효율적이어야 하고, 생산 중인 반도체 디바이스를 기록하기 위해 사용되는 패턴 마스크와 통합되어야 하는 것이 바람직하다. 따라서, 상기에서 식별되는 것과 같은 단점을 치유하기 위한 시스템 및 방법을 제공하는 것이 바람직할 것이다.
본 개시의 하나 이상의 예시적인 실시형태에 따른 리소그래피 시스템이 개시된다. 하나의 예시적인 실시형태에서, 시스템은 축외 조명 폴(off-axis illumination pole)로부터의 조명 빔을 패턴 마스크로 지향시키도록 구성되는 조명 소스(illumination source)를 포함한다. 다른 예시적인 실시형태에서, 패턴 마스크는 조명 폴로부터의 조명을 포함하는 회절 빔(diffracted beam)의 세트를 생성하도록 구성되는 패턴 엘리먼트의 세트를 포함한다. 다른 예시적인 실시형태에서, 시스템은 투영 광학장치(projection optics)의 세트를 포함한다. 다른 예시적인 실시형태에서, 투영 광학장치의 세트에 의해 수신되는 회절 빔의 세트 중 적어도 두 개의 회절 빔은 투영 광학장치의 세트의 동공 평면(pupil plane)에서 비대칭적으로 분포된다. 다른 예시적인 실시형태에서, 회절 빔의 세트 중 적어도 두 개의 회절 빔은, 패턴 엘리먼트의 세트의 이미지에 대응하는 제조 엘리먼트(fabricated element)의 세트를 형성하도록 샘플에 비대칭적으로 입사된다. 다른 예시적인 실시형태에서, 샘플 상의 제조 엘리먼트의 세트는 투영 광학장치의 세트의 광학 축(optical axis)을 따르는 샘플의 위치의 하나 이상의 표시자(indicator)를 포함한다.
본 개시의 하나 이상의 예시적인 실시형태에 따른 리소그래피 시스템이 개시된다. 하나의 예시적인 실시형태에서, 시스템은 축외 조명 소스(off-axis illumination source)를 포함한다. 다른 예시적인 실시형태에서, 조명 소스는 제1 조명 폴 및 제2 조명 폴을 포함한다. 다른 예시적인 실시형태에서, 제1 및 제2 조명 폴은 광학 축에 대해 대칭적으로 분포된다. 다른 예시적인 실시형태에서, 축외 조명 소스는 제1 및 제2 조명 폴로부터의 조명을 패턴 마스크로 지향시키도록 구성된다. 다른 예시적인 실시형태에서, 패턴 마스크는 패턴 엘리먼트의 세트를 포함한다. 다른 예시적인 실시형태에서, 패턴 엘리먼트의 세트는, 패턴 엘리먼트의 세트로부터 회절되는 제1 조명 폴로부터의 조명을 포함하는 회절 빔의 제1 세트를 생성하도록 구성된다. 다른 예시적인 실시형태에서, 패턴 엘리먼트의 세트는 제2 조명 폴로부터의 조명을 포함하는 회절 빔의 제2 세트를 생성하도록 구성된다. 다른 예시적인 실시형태에서, 시스템은 투영 광학장치의 세트를 포함한다. 다른 예시적인 실시형태에서, 투영 광학장치의 세트에 의해 수신되는 회절 빔의 제1 세트 중 적어도 두 개의 회절 빔은 투영 광학장치의 세트의 동공 평면에서 대칭적으로 분포된다. 다른 예시적인 실시형태에서, 투영 광학장치의 세트에 의해 수신되는 회절 빔의 제2 세트 중 적어도 두 개의 회절 빔은 동공 평면에서 회절 빔의 제1 세트와 중첩한다. 다른 예시적인 실시형태에서, 회절 빔의 세트 중 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔은, 패턴 엘리먼트의 세트의 이미지에 대응하는 제조 엘리먼트의 세트를 샘플 상에 형성한다. 다른 예시적인 실시형태에서, 샘플 상의 제조 엘리먼트의 세트는, 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔과 관련되는 샘플 상에서의 조명의 선량의 하나 이상의 표시자를 포함한다.
본 개시의 하나 이상의 예시적인 실시형태에 따른 계측 시스템이 개시된다. 하나의 예시적인 실시형태에서, 시스템은 기판 상에 배치되는 계측 타겟을 갖는 기판을 지지하도록 구성되는 샘플 스테이지를 포함한다. 다른 예시적인 실시형태에서, 계측 타겟은 리소그래피 시스템에 의해 생성되는 패턴 마스크의 이미지와 관련된다. 다른 예시적인 실시형태에서, 패턴 마스크는 리소그래피 시스템의 축외 조명 폴로부터의 조명을 포함하는 회절 빔의 세트를 생성하도록 구성되는 패턴 엘리먼트의 세트를 포함한다. 다른 예시적인 실시형태에서, 리소그래피 시스템에 의해 수신되는 회절 빔의 세트 중 적어도 두 개의 회절 빔은 리소그래피 시스템의 동공 평면에서 비대칭적으로 분포된다. 다른 예시적인 실시형태에서, 회절 빔의 세트 중 적어도 두 개의 회절 빔은 계측 타겟의 제조 엘리먼트의 세트를 형성하도록 샘플에 비대칭적으로 입사한다. 다른 예시적인 실시형태에서, 계측 타겟의 제조 엘리먼트의 세트는 리소그래피 시스템의 투영 광학장치의 세트의 광학 축을 따르는 샘플의 위치의 하나 이상의 표시자를 포함한다. 다른 예시적인 실시형태에서, 시스템은 계측 타겟을 조명하도록 구성되는 적어도 하나의 조명 소스를 포함한다. 다른 예시적인 실시형태에서, 시스템은 계측 타겟으로부터 조명을 수신하도록 구성되는 적어도 하나의 검출기를 포함한다. 다른 예시적인 실시형태에서, 시스템은, 검출기에 통신 가능하게 커플링되며, 하나 이상의 표시자에 기초하여, 투영 광학장치의 세트의 광학 축을 따르는 샘플의 위치를 결정하도록 구성되는 적어도 하나의 컨트롤러를 포함한다.
본 발명의 하나 이상의 예시적인 실시형태에 따른 계측 시스템이 개시된다. 하나의 예시적인 실시형태에서, 시스템은 기판 상에 배치되는 계측 타겟을 갖는 기판을 지지하도록 구성되는 샘플 스테이지를 포함한다. 다른 예시적인 실시형태에서, 계측 타겟은 리소그래피 시스템에 의해 생성되는 패턴 마스크의 이미지와 관련된다. 다른 예시적인 실시형태에서, 패턴 마스크는, 리소그래피 시스템의 제1 조명 폴 및 제2 조명 폴로부터의 조명을 포함하는 회절 빔의 세트를 생성하도록 구성되는 패턴 엘리먼트의 세트를 포함한다. 다른 예시적인 실시형태에서, 리소그래피 시스템의 제1 및 제2 조명 폴은 리소그래피 시스템의 광학 축에 대해 대칭적으로 분포된다. 다른 예시적인 실시형태에서, 리소그래피 시스템에 의해 수신되는 회절 빔의 제1 세트 중 적어도 두 개의 회절 빔은 리소그래피 시스템의 동공 평면에서 대칭적으로 분포된다. 다른 예시적인 실시형태에서, 투영 광학장치의 세트에 의해 수신되는 회절 빔의 제2 세트 중 적어도 두 개의 회절 빔은, 리소그래피 시스템의 동공 평면에서 회절 빔의 제1 세트와 중첩된다. 다른 예시적인 실시형태에서, 회절 빔의 세트 중 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔은 계측 타겟의 제조 엘리먼트의 세트를 형성하도록 샘플에 대칭적으로 입사한다. 다른 예시적인 실시형태에서, 계측 타겟의 제조 엘리먼트의 세트는 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔과 관련되는 샘플 상에서의 조명의 선량의 하나 이상의 표시자를 포함한다. 다른 예시적인 실시형태에서, 시스템은 계측 타겟을 조명하도록 구성되는 적어도 하나의 조명 소스를 포함한다. 다른 예시적인 실시형태에서, 시스템은 계측 타겟으로부터 조명을 수신하도록 구성되는 적어도 하나의 검출기를 포함한다. 다른 예시적인 실시형태에서, 시스템은, 검출기에 통신 가능하게 커플링되며, 하나 이상의 표시자에 기초하여, 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔과 관련되는 계측 타겟 상에서의 조명의 선량을 결정하도록 구성되는 적어도 하나의 컨트롤러를 포함한다.
본 개시의 하나 이상의 예시적인 실시형태에 따른, 리소그래피 시스템의 광학 축을 따르는 샘플의 위치를 결정하기 위한 방법이 개시된다. 하나의 예시적인 실시형태에서, 그 방법은 축외 조명 폴을 포함하는 리소그래피 시스템을 사용하여 패턴 마스크의 이미지를 생성하는 것을 포함한다. 다른 예시적인 실시형태에서, 패턴 마스크는 리소그래피 시스템의 축외 조명 폴로부터의 조명을 포함하는 회절 빔의 세트를 생성하도록 구성되는 패턴 엘리먼트의 세트를 포함한다. 다른 예시적인 실시형태에서, 리소그래피 시스템에 의해 수신되는 회절 빔의 세트 중 적어도 두 개의 회절 빔은 리소그래피 시스템의 동공 평면에서 비대칭적으로 분포된다. 다른 예시적인 실시형태에서, 회절 빔의 세트 중 적어도 두 개의 회절 빔은 계측 타겟의 제조 엘리먼트의 세트를 형성하도록 샘플에 비대칭적으로 입사한다. 다른 예시적인 실시형태에서, 계측 타겟의 제조 엘리먼트의 세트는 리소그래피 시스템의 투영 광학장치의 세트의 광학 축을 따르는 샘플의 위치의 하나 이상의 표시자를 포함한다. 다른 예시적인 실시형태에서, 그 방법은, 계측 시스템을 사용하여 리소그래피 시스템의 투영 광학장치의 세트의 광학 축을 따르는 샘플의 위치의 하나 이상의 표시자를 측정하는 것을 포함한다. 다른 예시적인 실시형태에서, 그 방법은 하나 이상의 표시자에 기초하여 투영 광학장치의 광학 축을 따르는 샘플의 위치를 결정하는 것을 포함한다.
본 개시의 하나 이상의 예시적인 실시형태에 따른, 리소그래피 시스템에서의 조명의 선량을 결정하기 위한 방법이 개시된다. 하나의 예시적인 실시형태에서, 그 방법은 축외 조명 폴을 포함하는 리소그래피 시스템을 사용하여 패턴 마스크의 이미지를 생성하는 것을 포함한다. 다른 예시적인 실시형태에서, 패턴 마스크는, 리소그래피 시스템의 제1 조명 폴 및 제2 조명 폴로부터의 조명을 포함하는 회절 빔의 세트를 생성하도록 구성되는 패턴 엘리먼트의 세트를 포함한다. 다른 예시적인 실시형태에서, 리소그래피 시스템의 제1 및 제2 조명 폴은 리소그래피 시스템의 광학 축에 대해 대칭적으로 분포된다. 다른 예시적인 실시형태에서, 리소그래피 시스템에 의해 수신되는 회절 빔의 제1 세트 중 적어도 두 개의 회절 빔은 리소그래피 시스템의 동공 평면에서 대칭적으로 분포된다. 다른 예시적인 실시형태에서, 투영 광학장치의 세트에 의해 수신되는 회절 빔의 제2 세트 중 적어도 두 개의 회절 빔은, 리소그래피 시스템의 동공 평면에서 회절 빔의 제1 세트와 중첩된다. 다른 예시적인 실시형태에서, 회절 빔의 세트 중 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔은 계측 타겟의 제조 엘리먼트의 세트를 형성하도록 샘플에 대칭적으로 입사한다. 다른 예시적인 실시형태에서, 계측 타겟의 제조 엘리먼트의 세트는 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔과 관련되는 샘플 상에서의 조명의 선량의 하나 이상의 표시자를 포함한다. 다른 예시적인 실시형태에서, 그 방법은 회절 빔의 제1 및 제2 세트 중 적어도 두 개의 회절 빔과 관련되는 계측 타겟 상에서의 조명의 선량의 하나 이상의 표시자를 측정하는 것을 포함한다. 다른 예시적인 실시형태에서, 그 방법은 하나 이상의 표시자에 기초하여 계측 타겟 상에서의 조명의 선량을 결정하는 것을 포함한다.
전술한 일반적인 설명 및 다음의 상세한 설명은 예시적이고 설명적이다는 것 및 청구되는 바와 같은 본 발명을 반드시 제한하는 것은 아니다는 것이 이해되어야 한다. 본 명세서에 통합되며 본 명세서의 일부를 구성하는 첨부의 도면은, 본 발명의 실시형태를 예시하며, 일반적인 설명과 함께, 본 발명의 원리를 설명하도록 기능한다.
본 개시의 다수의 이점은 첨부의 도면을 참조하여 기술 분야의 숙련된 자에 의해 더 잘 이해될 수도 있는데, 첨부의 도면에서:
도 1a는, 본 개시의 하나 이상의 실시형태에 따른, 하나 이상의 패턴을 샘플에 리소그래피적으로 인쇄하기(lithographically printing) 위한 리소그래피 서브시스템을 포함하는 시스템을 예시하는 개념도이다.
도 1b는, 본 개시의 하나 이상의 실시형태에 따른, 계측 서브시스템을 예시하는 개념도이다.
도 1c는, 본 개시의 하나 이상의 실시형태에 따른, 계측 서브시스템을 예시하는 개념도이다.
도 2는, 본 개시의 하나 이상의 실시형태에 따른, 분할 패턴 엘리먼트(segmented pattern element)를 포함하는 패턴 마스크를 예시하는 개략도이다.
도 3a는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 마스크에 의해 생성되는 다수의 회절 빔을 예시하는 리소그래피 서브시스템의 개념도이다.
도 3b는, 본 개시의 하나 이상의 실시형태에 따른, 동공 평면 내에서의 회절 빔의 상대적 위치를 예시하는 투영 광학장치의 세트의 동공 평면의 개념도이다.
도 3c는, 본 개시의 하나 이상의 실시형태에 따른, 도 3a 및 도 3b에서 도시되는 바와 같은 비대칭적 조명에 의해 노광되는 샘플의 레지스트 층 내에서의 상대적인 산 농도(acid concentration)를 예시하는 플롯이다.
도 3d는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 마스크에 의해 생성되는 다수의 회절 빔을 예시하는 리소그래피 서브시스템의 개념도이다.
도 3e는, 본 개시의 하나 이상의 실시형태에 따른, 동공 평면 내에서의 회절 빔의 상대적 위치를 예시하는 투영 광학 장치의 세트의 동공 평면의 개념도이다.
도 3f는, 본 개시의 하나 이상의 실시형태에 따른, 도 3d 및 도 3e에서 도시되는 바와 같은 비대칭적 조명에 의해 노광되는 샘플의 레지스트 층 내에서의 상대적인 산 농도를 예시하는 플롯이다.
도 3g는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 마스크에 의해 생성되는 다수의 회절 빔을 예시하는 리소그래피 서브시스템의 개념도이다.
도 3h는, 본 개시의 하나 이상의 실시형태에 따른, 동공 평면 내에서의 대칭적인 회절 빔의 상대적 위치를 예시하는 투영 광학장치의 세트의 동공 평면의 개념도이다.
도 3i는, 본 개시의 하나 이상의 실시형태에 따른, 도 3d 및 도 3e에서 도시되는 바와 같은 대칭적 조명에 의해 노광되는 샘플의 레지스트 층 내에서의 상대적인 산 농도를 예시하는 플롯이다.
도 4a는, 본 개시의 하나 이상의 실시형태에 따른, 80 nm의 피치를 갖는 패턴 마스크 및 축외 단일 폴 조명 소스(off-axis single-pole illumination source)와 관련되는 동공 평면에서의 회절 빔의 분포를 예시하는 플롯이다.
도 4b는, 본 개시의 하나 이상의 실시형태에 따른, 100 nm의 피치를 갖는 패턴 마스크 및 축외 단일 폴 조명 소스와 관련되는 동공 평면에서의 회절 빔의 분포를 예시하는 플롯이다.
도 4c는, 본 개시의 하나 이상의 실시형태에 따른, 140 nm의 피치를 갖는 패턴 마스크 및 축외 단일 폴 조명 소스와 관련되는 동공 평면에서의 회절 빔의 분포를 예시하는 플롯이다.
도 4d는, 본 개시의 하나 이상의 실시형태에 따른, 150 nm의 피치를 갖는 패턴 마스크 및 축외 단일 폴 조명 소스와 관련되는 동공 평면에서의 회절 빔의 분포를 예시하는 플롯이다.
도 5는, 본 개시의 하나 이상의 실시형태에 따른, 80 nm로부터 160 nm까지의 범위에 이르는 피치 값을 갖는 패턴 마스크에 대한 시뮬레이팅된 인쇄 패턴 프로파일(simulated printed pattern profile)의 개략도이다.
도 6은, 본 개시의 하나 이상의 실시형태에 따른, 75 nm에서부터 140 nm까지의 범위에 이르는 피치 값에 대한 인쇄 패턴의 상부의 편차의 측정과 관련되는 패턴 배치 오차(pattern placement error; PPE)를 예시하는 플롯이다.
도 7a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 엘리먼트(focus-sensitive pattern element) 및 초점 비감응성 패턴 엘리먼트(focus-insensitive pattern element)를 포함하는 초점 감응성 패턴 마스크(focus-sensitive pattern mask)의 평면도이다.
도 7b는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 엘리먼트의 일부의 확대도이다.
도 7c는, 본 개시의 하나 이상의 실시형태에 따른, 초점 비감응성 패턴 엘리먼트의 일부의 확대도이다.
도 8a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크에 대응하는 초점 감응성 인쇄 계측 타겟(focus-sensitive printed metrology target)의 평면도이다.
도 8b는, 본 개시의 하나 이상의 실시형태에 따른, 샘플의 초점 위치와 오정렬 메트릭(misalignment metric) 사이의 예시적인 관계를 예시하는 플롯이다.
도 9a는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 엘리먼트의 상이한 배향을 갖는 다수의 셀을 포함하는 초점 감응성 패턴 마스크의 평면도이다.
도 9b는, 본 개시의 하나 이상의 실시형태에 따른, 다수의 세그먼트를 포함하는 초점 감응성 패턴 엘리먼트의 일부의 확대도이다.
도 10은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크에 대응하는 초점 감응성 인쇄 계측 타겟의 평면도이다.
도 11a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크의 평면도이다.
도 11b는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 엘리먼트에 대응하는 인쇄 패턴 엘리먼트(printed pattern element)와 관련되는 패턴 배치 오차를 예시하는 플롯이다.
도 11c는, 본 개시의 하나 이상의 실시형태에 따른, 초점 비감응성 패턴 엘리먼트에 대응하는 인쇄 패턴 엘리먼트와 관련되는 패턴 배치 오차를 예시하는 플롯이다.
도 12a는, 본 개시의 하나 이상의 실시형태에 따른, 분해능 미만의 피쳐(sub-resolution feature)를 포함하는 초점 감응성 패턴 엘리먼트의 평면도이다.
도 12b는, 본 개시의 하나 이상의 실시형태에 따른, 분해능 미만의 피쳐를 갖는 초점 감응성 패턴 엘리먼트에 대응하는 레지스트 층의 시뮬레이팅된 인쇄 패턴 프로파일의 개략도이다.
도 13은, 본 개시의 하나 이상의 실시형태에 따른, X 및 Y 둘 모두의 방향에서 투영 광학장치의 세트의 광학 축으로부터 오프셋되는 단일 폴 조명 소스의 강도 분포(intensity distribution)를 예시하는 플롯이다.
도 14는, 본 개시의 하나 이상의 실시형태에 따른, X 및 Y 둘 모두의 방향을 따라 분포되는 다수의 세그먼트를 포함하는 패턴 엘리먼트의 평면도이다.
도 15는, 본 개시의 하나 이상의 실시형태에 따른, 투영 광학장치의 세트의 동공 평면에서의 회절 빔 분포의 플롯이다.
도 16은, 본 개시의 하나 이상의 실시형태에 따른, 분해능 미만의 피쳐를 갖는 초점 감응성 패턴 엘리먼트에 대응하는 레지스트 층의 시뮬레이팅된 인쇄 패턴 프로파일의 개략도이다.
도 17은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 및 초점 비감응성 인쇄 패턴 엘리먼트를 포함하는 계측 타겟의 평면도이다.
도 18은, 본 개시의 하나 이상의 실시형태에 따른, 프로세스 감응성 계측 타겟을 인쇄하기 위한 조명 소스의 예시적인 강도 분포의 플롯이다.
도 19a는, 본 개시의 하나 이상의 실시형태에 따른, 조명 소스의 제1 폴과 관련되는 빔 경로 및 샘플 상에 초점 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크를 예시하는 리소그래피 서브시스템의 개념도이다.
도 19b는, 본 개시의 하나 이상의 실시형태에 따른, 제1 폴에 대해 대칭적인 조명 소스의 제2 폴과 관련되는 빔 경로 및 샘플 상에 초점 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크를 예시하는 리소그래피 서브시스템의 개념도이다.
도 20은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟의 생성을 위한 리소그래피 시스템의 동공 평면에서의 회절 빔의 분포를 예시하는 플롯이다.
도 21은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟에 대응하는 초점 노광 매트릭스(focus exposure matrix)의 시뮬레이팅된 인쇄 패턴 프로파일의 개략도이다.
도 22a는, 본 개시의 하나 이상의 실시형태에 따른, 인쇄 패턴 엘리먼트의 임계 치수(critical dimension)의 변화를, 샘플의 초점 위치의 다수의 값에 대한 노광의 함수로서 예시하는 플롯이다.
도 22b는, 본 개시의 하나 이상의 실시형태에 따른, 인쇄 패턴 엘리먼트의 측벽 각도의 변화를, 샘플의 초점 위치의 다수의 값에 대한 노광의 함수로서 예시하는 플롯이다.
도 23a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟의 생성을 위한 비대칭 분할 패턴 엘리먼트(asymmetric segmented pattern element)의 평면도이다.
도 23b는, 본 개시의 하나 이상의 실시형태에 따른, 비대칭 분할 패턴 엘리먼트에 대응하는 레지스트 층의 시뮬레이팅된 인쇄 패턴 프로파일의 개략도이다.
도 23c는, 본 개시의 하나 이상의 실시형태에 따른, 비대칭 분할 계측 타겟의 이미지에 대응하는 초점 노광 매트릭스의 시뮬레이팅된 인쇄 패턴 프로파일의 개략도이다.
도 24a는, 본 개시의 하나 이상의 실시형태에 따른, 조명 소스의 제1 폴과 관련되는 빔 경로 및 샘플 상에 노광 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크를 예시하는 리소그래피 서브시스템의 개념도이다.
도 24b는, 본 개시의 하나 이상의 실시형태에 따른, 제1 폴에 대해 대칭적인 조명 소스의 제2 폴과 관련되는 빔 경로 및 샘플 상에 노광 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크를 예시하는 리소그래피 서브시스템의 개념도이다.
도 25는, 본 개시의 하나 이상의 실시형태에 따른, 노광 감응성 계측 타겟의 생성을 위한 리소그래피 시스템의 동공 평면에서의 회절 빔의 분포를 예시하는 플롯이다.
도 26은, 본 개시의 하나 이상의 실시형태에 따른, 노광 감응성 패턴 엘리먼트의 이미지에 대응하는 초점 노광 매트릭스의 시뮬레이팅된 인쇄 패턴의 개략도이다.
도 27은, 본 개시의 하나 이상의 실시형태에 따른, 노광 감응성 인쇄 패턴 프로파일(exposure-sensitive printed pattern profile)의 임계 치수의 변화를, 샘플의 초점 위치의 다수의 값에 대한 노광의 함수로서 예시하는 플롯이다.
이제, 첨부의 도면에서 예시되는, 개시되는 요지(subject matter)에 대한 상세한 참조가 이루어질 것이다.
본 개시의 실시형태는, 샘플 상에 프로세스 감응성 계측 타겟을 생성하기 위한 리소그래피 툴의 조명 소스 및 패턴 마스크의 공동 최적화에 관한 것이다. 본 개시의 몇몇 실시형태는, 공칭 초점 위치(nominal focal position)로부터의 리소그래피 툴 내에서의 샘플의 초점 위치의 편차가, 계측 툴에 의해 측정 가능한 초점 감응성 계측 타겟의 하나 이상의 특성의 변화로서 나타나는 초점 감응성 계측 타겟에 관한 것이다. 본 개시의 추가적인 실시형태는, 공칭 값으로부터의 조명 소스에 의한 샘플의 노광 선량의 편차가, 계측 툴에 의해 측정 가능한 노광 감응성 계측 타겟의 하나 이상의 특성의 변화로서 나타나는 노광 감응성 계측 타겟에 관한 것이다. 본 개시의 몇몇 실시형태는 프로세스 감응성 계측 타겟을 생성하기 위한 비대칭적 축외 조명 소스(asymmetric off-axis illumination source)에 관한 것이다. 추가적인 실시형태는 프로세스 감응성 계측 타겟을 생성하기 위한 대칭적 축외 조명 소스(symmetric off-axis illumination source)에 관한 것이다. 본 개시의 또 다른 실시형태는, 프로세스 감응성 계측 타겟을 제공하기 위해 조명 소스의 공지된 조명 프로파일에 기초하여 설계되는 패턴 엘리먼트를 갖는 패턴 마스크에 관한 것이다.
리소그래피 인쇄의 맥락에서, 샘플 상에서의 인쇄 피쳐의 제조와 관련되는 프로세스 윈도우는 통상적으로 지정된 허용 오차 내에서 인쇄 피쳐의 제조에 적합한 프로세스 파라미터의 범위를 정의한다는 것이 본원에서 인식된다. 예를 들면, 프로세스 윈도우는 리소그래피 툴의 광학 축(예를 들면, 샘플의 초점 위치)을 따르는 샘플의 위치와 관련되는 디포커스(defocus)에 대한 한계를 정의할 수도 있다. 다른 예로서, 프로세스 윈도우는 샘플에 입사하는 조명 소스로부터의 에너지의 선량(예를 들면, 샘플의 노광)에 대한 한계를 정의할 수도 있다. 또한, 인쇄 피쳐의 하나 이상의 특성에 대한 다수의 프로세스 파라미터의 변화의 영향은 상호 의존적일 수도 있다. 이와 관련하여, 프로세스 윈도우는, 주목하는 프로세스 파라미터의 허용 가능한 범위를 정의하기 위한 다수의 프로세스 파라미터(예를 들면, 초점 노광 매트릭스(focus-exposure matrix; FEM), 또는 등등)의 다차원 분석을 포함할 수도 있다. 따라서, 샘플의 초점 위치 및 조명 소스로부터 샘플에 입사하는 에너지의 선량과 같은, 그러나 이들로 제한되지는 않는 프로세스 파라미터의 정밀한 모니터링은, 소망하는 사양에 따른 리소그래피 툴의 성능을 가능하게 할 수도 있다.
프로세스 파라미터의 편차에 대해 인쇄 피쳐의 특성이 강건한 정도는 다양한 인자에 의존할 수도 있다는 것이 추가로 인식된다. 예를 들면, 프로세스 파라미터의 편차에 대한 강건성은, 소망하는 인쇄 피쳐의 치수 및/또는 밀도와 같은, 그러나 이들로 제한되지는 않는 소망하는 패턴 피쳐의 특성에 의해 영향을 받을 수도 있다. 추가적으로, 프로세스 파라미터의 편차에 대한 강건성은, 초점 심도(depth of focus; DOF), 투영 광학장치의 개구수(numerical aperture; NA), 조명 소스의 형상, 조명 소스의 대칭성, 조명 소스의 스펙트럼 내용, 또는 조명 소스의 가간섭성(coherence)과 같은, 그러나 이들로 제한되지는 않는 리소그래피 툴의 광학적 특성에 의해 영향을 받을 수도 있다. 또한, 프로세스 파라미터의 편차에 대한 강건성은, 패턴 엘리먼트의 투과성, 패턴 엘리먼트에 의해 유도되는 광학 위상, 또는 투영 광학장치의 분해능과 관련한 패턴 엘리먼트의 치수와 같은 그러나 이들로 제한되지는 않는, 인쇄 패턴을 생성하기 위해 샘플 상으로 이미지화되는 패턴 마스크의 특성에 의해 영향을 받을 수도 있다. 또한, 인쇄 파라미터의 강건성과 관련되는 많은 이러한 특성은 상호 의존적일 수도 있다.
본 개시의 실시형태는, 샘플 상의 계측 타겟의 인쇄 패턴의 하나 이상의 특성(예를 들면, 하나 이상의 인쇄 엘리먼트의 위치, 인쇄 엘리먼트 사이의 분리 거리, 인쇄 엘리먼트의 측벽 각도, 또는 등등)이 프로세스 파라미터(예를 들면, 샘플의 초점 위치, 샘플에 입사하는 조명 에너지의 선량, 또는 등등)에서의 변화에 고도로 민감하도록, 조명 소스 및 패턴 마스크 상의 패턴 엘리먼트의 공동 최적화에 관한 것이다. 몇몇 실시형태에서, 프로세스 감응성 계측 타겟과 관련되는 패턴 마스크 상의 패턴 엘리먼트는, 제조 디바이스와 관련되는 인쇄 패턴과 동일한 조명 조건(조명 소스의 형상, 조명 소스의 대칭성, 또는 등등)을 활용하도록 설계된다. 이와 관련하여, 프로세스 감응성 계측 타겟은, 제조 디바이스와 관련되는 패턴 엘리먼트와 동일한 프로세스 단계 또는 일련의 프로세스 단계에서 샘플 상에 인쇄될 수도 있다. 몇몇 실시형태에서, 프로세스 감응성 계측 타겟과 관련되는 패턴 마스크 상의 패턴 엘리먼트는, 커스텀 조명 소스 프로파일(custom illumination source profile)과 함께 활용되도록 설계된다. 이와 관련하여, 프로세스 감응성 계측 타겟이 전용 프로세스 단계에서 인쇄될 수도 있다.
프로세스 감응성 리소그래피 피쳐는 2004년 1월 6일자로 발행된 미국 특허 제6,673,638호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 통합된다. 초점 마스킹 구조체는 2005년 4월 26일자로 발행된 미국 특허 제6,884,552호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 통합된다. 리소그래피 초점 및 노광을 결정하는 것은, 2008년 6월 3일자로 발행된 미국 특허 제7,382,447호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 통합된다. 산란측정법 신호(scatterometry signal)를 사용한 프로세스 최적화 및 제어는 2008년 4월 1일자로 발행된 미국 특허 제7,352,453호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 통합된다. 산란측정법을 사용하여 오버레이 오차를 검출하는 것은, 2009년 6월 21일자로 발행된 미국 특허 제7,564,557호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 통합된다.
본 개시 전반에 걸쳐 사용되는 바와 같이, 용어 "샘플"은, 일반적으로, 반도체 또는 비반도체 재료(예를 들면, 웨이퍼, 또는 등등)로 형성되는 기판을 가리킨다. 예를 들면, 반도체 또는 비반도체 재료는, 단결정 실리콘, 비화 갈륨, 또는 인화 인듐을 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 샘플은 하나 이상의 층을 포함할 수도 있다. 예를 들면, 이러한 층은, 레지스트, 유전체 재료, 도전성 재료, 및 반도체성 재료를 포함할 수도 있지만, 그러나 이들로 제한되는 것은 아니다. 많은 상이한 타입의 이러한 층은 기술 분야에서 공지되어 있으며, 본원에서 사용되는 바와 같은 용어 샘플은 모든 타입의 이러한 층이 상부에 형성될 수도 있는 샘플을 포괄하도록 의도된다. 샘플 상에 형성되는 하나 이상의 층은 패턴화될 수도 있거나 또는 패턴화되지 않을 수도 있다. 예를 들면, 샘플은, 반복 가능한 패턴화된 피쳐를 각각 구비하는 복수의 다이를 포함할 수도 있다. 이러한 재료의 층의 형성 및 프로세싱은 궁극적으로는 완성된 디바이스로 귀결될 수도 있다. 많은 상이한 타입의 디바이스가 샘플 상에 형성될 수도 있으며, 본원에서 사용되는 바와 같은 용어 샘플은, 기술 분야에서 공지되어 있는 임의의 타입의 디바이스가 상부에 제조되고 있는 샘플을 포함하도록 의도된다. 또한, 본 개시의 목적을 위해, 용어 샘플 및 웨이퍼는 상호 교환 가능한 것으로 해석되어야 한다. 또한, 본 개시의 목적을 위해, 용어 패턴화 디바이스, 마스크 및 레티클은, 상호 교환 가능한 것으로 해석되어야 한다.
도 1a는, 본 개시의 하나 이상의 실시형태에 따른, 하나 이상의 패턴을 샘플에 리소그래피적으로 인쇄하기 위한 리소그래피 서브시스템(101)을 포함하는 시스템(100)을 예시하는 개념도이다. 하나의 실시형태에서, 시스템(100)은 리소그래피 서브시스템(101)으로 구성된다. 리소그래피 서브시스템(101)은 기술 분야에서 공지되어 있는 임의의 리소그래피 인쇄 툴을 포함할 수도 있다. 예를 들면, 리소그래피 서브시스템(101)은 스캐너 또는 스테퍼를 포함할 수도 있지만, 그러나 이들로 한정되는 것은 아니다.
다른 실시형태에서, 리소그래피 서브시스템(101)은 하나 이상의 조명 빔(104)을 생성하도록 구성되는 조명 소스(102)를 포함할 수도 있다. 하나 이상의 조명 빔(104)은, 자외(ultraviolet; UV) 복사선(radiation), 가시 복사선(visible radiation) 또는 적외(infrared; IR) 복사선을 포함하는 그러나 이들로 제한되지는 않는 하나 이상의 선택된 파장의 광을 포함할 수도 있다. 다른 실시형태에서, 조명 소스(102)에 의해 방출되는 하나 이상의 조명 빔(104)의 복사선의 파장은 조정 가능하다. 이와 관련하여, 하나 이상의 조명 빔(104)의 복사선의 파장은 임의의 선택된 파장의 복사선(예를 들면, UV 복사선, 가시 복사선, 적외선 복사선, 또는 등등)으로 조정될 수도 있다. 다른 실시형태에서, 조명 소스(102)는 기술 분야에서 공지되어 있는 임의의 패턴을 갖는 하나 이상의 조명 빔(104)을 생성할 수도 있다. 예를 들면, 조명 소스(102)는 단일 폴 조명 소스, 다이폴(dipole) 조명 소스, C-Quad 조명 소스, 퀘이사(Quasar) 조명 소스, 또는 자유 형태 조명 소스(free-form illumination source)를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다.
다른 실시형태에서, 리소그래피 서브시스템(101)은 마스크 지지 디바이스(106)를 포함한다. 마스크 지지 디바이스(106)는 패턴 마스크(108)를 고정하도록 구성된다. 이와 관련하여, 지지 디바이스(106)는, 기계적, 진공, 정전식 또는 다른 클램핑 기술과 같은, 그러나 이들로 제한되지는 않는 기술 분야에서 공지되어 있는 임의의 수단을 활용하여 패턴 마스크(108)를 유지할 수도 있다. 다른 실시형태에서, 리소그래피 서브시스템(101)은 하나 이상의 조명 빔(104)에 의해 조명되는 패턴 마스크(108)의 이미지를 샘플 스테이지(114) 상에 배치되는 샘플(112)의 표면 상으로 투영하도록 구성되는 투영 광학장치(110)의 세트를 포함한다. 예를 들면, 투영 광학장치(110)의 세트는, 패턴(108)의 이미지를 샘플(112) 상의 레지스트 층(116) 상으로 투영하여, 패턴 마스크(108) 상의 패턴 엘리먼트에 대응하는 인쇄 패턴 엘리먼트(예를 들면, 계측 패턴)를 레지스트 층(116) 상에 형성하도록 구성될 수도 있다. 다른 실시형태에서, 지지 디바이스(106)는 패턴 마스크(108)를 작동시키도록 또는 위치시키도록 구성될 수도 있다. 예를 들면, 지지 디바이스(106)는, 시스템(100)의 투영 광학장치(110)와 관련하여 선택된 위치로 패턴 마스크(108)를 작동시킬 수도 있다.
패턴 마스크(108)는 반사성 또는 투과성 엘리먼트일 수도 있다. 하나의 실시형태에서, 패턴 마스크(108)는, 패턴 엘리먼트가 (예를 들면, 조명 빔(104)의 흡수 또는 반사를 통해) 조명 빔(104)의 투과를 완전히 또는 부분적으로 차단하는 투과성 엘리먼트이다. 따라서, 조명 빔(104)은, 패턴 엘리먼트 사이의 스페이스를 통해 투영 광학장치(110)의 세트로 전달될 수도 있다. 예를 들면, 패턴 엘리먼트가 조명 빔(104)의 투과를 완전히 차단하는 패턴 마스크(108)는 바이너리 패턴 마스크(binary pattern mask)로서 동작할 수도 있다. 또한, 리소그래피 시스템에서의 샘플의 초점 위치를 결정하기 위해, 조명 소스로부터의 광이 완전히 차단되어 또는 완전히 투과되어/반사되어 이미지를 생성하는 초점 감응성 바이너리 패턴 마스크가 유익하게 활용될 수도 있다는 것이 인식된다. 예를 들면, 바이너리 패턴 마스크는 제조 비용이 상대적으로 저렴하고 많은 리소그래피 시스템에 쉽게 통합될 수도 있다.
다른 실시형태에서, 패턴 마스크(108)의 피쳐(예를 들면, 패턴 엘리먼트, 패턴 엘리먼트 사이의 스페이스, 또는 등등)는 조명 빔(104)의 광학 위상을 수정하도록 설계된다. 이와 관련하여, 패턴 마스크(108)는 위상 마스크(예를 들면, 교대 위상 시프트 마스크, 또는 등등)로서 동작할 수도 있다.
다른 실시형태에서, 패턴 마스크(108)는, 세그먼트(202)가 조명 빔(104)을 완전히 또는 부분적으로 투영 광학장치(110)의 세트로 반사하는 반사 마스크이고, 세그먼트(202) 사이의 스페이스는 조명 빔(104)을 흡수 또는 투과시킨다. 또한, 패턴 마스크(108)의 패턴 엘리먼트는, 조명 빔(104)을 반사 및/또는 흡수하기 위한 기술 분야에서 공지되어 있는 임의의 불투명 또는 반투명 재료로 형성될 수도 있다. 다른 실시형태에서, 세그먼트(202)는 금속을 포함할 수도 있다. 예를 들면, 세그먼트(202)는 크롬(예를 들면, 크롬 합금, 또는 등등)으로 형성될 수도 있지만, 그러나 필수적인 것은 아니다.
패턴 마스크(108)는 기술 분야에서 공지되어 있는 임의의 이미징 구성에서 (예를 들면, 리소그래피 서브시스템(101)에 의해) 활용될 수도 있다. 예를 들면, 패턴 마스크(108)는, 패턴 엘리먼트가 샘플(112)의 레지스트 층(116)의 인쇄 패턴 엘리먼트로서 포지티브하게 이미지화되는 포지티브 마스크(positive mask)일 수도 있다. 다른 예로서, 패턴 마스크(108)는, 패턴 마스크(108)의 패턴 엘리먼트가 샘플(112)의 레지스트 층(116)의 네거티브 인쇄 패턴 엘리먼트(예를 들면, 갭, 스페이스, 또는 등등)를 형성하는 네거티브 마스크(negative mask)일 수도 있다.
다른 실시형태에서, 리소그래피 서브시스템(101)은 리소그래피 서브시스템(101)의 다양한 서브시스템을 제어하기 위한 컨트롤러(118)를 포함한다. 다른 실시형태에서, 컨트롤러(118)는 메모리 매체(120) 상에 유지되는 프로그램 명령어를 실행하도록 구성되는 하나 이상의 프로세서(119)를 포함한다. 이와 관련하여, 컨트롤러(118)의 하나 이상의 프로세서(119)는 본 개시의 전체에 걸쳐 설명되는 다양한 프로세스 단계 중 임의의 것을 실행할 수도 있다. 또한, 컨트롤러(118)는, 패턴 마스크(108) 상의 패턴 엘리먼트의 샘플(112)(예를 들면, 샘플(112) 상의 레지스트 층(116), 또는 등등)로의 전사를 감독하도록, 마스크 지지 디바이스(106) 및/또는 샘플 스테이지(114)에 통신 가능하게 커플링될 수도 있다. 본원에서, 본 발명의 리소그래피 서브시스템(101)은 본 개시 전체에 걸쳐 설명되는 패턴 마스크 설계 중 임의의 것을 구현할 수도 있다는 것을 유의한다. Lee 등등은 2009년 6월 9일자로 발행된 미국 특허 제7,545,520호에서 마스크 기반 리소그래피(mask-based lithography)를 일반적으로 설명하고 있다.
도 1b는, 본 개시의 하나 이상의 실시형태에 따른, 계측 서브시스템(151)을 예시하는 개념도이다. 계측 서브시스템(151)은 기술 분야에서 공지되어 있는 임의의 방법을 사용하여 임의의 계측 메트릭(예를 들면, 오버레이 오차, CD, 또는 등등)을 측정할 수도 있다. 하나의 실시형태에서, 계측 서브시스템(151)은 샘플(112)의 하나 이상의 이미지의 생성에 기초하여 계측 데이터를 측정하기 위한 이미지 기반의 계측 툴을 포함한다. 다른 실시형태에서, 계측 서브시스템(151)은 샘플(112)로부터의 광의 산란(반사, 회절, 확산 산란, 또는 등등)에 기초하여 계측 데이터를 측정하기 위한 산란측정법 기반의 계측 시스템(scatterometry-based metrology system)을 포함한다.
다른 실시형태에서, 조명 소스(102)는 조명 통로(illumination pathway; 121)를 통해 샘플(112)로 하나 이상의 조명 빔(104)을 지향시킨다. 조명 통로(121)는 하나 이상의 렌즈(122)를 포함할 수도 있다. 또한, 조명 통로(121)는 하나 이상의 조명 빔(104)을 수정 및/또는 조정하기에 적합한 하나 이상의 추가적인 광학 컴포넌트(124)를 포함할 수도 있다. 예를 들면, 하나 이상의 광학 컴포넌트(124)는, 하나 이상의 편광기, 하나 이상의 필터, 하나 이상의 빔 스플리터, 하나 이상의 확산기, 하나 이상의 균질기(homogenizer), 하나 이상의 아포다이저(apodizer), 또는 하나 이상의 빔 성형기(beam shaper)를 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 하나의 실시형태에서, 조명 통로(121)는 빔 스플리터(126)를 포함한다. 다른 실시형태에서, 계측 서브시스템(151)은 하나 이상의 조명 빔(104)을 샘플(112) 상으로 집속하기 위한 대물 렌즈(128)를 포함한다.
조명 소스(102)는 하나 이상의 조명 빔(104)을 조명 통로(121)를 통해 임의의 각도에서 샘플(112)로 지향시킬 수도 있다. 하나의 실시형태에서, 조명 소스(102)는 수직 입사각에서 하나 이상의 조명 빔(104)을 샘플(112)로 지향시킨다. 다른 실시형태에서, 조명 소스(102)는 하나 이상의 조명 빔(104)을 비스듬히(예를 들면, 조각(glancing angle), 45도 각도, 또는 등등) 샘플(112)로 지향시킨다.
다른 실시형태에서, 계측 서브시스템(151)은 수집 통로(collection pathway; 132)를 통해 샘플(112)로부터 방출되는 복사선을 포획하도록 구성되는 하나 이상의 검출기(130)를 포함한다. 수집 통로(132)는, 하나 이상의 렌즈(134), 하나 이상의 필터, 하나 이상의 편광기, 하나 이상의 빔 블록, 또는 하나 이상의 빔 스플리터를 포함하는 그러나 이들로 제한되지는 않는, 대물 렌즈(128)에 의해 수집되는 조명을 지향 및/또는 수정하기 위한 다수의 광학 엘리먼트를 포함할 수도 있다.
예를 들면, 검출기(130)는 수집 통로(132) 내의 엘리먼트(예를 들면, 대물 렌즈(128), 하나 이상의 광학 엘리먼트(134), 또는 등등)에 의해 제공되는 샘플(112)의 이미지를 수신할 수도 있다. 다른 예로서, 검출기(130)는 (예를 들면, 정반사, 확산 반사, 및 등등을 통해) 샘플(112)로부터 반사되는 또는 산란되는 복사선을 수신할 수도 있다. 다른 예로서, 검출기(130)는 샘플(112)에 의해 생성되는 복사선(예를 들면, 하나 이상의 조명 빔(104)의 흡수와 관련되는 발광, 및 등등)을 수신할 수도 있다. 다른 예로서, 검출기(130)는 샘플(112)로부터의 하나 이상의 회절 차수의 복사선(예를 들면, 0 차 회절, ±1 차 회절, ±2 차 회절, 및 등등)을 수신할 수도 있다. 또한, 본원에서, 하나 이상의 검출기(130)는, 샘플(112)로부터 수신되는 조명을 측정하기에 적합한, 기술 분야에서 공지되어 있는 임의의 광학 검출기를 포함할 수도 있다는 것을 유의한다. 예를 들면, 검출기(130)는, CCD 검출기, TDI 검출기, 광전증배관(photomultiplier tube; PMT), 애벌란시 포토다이오드(avalanche photodiode; APD), 또는 등등을 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 다른 실시형태에서, 검출기(130)는 샘플(112)로부터 방출되는 복사선의 파장을 식별하기에 적합한 분광 검출기(spectroscopic detector)를 포함할 수도 있다. 또한, 계측 서브시스템(151)은 계측 서브시스템(151)에 의한 다수의 계측 측정(예를 들면, 다수의 계측 툴)을 용이하게 하기 위해 (예를 들면, 하나 이상의 빔 스플리터에 의해 생성되는 다수의 빔 경로와 관련되는) 다수의 검출기(130)를 포함할 수도 있다.
다른 실시형태에서, 계측 서브시스템(151)은 시스템(100)의 컨트롤러(118)에 통신 가능하게 커플링된다. 이와 관련하여, 컨트롤러(118)는 계측 데이터(예를 들면, 계측 측정 결과, 타겟의 이미지, 동공 이미지, 및 등등) 또는 계측 메트릭(예를 들면, 정밀도, 툴 유도 시프트(tool-induced shift), 민감도, 회절 효율성, 초점 통과 기울기(through-focus slope), 측벽 각도, 임계 치수, 및 등등)을 포함하는, 그러나 이들로 제한되지는 않는 데이터를 수신하도록 구성될 수도 있다.
도 1c는, 본 발명의 다른 실시형태에 따른, 계측 서브시스템(151)을 예시하는 개념도이다. 하나의 실시형태에서, 조명 통로(121) 및 수집 통로(132)는 별개의 엘리먼트를 포함한다. 예를 들면, 조명 통로(121)는 하나 이상의 조명 빔(104)을 샘플(112) 상으로 집속하기 위해 제1 집속용 엘리먼트(focusing element)(162)를 활용할 수도 있고, 수집 통로(132)는 샘플(112)로부터의 복사선을 수집하기 위해 제2 집속용 엘리먼트(164)를 활용할 수도 있다. 이와 관련하여, 제1 집속용 엘리먼트(162) 및 제2 집속용 엘리먼트(164)의 개구수는 상이할 수도 있다. 또한, 본원에서, 도 1c에서 묘사되는 계측 서브시스템(151)은, 하나보다 많은 조명 소스(102)(예를 들면, 하나 이상의 추가적인 검출기(130)에 커플링됨), 및/또는 샘플(112)의 다중 각도 조명을 용이하게 할 수도 있다는 것을 유의한다. 이와 관련하여, 도 1b에서 묘사되는 계측 서브시스템(151)은 다수의 계측 측정을 수행할 수도 있다. 다른 실시형태에서, 하나 이상의 광학 컴포넌트는, 샘플(112)에 대한 하나 이상의 조명 빔(104)의 입사각이 회전 가능한 암의 위치에 의해 제어될 수도 있도록, 샘플(112) 주위로 선회하는 회전 가능한 암(도시되지 않음)에 장착될 수도 있다.
도 2는, 본 개시의 하나 이상의 실시형태에 따른, 분할 패턴 엘리먼트(200)를 포함하는 패턴 마스크(108)를 예시하는 개략도이다. 하나의 실시형태에서, 패턴 마스크(108)의 분할 패턴 엘리먼트(200)는 피치(204)(예를 들면, 라인/스페이스(LS) 패턴 엘리먼트)를 갖는 복수의 주기적으로 분포된 세그먼트(202)를 포함한다. 이와 관련하여, 분할 패턴 엘리먼트(200)는 하나 이상의 조명 빔(104)의 회절을 유도할 수도 있다. 예를 들면, 패턴 마스크(108)에 입사하는 조명 빔(104)은 다수의 회절 차수(예를 들면, 0 차, ±1 차, ±2 차, 및 등등)에 대응하는, X 방향을 따라 분리되는 다수의 회절 빔으로 회절될 수도 있다. 다른 실시형태에서, X 방향을 따르는 세그먼트(206)의 폭은, 패턴 엘리먼트(200)가 1:1 라인/스페이스 타겟이 되도록, 세그먼트(202) 사이의 분리 거리(208)와 동일하다. 다른 실시형태에서, X 방향을 따르는 세그먼트(206)의 폭은 세그먼트(202) 사이의 분리 거리(208)와 동일하지 않다.
도 3a 내지 도 3c는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟에 대한 샘플(112) 상에 비대칭 에어리얼 이미징 프로파일(aerial imaging profile)을 생성하기 위한, 조명 소스(102) 및 패턴 마스크(108)의 공동 최적화를 예시한다.
도 3a는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 마스크(108)에 의해 생성되는 다수의 회절 빔을 예시하는 리소그래피 서브시스템(101)의 개념도이다. 하나의 실시형태에서, 조명 소스(102)는 축외 조명 빔(104)을 생성한다. 다른 실시형태에서, 패턴 마스크(108)는 입사 조명 빔(104)을 회절시켜, 0 차 회절 빔(306), 1 차 회절 빔(308) 및 2 차 회절 빔(310)을 포함하는 그러나 이들로 제한되지는 않는 다수의 회절 빔을 생성한다. 다른 실시형태에서, 회절 빔 중 두 개(예를 들면, 306 및 308)가 투영 광학장치(110)의 세트에 의해 포착되고 샘플(112)(예를 들면, 샘플(112)의 레지스트 층(116))로 지향되어, 샘플(112) 상에 패턴 마스크(108)의 에어리얼 이미지(aerial image)를 생성한다.
도 3b는, 본 개시의 하나 이상의 실시형태에 따른, 동공 평면 내에서의 회절 빔의 상대적 위치를 예시하는 투영 광학장치(110)의 세트의 동공 평면(304)의 개념도이다. 하나의 실시형태에서, 동공 평면(304)은, 동공 한계(312) 내의 샘플(112)로부터의 조명이 투영 광학장치(110)의 세트에 의해 포착되고 동공 한계(312) 외부에 떨어지는 조명이 투영 광학장치(110)의 세트에 의해 포착되지 않고 따라서 샘플(112) 상의 패턴 마스크(108)의 에어리얼 이미지의 생성에 기여하지 않도록, 반경 1(예를 들면, 동공 한계(312))을 갖는 원으로서 특성 묘사된다.
예를 들면, 0 차 회절 빔(306) 및 1 차 회절 빔(308)은 동공 평면(304)의 동공 한계(312)(예를 들면, 도 3a에서 도시되는 바와 같은 진입 동공 평면, 출사 동공 평면(exit pupil plane), 또는 등등) 내에 놓일 수도 있고, 따라서 투영 광학장치(110)의 세트에 의해 포착되고, 한편 2 차 회절 빔(310)은 동공 한계(312) 외부에 있고 투영 광학장치의 세트에 의해 포착되지 않는다. 또한, 동공 평면(304) 내의 회절 빔(예를 들면, 회절 빔(306-310) 중 임의의 것)의 일부의 위치는, 동공 평면(304)의 중심(광학 축(136))에 대한 반경 위치 σ로서 설명될 수도 있다. 예를 들면, X 방향을 따르는 회절 빔(306)의 폭은, 회절 빔(306)의 외측 위치
Figure 112018024708419-pct00001
와 내측 위치
Figure 112018024708419-pct00002
사이의 차이로 설명될 수도 있다.
다른 실시형태에서, 동공 평면(304)에서의 회절 빔(306, 308)의 분포는 샘플(112)의 비대칭적 조명을 제공한다. 예를 들면, 도 3b에서 예시되는 바와 같이, 회절 빔(306-310)은, 0 차 회절 빔(306)이 동공 한계(312)의 내부 에지 상에 위치되는 반면 2 차 회절 빔(310)이 동공 한계(312)의 에지 상에 위치되고 투영 광학장치(110)의 세트에 의해 포착되지 않도록, 분포될 수도 있다. 따라서, 투영 광학장치(110)의 세트는, 회절 빔(306, 308)의 광학 경로가 (예를 들면, 도 3a에서 도시되는 바와 같이) 샘플(112)에 대해 비대칭적으로 입사하도록, 고도의 축외 0 차 회절 빔(306) 및 약간의 축외 1 차 회절 빔(308)을 사용하여 에어리얼 이미지를 생성할 수도 있다.
다른 실시형태에서, 동공 평면(304) 내에서의(예를 들면, 동공 한계(312) 내에서의) 회절 빔의 비대칭적 분포는, 패턴 마스크(108)의 에어리얼 이미지의 생성과 관련되는 샘플(112)의 비대칭적 조명을 제공한다. 도 3c는, 본 개시의 하나 이상의 실시형태에 따른, 도 3a 및 도 3b에서 도시되는 바와 같은 비대칭적 조명에 의해 노광되는 샘플(112)의 레지스트 층(116) 내에서의 상대적인 산 농도(314)를 예시하는 플롯이다. 이와 관련하여, 도 3c는 레지스트 층(116) 내의 에어리얼 이미지의 공간적 분포를 나타내는 잠상(latent image)일 수도 있다. 본원에서, 비대칭적 조명은 레지스트 층(116)의 비대칭 노광 프로파일을 생성할 수도 있다는 것을 유의한다. 따라서, 레지스트 층(116)의 현상(development)은, 광학 축(136)을 따르는 샘플(112)의 초점 위치의 함수로서 변하는 하나 이상의 특성(예를 들면, 대응하는 인쇄 엘리먼트의 상부의 위치, 인쇄 엘리먼트의 분리와 관련되는 임계 치수, 하나 이상의 측벽 각도, 또는 등등)을 갖는 비대칭적 인쇄 엘리먼트를 생성할 수도 있다. 이와 관련하여, 대응하는 인쇄 패턴 엘리먼트는 초점 감응성 인쇄 패턴 엘리먼트로서 동작할 수도 있다.
본원에서, 회절 빔의 분리, 투영 광학장치(110)에 의해 포착되는 회절 빔의 수, 및 동공 평면(304) 내에서의 포착되는 회절 빔의 상대적 위치는, 패턴 마스크(108) 및 조명 소스(102)와 관련되는 파라미터를 조정하는 것에 의해(예를 들면, 조명 소스(102) 및 패턴 마스크(108)를 공동 최적화하는 것에 의해) 제어될 수도 있다는 것을 유의한다. 이와 관련하여, 회절 빔의 분리, 투영 광학장치(110)에 의해 포착되는 회절 빔의 수, 및 동공 평면(304) 내에서의 포착되는 회절 빔의 상대적 위치는, 적어도 부분적으로, 조명 소스(102) 및 패턴 마스크(108)에 의해 결정될 수도 있다. 예를 들면, 0 차 회절 빔(306)은 조명 소스(102)로부터 패턴 마스크(108)를 통해(예를 들면, 회절되지 않고) 투영 광학장치(110)의 세트로 직선 경로를 따라 전파할 수도 있다. 따라서, 조명 빔(104)의 형상(예를 들면, 조명 폴의 직경, 또는 등등)뿐만 아니라 축외 폴 거리(302)(예를 들면, 투영 광학장치(110)의 세트의 광학 축(136)과 조명 빔(104) 사이의 거리)는 동공 평면(304)에서의 0 차 회절 빔(306)의 위치를 결정할 수도 있다. 다른 예로서, 동공 평면(304)에서의 고차 회절 빔(예를 들면, 1 차 회절 빔(308), 2 차 회절 빔(310), 또는 등등)의 위치는 패턴 마스크(108)의 패턴 엘리먼트의 피치(분할 패턴 엘리먼트(200)의 피치(204), 또는 등등)뿐만 아니라 축외 폴 거리(302)에 의해 결정된다.
도 3d 내지 도 3f는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟에 대한 샘플(112) 상에 제2 비대칭 에어리얼 이미징 프로파일을 생성하기 위한, 조명 소스(102)와 패턴 마스크(108)의 공동 최적화를 예시한다.
도 3d는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 마스크(108)에 의해 생성되는 다수의 회절 빔을 예시하는 리소그래피 서브시스템(101)의 개념도이다. 도 3e는, 본 개시의 하나 이상의 실시형태에 따른, 동공 평면(304) 내에서의 회절 빔의 상대적 위치를 예시하는 투영 광학장치(110)의 세트의 동공 평면(304)의 개념도이다. 도 3f는, 본 개시의 하나 이상의 실시형태에 따른, 도 3d 및 도 3e에서 도시되는 바와 같은 비대칭적 조명에 의해 노광되는 샘플(112)의 레지스트 층(116) 내에서의 상대적인 산 농도(316)를 예시하는 플롯이다.
도 3d 내지 도 3f에 의해 예시되는 샘플(112)의 조명의 비대칭성의 정도는, 도 3a 내지 도 3c에서 예시되는 구성에 비해 감소될 수도 있다. 예를 들면, 도 3d 내지 도 3f에서 도시되는 바와 같이, 회절 빔(308, 308)의 분포는 광학 축(136)에 대하여 덜 비대칭적일 수도 있다. 도 3b에 비해 도 3e의 감소된 비대칭성은, 도 3f에서 예시되는 바와 같이, 샘플(112)의 레지스트 층(116) 내에서의 상대적인 산 농도(316)의 감소된 비대칭성으로 나타날 수도 있다. 이와 관련하여, 동공 평면(304)에 존재하는 회절 빔의 비대칭성의 정도는, 샘플(112)의 비대칭적 조명의 정도에 상관될 수도 있다. 따라서, 샘플(112)의 초점 위치에 대한 인쇄 패턴 엘리먼트의 하나 이상의 특성의 민감도는, 동공 평면(304)에서 회절 빔의 분포를 제어하는 것에 의해 조정될 수도 있다. 인쇄 패턴 엘리먼트의 비대칭성의 정도는, 인쇄 패턴 엘리먼트의 강건성에 부정적인 영향을 끼칠 수도 있다는 것을 유의한다. 예를 들면, 고도로 비대칭적인 인쇄 패턴 엘리먼트는 붕괴하기 쉬울 수도 있다. 이와 관련하여, 투영 광학장치(110)의 세트에 의해 포착되는 회절 빔의 분포는, 초점 민감도와 인쇄 패턴 엘리먼트의 강건성 사이의 균형을 제공하도록 (예를 들면, 조명 소스(102) 및 패턴 마스크(108)의 공동 최적화에 의해) 조정될 수도 있다.
도 3g 내지 도 3i는, 본 개시의 하나 이상의 실시형태에 따른, 초점 비감응성 계측 타겟에 대한 샘플(112) 상에 대칭적 에어리얼 이미지 프로파일을 생성하기 위한, 조명 소스(102)와 패턴 마스크(108)의 공동 최적화를 예시한다.
도 3g는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 마스크(108)에 의해 생성되는 다수의 회절 빔을 예시하는 리소그래피 서브시스템(101)의 개념도이다. 도 3h는, 본 개시의 하나 이상의 실시형태에 따른, 동공 평면(304) 내에서의 대칭적 회절 빔의 상대적 위치를 예시하는 투영 광학장치(110)의 세트의 동공 평면(304)의 개념도이다. 도 3i는, 본 개시의 하나 이상의 실시형태에 따른, 도 3g 및 도 3h에서 도시되는 바와 같은 대칭적 조명에 의해 노광되는 샘플(112)의 레지스트 층(116) 내에서의 상대적인 산 농도(318)를 예시하는 플롯이다. 다른 실시형태에서, 동공 평면(304) 내에서의 회절 빔(306, 308)의 대칭적 분포는 패턴 마스크(108)의 에어리얼 이미지와 관련되는 샘플(112)의 대칭적 조명을 제공한다. 따라서, 대칭적 조명과 관련되는 인쇄 패턴 엘리먼트는 초점 비감응성 인쇄 패턴 엘리먼트로서 동작할 것이다. 다른 실시형태에서, 초점 비감응성 인쇄 패턴 엘리먼트가 (예를 들면, 동일한 프로세스 단계에서 또는 상이한 프로세스 단계에서) 동일한 계측 타겟 내에 제조될 수도 있다. 예를 들면, 초점 비감응성 인쇄 패턴 엘리먼트는, 초점 감응성 인쇄 패턴 엘리먼트의 하나 이상의 특성이 측정될 수도 있게 하는 기준을 제공할 수도 있다. 다른 예로서, 초점 비감응성 인쇄 패턴 엘리먼트는, 샘플(112)의 초점 위치의 측정 외에, 오버레이 측정(예를 들면, 하나 이상의 추가적인 패턴 층에 대한 샘플(112) 상의 하나의 패턴화된 층의 병진)의 결정을 용이하게 할 수도 있다.
도 4a 내지 도 6은, 본 개시의 하나 이상의 실시형태에 따른, 비대칭적 조명을 사용하여 제조되는 인쇄 패턴 엘리먼트의 변화를, 패턴 마스크의 피치의 함수로서의 예시한다. 이와 관련하여, 도 4a 내지 도 6은, 조명 소스(102)의 특성이 공지된 값으로 고정되는 본 개시의 하나 이상의 실시형태를 예시한다. 따라서, 패턴 마스크(108) 상의 하나 이상의 패턴 엘리먼트의 피치는, 샘플(112) 상에서의 조명의 비대칭성 및 따라서 샘플(112)의 초점 위치의 편차에 대한 대응하는 인쇄 패턴 엘리먼트의 민감도를 제어하도록 조정될 수도 있다. 본원에서, 도 4a 내지 도 6 및 이하의 관련된 설명은 단지 설명의 목적을 위해 제공되며 제한하는 것으로 해석되지 않아야 한다는 것을 유의한다.
도 4a 내지 도 4d는, 본 개시의 하나 이상의 실시형태에 따른, 80 nm, 100 nm, 140 nm 및 150 nm의 피치의 값을 갖는 패턴 마스크(108) 및 축외 단일 폴 조명 소스와 관련되는 동공 평면(304)에서의 회절 빔의 분포를 예시하는 플롯(402-408)이다. 예를 들면, 도 4a 내지 도 4d는 투영 광학장치(110)의 세트에 의해 포착되는 회절 빔을 예시할 수도 있다. 도 5는, 본 개시의 하나 이상의 실시형태에 따른, 80 nm에서부터 160 nm까지의 범위에 이르는 피치 값을 갖는 패턴 마스크(108)에 대한 (예를 들면, 샘플(112)의 레지스트 층(116)에서의) 시뮬레이팅된 인쇄 패턴 프로파일(500)의 개략도이다. 예를 들면, 80 nm, 100 nm, 140 nm 및 150 nm의 피치 값과 관련되는 시뮬레이팅된 인쇄 패턴 프로파일은, 도 4a 내지 도 4d에서 예시되는 회절 빔의 분포에 각각 대응할 수도 있다. 다른 실시형태에서, 박스(502)는 초점 감응성 패턴 엘리먼트로서 사용하기 위한 비대칭적 패턴 레지스트 프로파일을 묘사하는 프로세스 윈도우를 예시할 수도 있다. 도 6은, 본 개시의 하나 이상의 실시형태에 따른, 75 nm에서부터 140 nm까지의 범위에 이르는 피치 값에 대한 인쇄 패턴의 상부의 편차의 측정과 관련되는 패턴 배치 오차(PPE)를 예시하는 플롯(600)이다. 예를 들면, PPE는 샘플(112)의 초점 위치의 편차에 응답하여 인쇄 패턴 엘리먼트의 상부의 편차로서 측정될 수도 있다.
하나의 실시형태에서, 도 4a에서 예시되는 바와 같이, 80 nm의 패턴 엘리먼트 피치는 동공 평면(304)에서 0 차 회절 빔(410) 및 1 차 회절 빔(412)의 대칭적 분포를 제공한다. 따라서, 도 5에서 예시되는 바와 같이, 결과적으로 나타나는 인쇄 패턴 프로파일은 대칭적이다. 또한, 도 6에서 예시되는 바와 같이, 결과적으로 나타나는 인쇄 패턴 프로파일은 어떠한 PPE도 샘플(112)의 초점 위치(예를 들면, 디포커스)의 함수로서 나타내지 않으며, 초점 비감응성 패턴 엘리먼트로서 동작할 수도 있다.
다른 실시형태에서, 도 4b 및 도 4c에서 예시되는 바와 같이, 피치를 80 nm로부터 증가시키는 것은, 회절 차수 사이의 간격을 감소시킨다. 예를 들면, 피치를 증가시키는 것은 1차 회절 빔(412)으로 하여금 동공 평면(304)에서 0 차 회절 빔(410)을 향하는 방향으로 이동하게 한다. 앞서 설명된 바와 같이, 동공 평면(304) 내에서의 0 차 회절 빔의 위치는 패턴 마스크(108)의 피치에 의해 영향을 받지 않을 수도 있지만, 그러나 반드시 그런 것은 아니다. 또한, (예를 들면, 도 4b 및 도 4c에서 도시되는 바와 같이) 동공 평면(304)에서의 회절 빔의 분포가 점점 비대칭적으로 됨에 따라, 비대칭 측벽 각도, 인쇄 패턴 프로파일의 바닥에 대한 인쇄 패턴 프로파일의 상부의 편차, 또는 등등에 의해 입증되는 바와 같이, 대응하는 인쇄 패턴 프로파일은 점점 비대칭이 될 수도 있다(예를 들면, 도 5에서 90 nm 내지 140 nm에 대응하는 인쇄 패턴 프로파일 참조). 또한, 인쇄 패턴 프로파일의 비대칭성이 증가함에 따라, PPE 대 디포커스의 민감도(예를 들면, 도 6의 플롯과 관련되는 기울기)는 증가할 수도 있다.
다른 실시형태에서, 도 4d에서 예시되는 바와 같이, 피치를 150 nm 이상으로 증가시키는 것은, 2 차 회절 빔(414)이 투영 광학장치(110)의 세트에 의해 포착되도록, 동공 평면(304) 내에서의 회절 빔(410-414)의 간격을 감소시킬 수도 있다. 결과적으로, 샘플(112)의 조명의 비대칭성은 감소될 수도 있고, 도 5에서 도시되는 150 nm 및 160 nm의 피치 값에 대한 인쇄 패턴 프로파일은 상응하게 감소될 수도 있다.
다른 실시형태에서, 패턴 마스크(108)는, 샘플(112)의 초점 위치의 편차가 하나 이상의 인쇄 패턴 엘리먼트의 측정 가능한 병진으로서 나타나도록 하는 오버레이 계측 타겟의 특성 설계를 포함한다. 예를 들면, 통상적인 이미징 계측 오버레이 타겟(예를 들면, 고급 이미징 계측(Advanced Imaging Metrology; AIM) 타겟, 박스 인 박스(box-in-box) 타겟, 산란측정법 오버레이 타겟, 또는 등등)은, 오버레이 오차(예를 들면, 다른 층에 대한 하나의 층의 병진)가 이미징 계측 오버레이 타겟의 패턴 엘리먼트 사이의 상대적인 병진으로서 나타나도록, 하나 이상의 프로세싱 단계와 관련되는 하나 이상의 인쇄 패턴 엘리먼트를 포함할 수도 있다. 상응하여, 초점 감응성 계측 타겟은, 샘플(112)의 초점 위치의 편차가 초점 감응성 계측 타겟의 하나 이상의 인쇄 패턴 엘리먼트의 측정 가능한 병진으로서 나타나게끔, 이미징 계측 오버레이 타겟을 모방하도록 설계될 수도 있다. 본원에서, 초점 감응성 마스크는, 이미징 계측 오버레이 타겟 또는 산란측정법 계측 오버레이 타겟을 포함하는 그러나 이들로 제한되지는 않는 임의의 오버레이 계측 타겟을 모방하도록 설계될 수도 있다는 것을 유의한다. 또한, 오버레이 계측 타겟을 모방하는 초점 감응성 계측 타겟은 오버레이 계측 툴(예를 들면, 커스텀 오버레이 계측 툴, 상업적으로 이용 가능한 계측 툴, 또는 등등)에 의해 쉽게 특성 묘사될 수도 있다는 것을 또한 유의해야 한다. 또한, 오버레이 계측 툴의 출력은, 패턴 마스크(108)가 (예를 들면, 리소그래피 서브시스템(101)에 의해) 이미지화되었다면, 측정된 "오버레이 오차"를 샘플(112)의 초점 위치로 변환하도록 (예를 들면, 컨트롤러(118)에 의해) 추가로 분석될 수도 있다. 예를 들면, 초점 감응성 계측 타겟은, 어떠한 측정된 오버레이 오차도 공칭(또는 소망하는) 초점 위치에 위치되는 샘플에 대응하지 않도록, 설계될 수도 있다. 이와 관련하여, 오버레이 계측 툴에 의한 측정된 오버레이 오차는, 공칭 위치에 대한 샘플(112)의 초점 위치에서의 오차(예를 들면, 오프셋)에 대응할 수도 있다.
다른 실시형태에서, 타겟 상의 임의의 수의 프로세스 층에 대한 대응하는 인쇄 계측 타겟을 생성하기 위해, 초점 감응성 패턴 마스크(108)가 사용될 수도 있다. 예를 들면, 단일의 층에 대한 샘플(112)의 초점 위치를 특성 묘사하기에 적합한 계측 타겟을 생성하기 위해 초점 감응성 마스크가 사용될 수도 있다. 다른 예로서, 임의의 수의 프로세스 층에 대한 샘플(112)의 초점 위치를 특성 묘사하기에 적합한 계측 타겟을 생성하기 위해 초점 감응성 마스크가 사용될 수도 있다. 이와 관련하여, 계측 타겟의 하나 이상의 층에 인쇄 패턴 엘리먼트를 생성하기 위해 초점 감응성 패턴 마스크(108)가 사용될 수도 있고, 계측 타겟의 하나 이상의 추가적인 층에 인쇄 패턴 엘리먼트를 생성하기 위해 초점 비감응성 패턴 마스크(focus-insensitive pattern mask)(108)(예를 들면, 대칭 엘리먼트를 갖는 패턴 마스크(108), 또는 등등)가 사용될 수도 있다. 다른 실시형태에서, 단일의 계측 타겟이 초점 감응성 및 초점 비감응성 패턴 마스크(108) 둘 모두와 관련되는 패턴 엘리먼트를 포함할 수도 있다. 따라서, 초점 비감응성 패턴 마스크(108)와 관련되는 인쇄 패턴 엘리먼트는, 초점 감응성 패턴 마스크(108)와 관련되는 인쇄 패턴 엘리먼트의 상대적 위치의 측정을 위한 기준점으로서 기능할 수도 있다. 또한, 초점 감응성 및 초점 비감응성 패턴 마스크(108) 둘 모두와 관련되는 인쇄 패턴 엘리먼트를 포함하는 계측 타겟이, 하나 이상의 프로세스 층에 대한 샘플(112)의 초점 위치 및 전통적인 오버레이 데이터(예를 들면, 샘플(112) 상의 하나 이상의 프로세스 층 사이의 병진)를 동시에 제공할 수도 있다.
도 7a 내지 도 7c는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크(700)를 예시한다. 도 7a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 엘리먼트(702) 및 초점 비감응성 패턴 엘리먼트(704)를 포함하는 초점 감응성 패턴 마스크(700)의 평면도이다. 도 7b는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 엘리먼트(702)의 일부의 확대도(706)이다. 도 7c는, 본 개시의 하나 이상의 실시형태에 따른, 초점 비감응성 패턴 엘리먼트(704)의 일부의 확대도(708)이다.
하나의 실시형태에서, 도 7b에서 예시되는 바와 같이, 각각의 초점 감응성 패턴 엘리먼트(702)는 초점 감응성 피치(712)를 가지고 분포되는 다수의 세그먼트(714)를 포함하는 분할 패턴 엘리먼트이다. 예를 들면, 피치(712)를 가지고 분포되는 다수의 세그먼트(714)는, 조명 빔(104)을 회절시킬 수도 있고 리소그래피 서브시스템(101)의 동공 평면(304)에서 비대칭적 분포의 회절 빔을 생성할 수도 있다. 따라서, 초점 감응성 패턴 엘리먼트(702)의 에어리얼 이미지는, 대응하는 인쇄 패턴 엘리먼트의 위치가 샘플(112)의 초점 위치에 민감하도록, 비대칭일 수도 있다. 다른 실시형태에서, 도 7a에서 예시되는 바와 같이, 분할 초점 감응성 패턴 엘리먼트(702)는 초점 비감응성 피치(710)를 가지고 추가로 분포된다. 예를 들면, 피치(710)는, 피치(710)와 관련되는 회절 빔이 투영 광학장치(110)의 세트에 의해 수집되지 않으며 따라서 샘플(112)의 조명의 대칭성에 영향을 주지 않도록, 피치(712)보다 더 클 수도 있다.
다른 실시형태에서, 도 7c에서 예시되는 바와 같이, 각각의 초점 비감응성 패턴 엘리먼트(704)는 비분할 패턴 엘리먼트(unsegmented pattern element)이다. 또한, 초점 비감응성 패턴 엘리먼트(704)는 초점 비감응성 피치(710)를 가지고 분포될 수도 있다. 따라서, 조명 빔(104)에 의해 조명되는 바와 같은 투영 광학장치(110)의 세트에 의해 생성되는 초점 비감응성 패턴 엘리먼트(704)의 에어리얼 이미지는 샘플(112) 상에 초점 비감응성 인쇄 패턴을 제공한다. 예를 들면, 조명 소스(102)에 의해 조명되고 투영 광학장치(110)의 세트에 의해 이미지화되는 초점 비감응성 패턴 엘리먼트(704)는 샘플(112) 상에 대칭적인 에어리얼 조명 프로파일을 제공할 수도 있다. 다른 실시형태에서, 초점 비감응성 피치(710)를 가지고 분포되는 초점 비감응성 패턴 엘리먼트(704)는 초점 감응성 패턴 엘리먼트(702)와 정렬된다. 이와 관련하여, 초점 감응성 패턴 엘리먼트(702) 및 초점 비감응성 패턴 엘리먼트(704)와 관련되는 인쇄 패턴 엘리먼트는, 샘플(112)이 공칭 초점 위치에 위치되는 경우 정렬될 수도 있고 그렇지 않은 경우 오정렬될 수도 있다.
초점 감응성 패턴 엘리먼트(702) 및 초점 비감응성 패턴 엘리먼트(704)는, 단일의 프로세싱 단계에서 또는 다수의 프로세싱 단계에서 인쇄 패턴 엘리먼트를 생성하도록 샘플(112) 상으로 이미지화될 수도 있다. 하나의 실시형태에서, 초점 감응성 패턴 엘리먼트(702) 및 초점 비감응성 패턴 엘리먼트(704)는 단일의 패턴 마스크(예를 들면, 패턴 마스크(700)) 상에 위치되고 샘플(112) 상으로 동시에 이미지화된다. 다른 실시형태에서, 초점 감응성 패턴 엘리먼트(702) 및 초점 비감응성 패턴 엘리먼트(704)는 샘플(112) 상으로 개별적으로 이미지화될 수도 있다. 예를 들면, 초점 감응성 패턴 엘리먼트(702) 및 초점 비감응성 패턴 엘리먼트(704)는 별개의 패턴 마스크 상에 또는 단일의 패턴 마스크의 상이한 위치 상에 배치될 수도 있다.
도 8a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크(700)에 대응하는 초점 감응성 인쇄 계측 타겟(800)의 평면도이다. 예를 들면, 초점 감응성 계측 타겟(800)은, 조명 폴이 리소그래피 서브시스템(101)의 광학 축(136)으로부터 X 방향에서 오프셋되는 단일 폴 조명을 위해 구성되는 조명 소스(102)에 의한 초점 감응성 패턴 마스크(700)의 에어리얼 이미지에 대응할 수도 있다. 하나의 실시형태에서, 초점 감응성 인쇄 패턴 엘리먼트(802)는 초점 감응성 패턴 엘리먼트(702)에 대응하고 초점 비감응성 인쇄 패턴 엘리먼트(804)는 초점 비감응성 패턴 엘리먼트(704)에 대응한다. 본원에서, 비록 명확화를 위해 도시되지는 않지만, 초점 감응성 패턴 엘리먼트(702)의 다수의 세그먼트(714)는 투영 광학장치(110)의 세트에 의해 분해될 수 있고 별개의 인쇄 패턴 엘리먼트로서 샘플(112) 상에 인쇄될 수도 있지만, 그러나 반드시 그런 것은 아니다. 도 8a에서 예시되는 바와 같이, 오정렬 메트릭(806)(예를 들면, X 방향에서 측정되는 PPE)은 공칭 초점 위치에 대한 샘플(112)의 초점 위치의 편차에 대응할 수도 있다.
도 8b는, 본 개시의 하나 이상의 실시형태에 따른, 샘플(112)의 초점 위치와 오정렬 메트릭(806) 사이의 예시적인 관계를 예시하는 플롯(808)이다. 도 8b에서 도시되는 바와 같이, 오정렬 메트릭(806)은 샘플(112)의 초점 위치에 대해 선형적인 의존성을 나타낼 수도 있지만, 그러나 반드시 그런 것은 아니다. 하나의 실시형태에서, 0의 초점 위치는 공칭 초점 위치(예를 들면, 목표 초점 위치, "최상의" 초점 위치, 또는 등등)를 나타낸다.
다른 실시형태에서, 오정렬 메트릭(806)은 계측 서브시스템(151)에 의해 측정된다. 예를 들면, 계측 서브시스템(151)은 오정렬 메트릭(806)을 측정하기 위한 오버레이 계측 툴로서 구성될 수도 있다. 또한, 샘플(112)의 실제 초점 위치(예를 들면, 샘플(112)의 초점 위치의 편차의 크기 및/또는 방향)는 측정된 오정렬 메트릭에 기초하여 (예를 들면, 컨트롤러(118)에 의해) 계산될 수도 있다. 본원에서, 오정렬 메트릭(806)의 설명은 단지 예시적인 목적을 위해 제공되며 제한하는 것으로 해석되지 않아야 한다는 것을 유의한다. 예를 들면, 샘플(112)의 초점 위치를 특성 묘사하기 위해 임의의 계측 메트릭이 활용될 수도 있다. 하나의 실시형태에서, 샘플(112)의 초점 위치를 특성 묘사하기 위해 인쇄 패턴 엘리먼트(802)(도시되지 않음)의 개개의 세그먼트의 위치의 병진이 활용될 수도 있다. 다른 실시형태에서, 샘플(112)의 초점 위치를 특성 묘사하기 위해, 하나 이상의 측벽 각도 또는 하나 이상의 임계 치수와 같은 그러나 이들로 제한되지는 않는 인쇄 패턴 엘리먼트(802)의 하나 이상의 추가적인 특성이 활용될 수도 있다.
도 9a는, 본 개시의 하나 이상의 실시형태에 따른, 패턴 엘리먼트의 상이한 배향을 갖는 다수의 셀(902-908)을 포함하는 초점 감응성 패턴 마스크(900)의 평면도이다. 하나의 실시형태에서, 초점 감응성 패턴 마스크(900)는 두 층의 AIM 계측 오버레이 타겟의 특성일 수도 있다. 예를 들면, 패턴 마스크(900)는, 셀(902-908)의 각각에 초점 감응성 패턴 엘리먼트 및 초점 비감응성 패턴 엘리먼트를 포함할 수도 있다.
다른 실시형태에서, 셀(902)은 초점 감응성 패턴 마스크(700)에 대응한다. 이와 관련하여, 초점 감응성 패턴 엘리먼트(910)는 초점 감응성 피치를 포함하는 분할 패턴 엘리먼트일 수도 있다. 또한, 초점 감응성 패턴 엘리먼트(910) 및 초점 비감응성 패턴 엘리먼트(912) 둘 모두는 패턴 마스크(900) 상에 정렬될 수도 있다. 추가적으로, 셀(906)은 셀(902)의 추가적인 인스턴스일 수도 있다.
다른 실시형태에서, 도 9b의 확대도(918)에서 예시되는 바와 같이, 각각의 초점 감응성 패턴 엘리먼트(914)는 초점 감응성 피치(922)를 가지고 분포되는 다수의 세그먼트(920)를 포함하는 세그먼트 패턴 엘리먼트이다. 예를 들면, 초점 감응성 피치(922)를 가지고 분포되는 다수의 세그먼트(920)는, 조명 빔(104)을 회절시킬 수도 있고 리소그래피 시스템(101)의 동공 평면(304)에서 비대칭적 분포의 회절 빔을 생성할 수도 있다. 예를 들면, 다수의 세그먼트(920)는 조명 소스(102)의 오프셋 위치(302)에 대응하도록 X 방향을 따라 분포될 수도 있다. 이와 관련하여, 패턴 마스크(900) 및 조명 소스(102)는 공동 최적화될 수도 있다. 따라서, 패턴 엘리먼트(914)의 에어리얼 이미지는, 대응하는 인쇄 패턴 엘리먼트의 위치가 샘플(112)의 초점 위치에 민감하도록, 비대칭적일 수도 있다.
도 10은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크(900)의 셀(904)에 대응하는 초점 감응성 인쇄 계측 타겟(1000)의 평면도이다. 하나의 실시형태에서, 초점 감응성 인쇄 패턴 엘리먼트(1002)는 초점 감응성 패턴 엘리먼트(910)에 대응하고 초점 비감응성 인쇄 패턴 엘리먼트(1004)는 초점 비감응성 패턴 엘리먼트(916)에 대응한다. 다른 실시형태에서, 오정렬 메트릭(1006)(예를 들면, 초점 감응성 인쇄 패턴 엘리먼트(1002)와 초점 비감응성 인쇄 패턴 엘리먼트(1004) 사이의 상대적인 분리, 또는 등등)은 샘플(112)의 초점 위치의 편차에 대한 데이터를 제공한다.
도 11a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 패턴 마스크(1100)의 평면도이다. 하나의 실시형태에서, 영역(1102) 내의 패턴 엘리먼트는 초점 감응성 피치(1104)를 가지고 분포된다. 다른 실시형태에서, 영역(1106) 내의 패턴 엘리먼트는 초점 비감응성 피치(1108)를 가지고 분포된다. 이와 관련하여, 영역(1102)에 대응하는 패턴 엘리먼트의 하나 이상의 특성(예를 들면, 대응하는 인쇄 패턴 엘리먼트의 위치와 관련되는 PPE, 임계 치수, 측벽 각도, 또는 등등)은 샘플(112)의 초점 위치와 관련하여 변할 수도 있다. 또한, 영역(1106)에 대응하는 인쇄 패턴 엘리먼트의 특성은, 샘플(112)의 초점 위치와 관련하여 일정할 수도 있다.
도 11b 및 도 11c는, 본 개시의 하나 이상의 실시형태에 따른, 영역(1102)의 초점 감응성 패턴 엘리먼트 및 영역(1106)의 초점 비감응성 패턴 엘리먼트에 각각 대응하는 인쇄 패턴 엘리먼트와 관련되는 PPE를 예시하는 플롯(1110 및 1112)이다. 예를 들면, 영역(1102)에 대응하는 초점 감응성 인쇄 패턴 엘리먼트의 PPE는, 주목하는 범위에 걸쳐 샘플(112)의 초점 위치에 대해 선형적인(또는 거의 선형적인) 의존성을 나타낼 수도 있지만, 반드시 그런 것은 아니다. 또한, 영역(1106)에 대응하는 초점 비감응성 인쇄 패턴 엘리먼트의 PPE는 샘플(112)의 초점 위치에 대해 무시 가능한 PPE를 나타낼 수도 있다. 본원에서, 플롯(1112)의 스케일은 플롯(1110)의 스케일과 관련하여 확대되어 있다는 것을 유의한다. 또한, 영역(1102)에 대응하는 초점 감응성 인쇄 패턴 엘리먼트의 PPE는, 영역(1106)에 대응하는 초점 비감응성 인쇄 패턴 엘리먼트에 대해 측정될 수도 있다.
도 12a는, 본 개시의 하나 이상의 실시형태에 따른, 분해능 미만의 피쳐를 포함하는 초점 감응성 패턴 엘리먼트(1200)의 평면도이다. 하나의 실시형태에서, 패턴 엘리먼트(1200)는, 분해능 미만의 분리 거리(1204)를 가지고 (예를 들면, X 방향을 따라) 분포되는 다수의 세그먼트(1202)를 포함하는 분할 패턴 엘리먼트이다. 이와 관련하여, 분해능 미만의 피쳐(예를 들면, 분리 거리(1204), 또는 등등)는 리소그래피 서브시스템(101)에 의해 샘플(112) 상에 인쇄되지 않을 수도 있다. 다른 실시형태에서, 세그먼트(1202)는 초점 감응성 피치(1206)를 가지고 (예를 들면, X 방향을 따라) 분포된다. 따라서, 패턴 엘리먼트(1200)는, 다수의 회절 빔이 리소그래피 서브시스템(101)의 동공 평면(304)에서 비대칭적으로 분포되도록, 조명 빔(104)을 회절시킬 수도 있다. 또한, 패턴 엘리먼트(1200)의 에어리얼 이미지와 관련되는 샘플(112) 상의 조명은, 인쇄 패턴 엘리먼트가 샘플(112)의 초점 위치에 민감하도록, 비대칭적일 수도 있다. 본원에서, 조명 빔(104)의 회절을 유도하지만 샘플(112) 상으로 이미지화되지 않는 분해능 미만의 피쳐를 포함하는 패턴 엘리먼트는 강건한 인쇄 패턴 엘리먼트의 제조를 용이하게 할 수도 있다는 것을 유의한다. 예를 들면, 고도로 비대칭적인 인쇄 패턴 엘리먼트는 고도로 비대칭적인 측벽 각도를 나타낼 수도 있다. 따라서, 고도로 비대칭적인 인쇄 패턴 엘리먼트는, 특히 종횡비(예를 들면, 인쇄 패턴의 높이 대 인쇄 패턴의 폭의 비율)가 높은 경우, 붕괴하기 쉬울 수도 있다. 대조적으로, 분해능 미만의 피쳐를 포함하는 패턴 엘리먼트의 다수의 세그먼트(예를 들면, 세그먼트(1202))는, 샘플(112)의 초점 위치에 대한 민감도를 동시에 제공하면서, 인쇄 패턴 엘리먼트의 강건성을 용이하게 하기 위해, 상대적으로 작은 종횡비를 갖는 단일의 인쇄 패턴 엘리먼트로서 이미지화될 수도 있다.
도 12b는, 본 개시의 하나 이상의 실시형태에 따른, 분해능 미만의 피쳐를 갖는 초점 감응성 패턴 엘리먼트(1200)에 대응하는 레지스트 층(116)의 시뮬레이팅된 인쇄 패턴 프로파일(1208)의 개략도이다. 다른 실시형태에서, 분할된 초점 감응성 패턴 엘리먼트(1200)에 대응하는 인쇄 패턴은, 분할되지 않은 인쇄 패턴 엘리먼트이다. 이와 관련하여, 분해능 미만의 피쳐(예를 들면, 분해능 미만의 분리 거리(1204), 또는 등등)는, 리소그래피 서브시스템(101)의 투영 광학장치(110)의 세트에 의해 분해 가능하게(resolvably) 이미지화되지 않을 수도 있다. 그러나, 도 12b에서 예시되는 바와 같이, 레지스트 층(116)의 인쇄 패턴 프로파일(1208)은, 분해능 미만의 피쳐의 영향으로 인해 비대칭적일 수도 있다. 하나의 실시형태에서, (예를 들면, 인쇄 패턴 엘리먼트의 상부의 위치에 의해 측정되는 바와 같은) PPE는 샘플(112)의 초점 위치에 민감하다. 다른 실시형태에서, 하나 이상의 측벽 각도는 샘플(112)의 초점 위치에 민감할 수도 있다.
도 13 내지 도 17은, 본 개시의 하나 이상의 실시형태에 따른, 두 개의 방향을 따라 측정되는 바와 같은 샘플의 초점 위치에 민감한 인쇄 패턴 엘리먼트를 생성하도록 공동 최적화되는 조명 소스 및 패턴 마스크를 예시한다.
도 13은, 본 개시의 하나 이상의 실시형태에 따른, X 및 Y 둘 모두의 방향에서 투영 광학장치(110)의 세트의 광학 축(136)으로부터 오프셋되는 단일 폴 조명 소스로서 구성되는 조명 소스(102)의 강도 분포를 예시하는 플롯(1300)이다.
도 14는, 본 개시의 하나 이상의 실시형태에 따른, X 및 Y 둘 모두의 방향을 따라 분포되는 다수의 세그먼트(1402)를 포함하는 패턴 엘리먼트(1400)의 평면도이다. 예를 들면, 패턴 엘리먼트(1400)는, X 및 Y 둘 모두의 방향에서 분포되는 다수의 회절 빔을 생성하기 위해, X 방향에서 초점 감응성 피치(1404)만큼 그리고 Y 방향에서 초점 감응성 피치(1406)만큼 분리되는 이차원 어레이의 세그먼트(1402)를 포함할 수도 있다. 하나의 실시형태에서, X 방향(1404)에서의 그리고 Y 방향(1406)에서의 초점 감응성 피치의 값은, X 및 Y 방향을 따라 샘플(112) 상에 동일한 정도의 조명의 비대칭성을 제공하기 위해 동일하다. 다른 실시형태에서, X 방향(1404)에서의 그리고 Y 방향(1406)에서의 초점 감응성 피치의 값은, X 방향 및 Y 방향을 따라 샘플(112) 상에 상이한 정도의 조명의 비대칭성을 제공하기 위해 상이하다. 다른 실시형태에서, 조명 소스(102)의 강도 프로파일은, X 및 Y 방향을 따라 샘플(112) 상에 동일한 정도의 조명의 비대칭성을 제공하기 위해, X 방향(1404) 및 Y 방향(1406)에서 초점 감응성 피치의 값을 상이하게 하는 것에 의해 보상될 수도 있는, X 및 Y 방향에서 상이한 정도의 비대칭성을 포함할 수도 있다.
하나의 실시형태에서, 세그먼트(1402)는 X 방향을 따라 분리 거리(1408)만큼 그리고 Y 방향을 따라 분리 거리(1410)만큼 분리된다. 다른 실시형태에서, 분리 거리(1408, 1410)는, 각각의 세그먼트(1402)가 인쇄 패턴 엘리먼트로서 샘플(112) 상으로 분해 가능하게 이미지화되도록, 투영 광학장치(110)의 세트의 분해능보다 더 크다. 다른 실시형태에서, 분리 거리(1408, 1410)는, 다수의 세그먼트(1402)가 단일 인쇄 패턴 엘리먼트로서 샘플(112) 상으로 이미지화되도록, 투영 광학장치(110) 세트의 분해능보다 더 작다.
도 15는, 본 개시의 하나 이상의 실시형태에 따른, 투영 광학장치(110)의 세트의 동공 평면(304)에서의 회절 빔 분포의 플롯(1500)이다. 하나의 실시형태에서, 패턴 엘리먼트(1400)는, 회절 빔이 동공 평면(304)에서 비대칭적으로 분포되도록, Y 방향에서 0 차 회절 빔(1502), 1 차 회절 빔(1504)으로 그리고 X 방향에서 1 차 회절 빔(1506)으로 조명 빔(104)을 회절시킨다. 다른 실시형태에서, 동공 평면(304)은 하나 이상의 추가적인 회절 빔(예를 들면, 회절 빔(1508))을 포함한다.
도 16은, 본 개시의 하나 이상의 실시형태에 따른, 분해능 미만의 피쳐를 갖는 초점 감응성 패턴 엘리먼트(1400)에 대응하는 레지스트 층(116)의 시뮬레이팅된 인쇄 패턴 프로파일(1600)의 개략도이다. 하나의 실시형태에서, 회절 빔(1502-1508)의 비대칭적 분포와 관련되는 비대칭적 조명은, X 및 Y 둘 모두의 방향에서 비대칭적인 레지스트 프로파일(1600)을 유도한다. 예를 들면, 레지스트 프로파일(1600)은, 샘플(112)의 초점 위치의 편차에 응답하여 X 및 Y 둘 모두의 방향에서 비대칭적 측벽 각도를 나타내어, PPE로 이어질 수도 있다. 또한, 레지스트 프로파일(1600)의 상부는 샘플(112)의 초점 위치의 편차에 응답하여 X 및 Y 둘 모두의 방향에서 시프트하여, PPE로 이어질 수도 있다.
도 17은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 및 초점 비감응성 인쇄 패턴 엘리먼트를 포함하는 계측 타겟(1700)의 평면도이다. 하나의 실시형태에서, 계측 타겟(1700)은 패턴 엘리먼트(1400)의 이미지에 대응하는 초점 감응성 인쇄 패턴 엘리먼트(1702)를 포함한다. 예를 들면, 초점 감응성 인쇄 패턴 엘리먼트(1702)는, X 및 Y 둘 모두의 방향을 따라 측정되는 바와 같은 샘플(112)의 초점 위치에 대한 민감도를 제공하도록 구성될 수도 있다. 다른 실시형태에서, 계측 타겟(1700)은 초점 감응성 인쇄 패턴 엘리먼트(1702)를 둘러싸는 초점 비감응성 인쇄 패턴 엘리먼트(1704)를 포함한다. 이와 관련하여, 계측 타겟(1700)은 박스 인 박스 오버레이 계측 타겟의 특성일 수도 있다. 예를 들면, X 방향을 따르는 샘플(112)의 초점 위치의 편차는, 초점 감응성 인쇄 패턴 엘리먼트(1702)의 시프트 및 따라서 거리(1706)에서의 변화로서 나타내어질 수도 있다. 마찬가지로, Y 방향을 따르는 샘플(112)의 초점 위치의 편차는, 초점 감응성 인쇄 패턴 엘리먼트(1702)의 시프트 및 따라서 거리(1708)에서의 변화로서 나타내어질 수도 있다. 이와 관련하여, 계측 타겟(1700)의 샘플(112)의 피치 및 요(yaw)뿐만 아니라 샘플(112)의 평균 초점 위치에도 민감하다.
초점 감응성 패턴 엘리먼트(1702) 및 초점 비감응성 패턴 엘리먼트(1704)는, 단일의 프로세싱 단계에서 또는 다수의 프로세싱 단계에서 인쇄 패턴 엘리먼트를 생성하도록 샘플(112) 상으로 이미지화될 수도 있다. 하나의 실시형태에서, 초점 감응성 패턴 엘리먼트(1702) 및 초점 비감응성 패턴 엘리먼트(1704)는, 단일의 패턴 마스크 상에 위치되고 샘플(112) 상으로 동시에 이미지화된다. 다른 실시형태에서, 초점 감응성 패턴 엘리먼트(1702) 및 초점 비감응성 패턴 엘리먼트(1704)는 샘플(112) 상으로 개별적으로 이미지화될 수도 있다. 예를 들면, 초점 감응성 패턴 엘리먼트(1702) 및 초점 비감응성 패턴 엘리먼트(1704)는, 별개의 패턴 마스크 상에 또는 단일의 패턴 마스크의 상이한 위치 상에 배치될 수도 있다.
몇몇 실시형태에서, 조명 소스(102)는 대칭적인 축외 강도 분포를 나타내도록 구성된다. 이와 관련하여, 조명 소스(102)의 분포는 주목하는 반도체 디바이스뿐만 아니라 프로세스 감응성 계측 타겟과 관련되는 인쇄 패턴 엘리먼트의 제조에 적합할 수도 있다. 예를 들면, 대칭적 다이폴 조명 소스(102)와 같은 그러나 이것으로 제한되지는 않는 대칭적 축외 조명 소스(102)는 (예를 들면, 로직 및/또는 메모리 디바이스의 핀(fin), 게이트 또는 등등과 관련되는) 고밀도 라인/스페이스 패턴을 인쇄하는 데 적합할 수도 있다. 따라서, 몇몇 실시형태에서, 패턴 마스크(108) 및 대칭적 조명 소스(102)는, 반도체 디바이스를 제조하기 위해 사용되는 동일한 세트의 프로세스 단계에서 샘플(112) 상에서의 제조에 적합한 프로세스 감응성 계측 타겟을 제공하도록 공동 최적화된다.
도 18은, 본 개시의 하나 이상의 실시형태에 따른, 프로세스 감응성 계측 타겟을 인쇄하기 위한 조명 소스(102)의 예시적인 강도 분포의 플롯(1800)이다. 하나의 실시형태에서, 조명 소스(102)는, 제1 폴(104a) 및 제1 폴에 대해 대칭적인 제2 폴(104b)을 포함하는 대칭적 다이폴 조명 소스이다. 그러나, 도 18의 예시적인 강도 분포는 단지 예시적인 목적을 위해서만 제공되며 제한하는 것으로 해석되지 않아야 한다는 것을 유의한다. 예를 들면, 조명 소스(102)는, 대칭적 다이폴 소스, C-Quad 조명 소스, 퀘이사(Quasar) 조명 소스, 또는 대칭적 분포를 갖는 자유 형태 조명 소스를 포함하는, 그러나 이들로 제한되지는 않는 임의의 대칭적 소스로서 구성될 수도 있다.
본원에서, 각각의 조명 폴(예를 들면, 조명 폴(104a, 104b))은 패턴 마스크(108) 상에서의 패턴 엘리먼트의 분포에 따라 패턴 마스크(108)에 의해 회절될 수도 있다는 것을 유의한다. 이와 관련하여, 주어진 조명 폴과 관련되는 회절 빔은, 주어진 조명 폴과 관련되는 샘플(112) 상에서의 강도 분포가 회절 빔의 (예를 들면, 광로차(optical path difference), 또는 등등과 관련되는) 상대적 광학 위상의 함수이도록, 샘플(112) 상에서 간섭할 수도 있다. 또한, 전체적인 강도 분포는 제1 및 제2 조명 폴(예를 들면, 조명 폴(104a, 104b))의 기여를 포함할 수도 있다.
예를 들면, 제1 조명 폴(예를 들면, 조명 폴(104a))과 관련되는 샘플(112) 상의 조명의 강도는, (예를 들면, 도 3a, 또는 등등에서 예시되는 바와 같은 리소그래피 서브시스템(101)의) 샘플(112)에서의 두 개의 회절 빔의 간섭으로서 설명될 수도 있는데:
Figure 112018024708419-pct00003
여기서
Figure 112018024708419-pct00004
Figure 112018024708419-pct00005
은 제1 및 제2 회절 빔의 전계 진폭과 관련되는 상수이고,
Figure 112018024708419-pct00006
는 회절 빔 사이의 광학 위상차에 대응한다. 예를 들면, 회절 빔은 (예를 들면, 도 3a, 도 3d, 도 3g, 또는 등등에서 도시되는 바와 같은) 0 차 회절 빔 및 1 차 회절 빔을 포함할 수도 있다. 이와 관련하여
Figure 112018024708419-pct00007
는 다음으로서 설명될 수도 있는데:
Figure 112018024708419-pct00008
여기서, p는 x 방향을 따르는 패턴 마스크(108)의 피치이고,
Figure 112018024708419-pct00009
는 조명 빔(104)의 파장이고,
Figure 112018024708419-pct00010
는 패턴 마스크(108)로부터의 0 차 회절 빔의 회절 각도이고,
Figure 112018024708419-pct00011
은 패턴 마스크(108)로부터의 1 차 회절 빔의 회절 각도이다. 또한, 0 차 회절 빔의 회절 각도
Figure 112018024708419-pct00012
는, 조명 빔(104)의 입사각과 동일할 수도 있다. 따라서, 0 차 회절 빔은 패턴 마스크(108)를 통해 선형 경로를 따라 전파할 수도 있다.
대칭적 다이폴 조명과 관련되는 샘플(112) 상에서의 전체적인 강도 분포는, 따라서, 두 개의 다이폴 소스로부터의 강도 분포의 기여에 의해 설명될 수도 있다. 예를 들면, 전체적인 강도 분포는 다음과 같이 설명될 수도 있지만, 그러나 반드시 그럴 필요는 없다:
Figure 112018024708419-pct00013
이와 관련하여, 샘플(112) 상에서의 강도 분포는, 패턴 마스크(108)의 피치 p와 동일한 주기를 갖는 X 방향을 따르는 정현파 분포에 대응할 수도 있다. 또한, Z 방향을 따르는 샘플(112) 상에서의 강도 분포는, 조명 빔(104)의 입사각
Figure 112018024708419-pct00014
및 패턴 마스크(108)의 피치 p의 함수로서 주기를 갖는 정현파 분포에 대응할 수도 있다.
식 1 내지 3 및 조명 소스(102)와 관련되는 샘플(112) 상에서의 조명 분포의 관련된 설명은 단지 예시적인 목적을 위해서만 제공되며 제한하는 것으로 해석되어서는 안된다는 것이 이해되어야 한다. 예를 들면, 조명 소스(102)는 샘플(112) 상에 소망하는 조명 프로파일을 제공하기 위해 임의의 공간적 및/또는 시간적 가간섭성 속성(property)을 나타낼 수도 있다. 이와 관련하여, 조명 소스(102)의 공간적 및/또는 시간적 가간섭성 속성은, 주어진 조명 폴의 회절 차수 사이의 간섭 및/또는 다수의 조명 폴 사이의 간섭에 영향을 줄 수도 있다. 또한, 샘플(112) 상에서의 전체적인 강도 분포는, 조명 소스(102)(예를 들면, 조명 폴, 또는 등등) 상의 임의의 수의 위치로부터의 임의의 수의 회절 빔으로부터의 기여를 포함할 수도 있다.
하나의 실시형태에서, 조명 소스(102) 및 패턴 마스크(108)는 초점 감응성 계측 타겟을 생성하기에 적합한 강도 분포를 샘플(112) 상에 제공하도록 공동 최적화될 수도 있다. 예를 들면, 조명 소스(102) 및 패턴 마스크(108)는, 대칭적 조명 폴의 쌍의 각각으로부터의 회절 빔 사이에 광학 위상차를 생성하도록(예를 들면, 식 1 내지 3에서
Figure 112021092086990-pct00015
이도록) 공동 최적화될 수도 있다. 따라서, 식 1 내지 식 3에 의해 도시되는 바와 같이, 제1 다이폴(예를 들면, I1(x, z))과 관련되는 샘플(112) 상의 강도는 제1 방향으로 비대칭적일 수도 있는 반면, 제2 다이폴(예를 들면, I2(x, z))과 관련되는 샘플(112) 상의 강도는 반대 방향에서 비대칭적일 수도 있다. 또한, 샘플(112) 상에서의 전체적인 강도 분포(예를 들면, ITot(x, z))는 Z 방향을 따라(예를 들면, 투영 광학장치(110)의 세트의 광학 축, 또는 등등을 따라) 변조될 수도 있다. 이와 관련하여, 샘플(112) 상에서의 강도 분포는 (예를 들면, 식 3에서의 Z 의존 항, 또는 등등에 따라) 샘플(112)의 초점 위치에 민감할 수도 있다. 따라서, 샘플(112)의 초점 위치의 편차는, 초점 감응성 계측 타겟을 생성하도록 샘플(112) 상의 인쇄 패턴 엘리먼트의 하나 이상의 특성에 영향을 끼칠 수도 있다.
도 19a는, 본 개시의 하나 이상의 실시형태에 따른, 조명 소스(102)의 제1 폴과 관련되는 빔 경로 및 샘플(112) 상에 초점 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크(108)를 예시하는 리소그래피 서브시스템(101)의 개념도이다. 도 19b는, 본 개시의 하나 이상의 실시형태에 따른, 제1 폴에 대해 대칭적인 조명 소스(102)의 제2 폴과 관련되는 빔 경로 및 샘플(112) 상에 초점 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크(108)를 예시하는 리소그래피 서브시스템(101)의 개념도이다. 본원에서, 샘플(112) 상에 패턴 마스크(108)의 에어리얼 이미지를 생성하기 위해, 도 19a 및 도 19b 둘 모두와 관련되는 빔 경로 및 추가적인 쌍의 대칭적 조명 폴(도시되지 않음)이 동시에 존재할 수도 있다는 것을 유의한다.
도 20은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟의 생성을 위한 리소그래피 서브시스템(101)의 동공 평면(304) 내에서의 회절 빔(306a, 306b, 308a, 308b)의 분포를 예시하는 플롯(2000)이다. 하나의 실시형태에서, 회절 빔(306a, 306b)은 도 19a에서 예시되는 빔 경로에 대응한다. 예를 들면, 회절 빔(306a)은 0 차 회절 빔에 대응할 수도 있고 회절 빔(306b)은 1 차 회절 빔에 대응할 수도 있다. 또한, 회절 빔(306a, 306b)은, 리소그래피 서브시스템(101)을 통한 회절 빔의 광학 경로가 상이하도록(예를 들면, 식 2에서의
Figure 112021092086990-pct00016
), 비대칭적 프로파일을 가지고 분포될 수도 있고 샘플(112)의 조명은 비대칭적일 수도 있다. 마찬가지로, 회절 빔(308a, 308b)은 도 19b에서 예시되는 빔 경로에 대응할 수도 있다. 예를 들면, 회절 빔(308a)은 0 차 회절 빔에 대응할 수도 있고 회절 빔(308b)은 1 차 회절 빔에 대응할 수도 있다. 또한, 회절 빔(308a, 308b)은, 샘플(112)의 조명이 비대칭적일 수도 있도록, 비대칭 프로파일을 가지고 마찬가지로 분포될 수도 있다. 그러나, 회절 빔(306a, 306b, 308a, 308b)의 결합된 분포는 대칭적일 수도 있다.
다른 실시형태에서, 회절 빔(306a, 306b, 308a, 308b)은 조명 소스(102)의 조명 폴의 공간적 범위에 대응하는 동공 평면(304)에서 유한한 폭을 가질 수도 있다. 예를 들면, 샘플(112) 상에 초점 감응성 계측 타겟을 생성하기에 적합한 패턴 마스크(108)의 피치는 조명 소스(102)의 알려진 분포에 기초하여 계산될 수도 있다. 예를 들면, 패턴 마스크(108)는, 동공 평면(304)에서의 주어진 조명 폴의 회절 빔 사이의 분리 거리 Df(예를 들면, 306a와 306b 사이의 분리)가 다음과 같이 계산될 수도 있도록, 설계될 수도 있지만, 그러나 반드시 그럴 필요는 없다:
Figure 112018024708419-pct00017
여기서,
Figure 112018024708419-pct00018
Figure 112018024708419-pct00019
은 동공 평면에서의 0 차 회절 빔의 외측 및 내측 범위이다. 따라서, 각각의 조명 폴의 회절 빔은, (예를 들면, 도 20에서 도시되는 바와 같이) 중첩 없이, 동공 평면 내에서 비대칭적으로 분포될 수도 있다.
또한, 패턴 마스크(108) 상의 패턴 엘리먼트의 초점 감응성 피치 Pf는, 초점 감응성 동공 분리 거리 Df에 기초하여 계산될 수도 있다. 하나의 실시형태에서, 패턴 마스크(108)에 의해 생성되는 회절 빔 사이의 분리는 회절 공식에 따라 설명될 수도 있는데:
Figure 112018024708419-pct00020
여기서,
Figure 112018024708419-pct00021
는 조명 소스(102)의 파장이고, n은 회절 격자를 둘러싸는 굴절률이고, p는 패턴 마스크(108) 상의 패턴 엘리먼트와 관련되는 피치이고,
Figure 112018024708419-pct00022
는 조명 빔(104)의 입사각(1902)뿐만 아니라 0 차 회절 빔(예를 들면, 회절 빔(306a, 308a))의 출사각(1904)이고,
Figure 112018024708419-pct00023
는 회절 빔(예를 들면, 회절 빔(306b, 308b))의 출사각(1904)이고,
Figure 112018024708419-pct00024
는 동공 평면(304)에서의 0 차 회절 빔(예를 들면, 회절 빔(306a, 308a))의 중심 위치(1906)이고,
Figure 112018024708419-pct00025
은 동공 평면(304)에서의 1 차 회절 빔(예를 들면, 회절 빔(306a, 308a))의 중심 위치(1908)이고, NA는 투영 광학장치(110)의 세트의 개구수이다. 다른 실시형태에서, 초점 감응성 피치 Pf는, 다음과 같이, 0 차 회절 빔(예를 들면, 회절 빔(306a, 308a))과 1 차 회절 빔(예를 들면, 회절 빔(306a, 308a, 여기서 m = 1)) 사이의 초점 감응성 동공 분리(focus-sensitive pupil separation) Df에 기초하여 계산될 수도 있다:
Figure 112018024708419-pct00026
예시적인 예로서, 리소그래피 서브시스템(101)은 80 nm의 피치를 갖는 라인/스페이스 패턴의 제조를 위해 구성되는 대칭적 다이폴 소스(예를 들면, 도 18의 플롯(1800), 또는 등등에 대응함)를 포함할 수도 있다. 또한, 동공 평면에서의 0 차 회절 빔(306a, 308a)은, 0차 회절 빔(306a, 308a)이 동공 한계(312) 근처에 분포되도록, 0.96의 외측 범위
Figure 112018024708419-pct00027
및 0.86의 내측 범위
Figure 112018024708419-pct00028
을 가질 수도 있다. 따라서, 패턴 마스크(108)는 (예를 들면, 식 6에 따라) Pf = 152 nm의 피치를 가지고 (예를 들면, 식 4에 따라) Df = 0.942의 분리를 갖는 회절 차수를 생성하도록 설계될 수도 있다.
도 21은, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟에 대응하는 초점 노광 매트릭스(FEM)의 (예를 들면, 샘플(112)의 레지스트 층(116)에서의) 시뮬레이팅된 인쇄 패턴 프로파일(2100)의 개략도이다. 하나의 실시형태에서, 도 21은, 수평축을 따라 샘플(112)의 초점 위치에 대한 인쇄 패턴 프로파일(2100)의 변화를 그리고 수직축을 따라 샘플(112)의 노광(예를 들면, 조명 빔(104)에 의한 샘플(112)에 입사하는 에너지의 선량)을 예시한다. 다른 실시형태에서, 주목하는 프로세스 윈도우는 프로세스 윈도우(2102)에 의해 예시된다. 예를 들면, 인쇄 패턴 프로파일(2100)은 상기에서 설명되는 바와 같이 150 nm의 초점 감응성 피치를 가지고 제조되는 인쇄 패턴에 대응할 수도 있다. 또한, 인쇄 높이, 측벽 각도, 및 임계 치수(예를 들면, 지정된 높이에서의 인쇄 패턴의 폭)와 같은, 그러나 이들로 제한되지는 않는 인쇄 패턴 엘리먼트의 다수의 특성은, 샘플(112)의 초점 위치의 편차에 민감하다.
다른 실시형태에서, 초점 감응성 특성(예를 들면, 높이, 측벽 각도, 임계 치수, 또는 등등)은, 샘플(112)의 초점 위치의 편차에 상대적으로 민감하고, 샘플(112)의 노광과 같은, 그러나 이것으로 제한되지는 않는 다른 프로세스 변화에 상대적으로 덜 민감하다. 예를 들면, 도 21에서 예시되는 바와 같이, 프로세스 윈도우(2102) 내에서, 인쇄 패턴 프로파일(2100)은, (예를 들면, 수평축을 따라 관찰되는 바와 같은) 샘플(112)의 초점 위치의 편차에 대해, (예를 들면, 수직축을 따라 관찰되는 바와 같은) 노광보다는 더 높은 민감도를 나타낸다.
도 22a는, 본 개시의 하나 이상의 실시형태에 따른, 인쇄 패턴 엘리먼트(예를 들면, 인쇄 패턴 엘리먼트(2100))의 임계 치수의 변화를, 샘플(112)의 초점 위치의 다수의 값에 대한 노광의 함수로서 예시하는 플롯이다. 예를 들면, 플롯(2200)은 도 21의 시뮬레이팅된 인쇄 패턴 프로파일의 측정된 값에 대응할 수도 있다. 하나의 실시형태에서, 샘플(112)의 초점 위치에 대한 임계 치수의 민감도는, 초점 위치의 70 nm 편차에 응답하여, 예시적인 18 nm만큼 변한다. 또한, 샘플(112)의 주어진 초점 위치에 대해, 노광의 함수로서의 임계 치수의 변화는 상대적으로 작다(예를 들면, 주목하는 범위에 걸쳐 대략 5 나노미터).
도 22b는, 본 개시의 하나 이상의 실시형태에 따른, 인쇄 패턴 엘리먼트(예를 들면, 인쇄 패턴 엘리먼트(2100))의 측벽 각도의 변화를, 샘플(112)의 초점 위치의 다수의 값에 대한 노광의 함수로서 예시하는 플롯이다. 예를 들면, 플롯은 도 21의 시뮬레이팅된 인쇄 패턴 프로파일의 측정된 값에 대응할 수도 있다. 하나의 실시형태에서, 측벽 각도는 샘플(112)의 초점 위치의 70 nm 편차에 응답하여 예시적인 16도만큼 변한다. 또한, 샘플(112)의 주어진 초점 위치에 대해, 노광의 함수로서의 측벽 각도의 변화는 상대적으로 작다(예를 들면, 주목하는 범위에 걸쳐 대략 3도).
다른 실시형태에서, 샘플(112)의 초점 위치의 편차를 결정하기 위해 인쇄 패턴 엘리먼트의 다수의 특성이 동시에 활용될 수도 있다. 예를 들면, 계측 타겟의 인쇄 패턴 엘리먼트의 측벽 각도 및 임계 치수의 측정은, 단일의 특성의 측정보다 더 높은 민감도 및 정확도를 제공할 수도 있다.
본원에서, (예를 들면, 리소그래피 서브시스템(101)에 의해) 샘플 상에 생성되는 패턴 마스크의 이미지는 패턴 마스크 내에서의 패턴 엘리먼트의 근접에 결정적으로 의존할 수도 있다는 것을 유의한다. 이와 관련하여, 리소그래피 서브시스템(101)(예를 들면, 투영 광학장치(110)의 세트)의 분해능보다 더 작은 치수(예를 들면, 실제 치수, 패턴 엘리먼트 사이의 분리, 또는 등등)를 갖는 패턴 엘리먼트는, 산란, 회절, 및 등등과 같은 광학적 효과에 기초하여 샘플(112)의 레지스트 층(116) 상에 인쇄되는 패턴에 영향을 끼칠 수도 있다. 또한, 분해능 미만의 패턴 엘리먼트(대안적으로, 광 근접 보정(optical proximity correction; OPC) 패턴 엘리먼트, 또는 등등)는, 샘플(112) 상으로 분해 가능하게 이미지화되지 않고도, 인쇄 패턴 엘리먼트의 하나 이상의 특성(예를 들면, PPE, 측벽 각도, 임계 치수, 또는 등등)에 영향을 끼칠 수도 있다.
도 23a는, 본 개시의 하나 이상의 실시형태에 따른, 초점 감응성 계측 타겟의 생성을 위한 비대칭 분할 패턴 엘리먼트(2302)의 평면도이다. 하나의 실시형태에서, 비대칭 분할 패턴 엘리먼트(2302)는, 조명 폴(예를 들면, 도 3a에 의해 예시되는 바와 같은 축외 단일 폴 조명 소스(102), 도 19a 및 도 19b에서 예시되는 바와 같은 대칭적 조명 폴의 쌍 중 하나, 또는 등등)로부터의 회절 빔이 리소그래피 서브시스템(101)의 동공 평면(304) 내에서 비대칭적으로 분포되도록, 초점 감응성 피치(2310)에 의해 분리된다. 다른 실시형태에서, 비대칭 분할 패턴 엘리먼트(2302)는 투영 광학장치(110)의 세트의 분해능보다 더 작은 하나 이상의 피쳐(예를 들면, 세그먼트, 세그먼트 사이의 분리 거리, 또는 등등)를 포함한다. 예를 들면, 도 23a에서 도시되는 바와 같이, 비대칭 분할 패턴 엘리먼트(2302)는, 분해능 미만의 분리 거리(2308)만큼 X 방향을 따라 분리되는 주(primary) 세그먼트(2304) 및 부(secondary) 세그먼트(2306)를 포함한다. 또한, X 방향을 따르는 주 세그먼트(2304) 및 부 세그먼트(2306)의 길이는, 비대칭 분할 패턴 엘리먼트(2302)가 X 방향에서 비대칭적이도록, 상이할 수도 있다. 따라서, 비대칭 세그먼트 패턴 엘리먼트(2302)는, 분할되지 않은 인쇄 패턴 엘리먼트로서 샘플(112) 상으로 이미지화될 수도 있다.
다른 실시형태에서, (예를 들면, 도 19a 및 도 19b에서 도시되는 바와 같이) 초점 감응성 피치(2310)에 의해 분리되고 대칭적 조명 소스(102)에 의해 조명되는 비대칭 분할 패턴 엘리먼트(2302)는, 패턴 마스크(108)의 에어리얼 이미지와 관련되는 샘플(112)의 비대칭적 조명을 제공한다. 예를 들면, 비대칭 분할 패턴 엘리먼트(2302)는, 샘플(112)의 비대칭적 조명을 제공하기 위해, 조명 빔(104a) 및 조명 빔(104b)의 대칭성을 파괴할 수도 있다. 따라서, 대응하는 인쇄 패턴 엘리먼트는 비대칭적일 수도 있다.
도 23b는, 본 개시의 하나 이상의 실시형태에 따른, 비대칭 분할 패턴 엘리먼트(2302)에 대응하는 레지스트 층(116)의 시뮬레이팅된 인쇄 패턴 프로파일(2312)의 개략도이다. 하나의 실시형태에서, 측벽 각도뿐만 아니라 인쇄 패턴 프로파일(2312)의 형상은 비대칭적이다.
도 23c는, 본 개시의 하나 이상의 실시형태에 따른, 비대칭 분할 계측 타겟의 이미지에 대응하는 초점 노광 매트릭스의 (예를 들면, 샘플(112)의 레지스트 층(116)에서의) 시뮬레이팅된 인쇄 패턴 프로파일(2314)의 개략도이다. 예를 들면, 시뮬레이팅된 인쇄 패턴 프로파일(2314)은 비대칭 분할 패턴 엘리먼트(2302)의 이미지에 대응할 수도 있다. 하나의 실시형태에서, 도 23c는, 수평축을 따라 샘플(112)의 초점 위치에 대한 인쇄 패턴 프로파일의 변화를 그리고 수직축을 따라 샘플(112)의 노광(예를 들면, 조명 빔(104)에 의해 샘플(112)에 입사하는 에너지의 선량)을 예시한다. 다른 실시형태에서, 프로세스 윈도우(2316)는 주목하는 프로세스 파라미터를 예시한다. 예를 들면, 프로세스 윈도우(2316)는 강건한 인쇄 패턴 엘리먼트 및/또는 샘플(112)의 노광 및 샘플(112)의 초점 위치의 예상된 편차와 관련되는 실제 범위를 포함할 수도 있다.
다른 실시형태에서, 비대칭 인쇄 패턴 프로파일(2314)은 샘플(112)의 초점 위치의 편차에 고도로 민감하고 노광의 편차에 민감하지 않다. 이와 관련하여, 비대칭 인쇄 패턴 프로파일(2314)은 초점 감응성 계측 타겟 상에서 초점 감응성 패턴으로서 동작할 수도 있다.
하나의 실시형태에서, 조명 소스(102) 및 패턴 마스크(108)는 노광 감응성 계측 타겟을 생성하기에 적합한 강도 분포를 샘플(112) 상에 제공하도록 공동 최적화될 수도 있다.
도 24a는, 본 개시의 하나 이상의 실시형태에 따른, 조명 소스(102)의 제1 폴과 관련되는 빔 경로 및 샘플(112) 상에 노광 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크(108)를 예시하는 리소그래피 서브시스템(101)의 개념도이다. 도 24b는, 본 개시의 하나 이상의 실시형태에 따른, 제1 폴에 대해 대칭적인 조명 소스(102)의 제2 폴과 관련되는 빔 경로 및 샘플 상(112)에 노광 감응성 계측 타겟을 생성하도록 구성되는 패턴 마스크(108)를 예시하는 리소그래피 서브시스템(101)의 개념도이다. 본원에서, 샘플(112) 상에 패턴 마스크(108)의 에어리얼 이미지를 생성하기 위해, 도 24a 및 도 24b 둘 모두와 관련되는 빔 경로뿐만 아니라, 대칭적 조명 폴(도시되지 않음)의 추가적인 쌍이 동시에 존재할 수도 있다는 것을 유의한다.
하나의 실시형태에서, 조명 소스(102)(예를 들면, 도 24a 및 도 24b에서 예시되는 대칭적 조명 소스, 또는 등등) 및 패턴 마스크(108)는, 대칭적 조명 폴의 쌍의 각각으로부터의 회절 빔이 리소그래피 서브시스템(101)을 통해 전파될 때 동일한 광학 경로 길이를 갖도록, 공동 최적화된다. 예를 들면, 조명 소스(102) 및 패턴 마스크(108)는, 회절 빔이 동공 평면(304)에서 대칭적으로 분포되도록(예를 들면, 식 2에 따라
Figure 112018024708419-pct00029
), 공동 최적화될 수도 있다. 따라서, 식 2에 의해 나타내어지는 바와 같이, 회절 빔 사이의 광학 위상
Figure 112018024708419-pct00030
는 Z 방향에 대한 의존성을 나타내지 않을 수도 있다. 따라서, 샘플 상의 전체적인 강도 분포는 다음과 같이 설명될 수도 있지만, 그러나 반드시 그럴 필요는 없다:
Figure 112018024708419-pct00031
이와 관련하여, 샘플(112) 상에서의 전체적인 강도 분포는, 인쇄 패턴 엘리먼트의 특성의 임의의 변화가 샘플(112)의 노광의 편차에 기인할 수도 있도록, (예를 들면, Z 방향을 따르는) 샘플(112)의 초점 위치의 편차에 민감하지 않을 수도 있다.
도 25는, 본 개시의 하나 이상의 실시형태에 따른, 노광 감응성 계측 타겟의 생성을 위한 리소그래피 서브시스템(101)의 동공 평면(304) 내에서의 회절 빔(308a, 306b, 308a, 308b)의 분포를 예시하는 플롯(2500)이다. 하나의 실시형태에서, 회절 빔(306a, 306b)은 도 24a에서 예시되는 빔 경로에 대응한다. 예를 들면, 회절 빔(306a)은 0 차 회절 빔에 대응할 수도 있고 회절 빔(306b)은 1 차 회절 빔에 대응할 수도 있다. 또한, 회절 빔(306a, 306b)은, 회절 빔(306a, 306b) 사이의 광학 위상차가 제로(0)(예를 들면,
Figure 112021092086990-pct00032
)이고 샘플(112)의 조명이 대칭적이 되도록, 대칭적으로 분포될 수도 있다. 마찬가지로, 회절 빔(308a, 308b)은 도 24b에서 예시되는 빔 경로에 대응할 수도 있다. 예를 들면, 회절 빔(308a)은 0 차 회절 빔에 대응할 수도 있고 회절 빔(308b)은 1 차 회절 빔에 대응할 수도 있다. 또한, 회절 빔(308a, 308b)은, 회절 빔(308a, 308b) 사이의 광로차가 제로(예를 들면,
Figure 112021092086990-pct00033
)이고 샘플(112)의 조명이 대칭적이도록, 대칭적으로 분포될 수도 있다. 추가적으로, 두 개의 조명 폴로부터의 빔은 중첩할 수도 있다. 예를 들면, 도 25에서 예시되는 바와 같이, 회절 빔(306a) 및 회절 빔(308b)은 중첩할 수도 있다. 마찬가지로, 회절 빔(306b, 308a)은 중첩할 수도 있다.
다른 실시형태에서, 동공 평면(304)에서의 회절 빔의 분리는, 샘플(112) 상에서 상대적으로 높은 피사계 심도(depth of field)를 달성하도록 설계된다. 예를 들면, 동공 평면(304)에서의 회절 빔의 분리는, 조명 소스(102)의 조명 폴의 분리와 동일하도록 구성될 수도 있다. 이와 관련하여, 샘플(112)의 초점 위치의 편차에 대한 인쇄 패턴 엘리먼트의 민감도는 감소될 수도 있다. 따라서, 인쇄 패턴 엘리먼트의 하나 이상의 특성은 샘플(112)에 대한 노광(예를 들면, 선량)에 민감할 수도 있다.
다른 실시형태에서, 0 차 회절 빔(예를 들면, 회절 빔(306a, 308a))과 1 차 회절 빔(예를 들면, 회절 빔(306a, 308a)) 사이의 노광 감응성 동공 분리 거리 De는 다음과 같이 계산될 수도 있는데:
Figure 112018024708419-pct00034
여기서,
Figure 112021092086990-pct00035
는 동공 평면(304)에서의 0 차 회절 빔(예를 들면, 회절 빔(306a, 308a))의 중심 위치(2404)이고,
Figure 112021092086990-pct00036
은 동공 평면(304)에서의 1 차 회절 빔(예를 들면, 회절 빔(306a, 308a))의 중심 위치(2402)이다. 다른 실시형태에서, 패턴 마스크(108) 상의 패턴 엘리먼트의 노광 감응성 피치 Pe는 (예를 들면, 식 5에 따라) 계산될 수도 있다:
Figure 112018024708419-pct00037
또한, 동공 평면에서의 회절 빔의 폭은 노광 감응성 계측 타겟의 설계를 위한 프로세스 윈도우를 정의할 수도 있다. 예를 들면, 프로세스 윈도우는 다음과 같은 범위에 이르는 노광 감응성 피치 Pe의 값을 포함할 수도 있는데:
Figure 112018024708419-pct00038
여기서,
Figure 112018024708419-pct00039
Figure 112018024708419-pct00040
은, 각각, 동공 평면(304)에서의 0 차 회절 빔의 내측 및 외측 범위이다.
예시적인 방법으로서, 리소그래피 서브시스템(101)은, 80 nm의 피치를 갖는 라인/스페이스 패턴의 제조를 위해 구성되는 대칭적 다이폴 소스(예를 들면, 도 18의 플롯(1800), 또는 등등에 대응함)를 포함할 수도 있다. 또한, 동공 평면에서의 0 차 회절 빔(306a, 308a)은, 0차 회절 빔(306a, 308a)이 동공 한계(312) 근처에 분포되도록, 0.96의 외측 범위
Figure 112018024708419-pct00041
및 0.86의 내측 범위
Figure 112018024708419-pct00042
을 가질 수도 있다. 따라서, 패턴 마스크(108)는 74 내지 84 nm 범위에 있는 노광 피치 Pe를 가지도록 설계될 수도 있다. 이와 관련하여, 80 nm의 노광 감응성 피치는, 샘플(112) 상에 반도체 디바이스의 일부로서 제조될 라인/스페이스 패턴과 동일한 피쳐 사이즈에 대응할 수도 있다.
도 26은, 본 개시의 하나 이상의 실시형태에 따른, 노광 감응성 패턴 엘리먼트의 이미지에 대응하는 초점 노광 매트릭스의 (예를 들면, 샘플(112)의 레지스트 층(116)에서의) 시뮬레이팅된 인쇄 패턴 프로파일(2602)의 개략도이다. 예를 들면, 시뮬레이팅된 인쇄 패턴 프로파일(2602)은, 본 개시의 하나 이상의 실시형태에 따라, 도 25에서 묘사되는 바와 같은 회절 빔(306a, 306b, 308a, 308b)의 분포를 갖는 샘플(112)의 조명에 대응할 수도 있다. 하나의 실시형태에서, 도 26은 수평축을 따라 샘플(112)의 초점 위치에 대한 인쇄 패턴 프로파일의 변화를 그리고 수직축을 따라 샘플(112)의 노광(예를 들면, 조명 빔(104)에 의해 샘플(112)에 입사하는 에너지의 선량)을 예시한다. 다른 실시형태에서, 프로세스 윈도우(2604)는 주목하는 프로세스 파라미터를 예시한다. 예를 들면, 프로세스 윈도우(2604)는 강건한 인쇄 패턴 엘리먼트 및/또는 샘플(112)의 노광 및 샘플(112)의 초점 위치의 예상된 편차와 관련되는 실제 범위를 포함할 수도 있다.
다른 실시형태에서, 인쇄 패턴 프로파일(2602)은 샘플의 노광 위치의 편차에 고도로 민감하고 샘플(112)의 초점 위치의 편차에 민감하지 않다.
도 27은, 본 개시의 하나 이상의 실시형태에 따른, 노광 감응성 인쇄 패턴 프로파일(예를 들면, 지정된 높이에서 측정되는 바와 같은 인쇄 패턴 프로파일의 폭)의 임계 치수의 변화를, 샘플(112)의 초점 위치의 다수의 값에 대한 노광의 함수로서 예시하는 플롯(2700)이다. 하나의 실시형태에서, 임계 치수는 노광에 민감하고 샘플의 초점 위치에 상대적으로 낮은 민감도를 나타낸다. 예를 들면, 프로세스 윈도우(2702)에서, 임계 치수는 2mJ/cm2의 노광 편차에 응답하여 3.3 nm만큼 변한다. 대조적으로, 임계 치수는 샘플(112)의 초점 위치의 70 nm 편차에 응답하여 0.001 nm만큼 변한다. 이와 관련하여, 인쇄 패턴 프로파일(2314)은 노광에 대해 높은 민감도를 그리고 샘플(112)의 초점 위치의 편차에 대해 최소 민감도를 나타내며, 따라서, 노광 감응성 계측 타겟 상에서 노광 감응성 패턴으로서 동작할 수도 있다.
도 1a 내지 도 1c를 다시 참조하면, 조명 소스(102)는 조명 빔(104)을 생성하기에 적합한 기술 분야에서 공지되어 있는 임의의 조명 소스를 포함할 수도 있다. 예를 들면, 조명 소스(102)는, 단색 광원(예를 들면, 레이저), 둘 이상의 이산 파장을 포함하는 스펙트럼을 갖는 다색 광원, 광대역 광원(broadband light source), 또는 파장 스위핑 광원(wavelength-sweeping light source)을 포함할 수도 있지만, 그러나 이들로 제한되는 것은 아니다. 또한, 조명 소스(102)는, 백색 광원(예를 들면, 가시 파장을 포함하는 스펙트럼을 갖는 광대역 광원), 레이저 소스, 자유 형태 조명 소스, 단일 폴 조명 소스, 다중 폴 조명 소스, 아크 램프(arc lamp), 무전극 램프(electrode-less lamp), 또는 레이저 지속 플라즈마(laser sustained plasma; LSP) 소스일 수도 있지만, 그러나 이들로 제한되는 것은 아니다. 또한, 조명 빔(104)은 자유 공간 전파 또는 유도광(guided light)(예를 들면, 광섬유, 광 파이프, 또는 등등)을 통해 전달될 수도 있다.
본원에서, 본 개시의 목적을 위해, 조명 소스(102)의 조명 폴은 조명 소스(102)의 특정 위치로부터의 조명을 나타낼 수도 있다는 것을 또한 유의해야 한다. 이와 관련하여, 조명 소스(102) 상의 각각의 공간적 위치는 조명 폴로서 간주될 수도 있다. 또한, 조명 폴은 기술 분야에서 공지되어 있는 임의의 형상 또는 사이즈를 가질 수도 있다. 추가적으로, 자유 형태 조명 소스(102)는 조명 폴의 분포에 대응하는 조명 프로파일을 갖는 것으로 간주될 수도 있다.
다른 실시형태에서, 시스템(100)은 샘플(112)을 고정하기에 적합한 샘플 스테이지(114)를 포함한다. 샘플 스테이지(114)는 기술 분야에서 공지되어 있는 임의의 샘플 스테이지 아키텍쳐를 포함할 수도 있다. 예를 들면, 샘플 스테이지(114)는 선형 스테이지를 포함할 수도 있지만, 그러나 이것으로 제한되는 것은 아니다. 다른 예로서, 스테이지 어셈블리(118)는 회전 스테이지를 포함할 수도 있지만, 그러나 이것으로 제한되는 것은 아니다. 또한, 샘플(112)은, 반도체 웨이퍼와 같은 그러나 이것으로 제한되지는 않는 웨이퍼를 포함할 수도 있다.
다른 실시형태에서, 샘플(112)에 대한 조명 빔(104)의 입사각은 조정 가능하다. 예를 들면, 빔 스플리터(126) 및 대물 렌즈(128)를 통한 조명 빔(104)의 경로는 샘플(112)에 대한 조명 빔(104)의 입사각을 제어하도록 조정될 수도 있다. 이와 관련하여, 조명 빔(104)은, 조명 빔(104)이 샘플(112)에 대한 수직 입사각을 가지도록, 빔 스플리터(126) 및 대물 렌즈(128)를 통과하는 공칭 경로를 가질 수도 있다. 또한, 샘플(112)에 대한 조명 빔(104)의 입사각은 (예를 들면, 회전 가능한 거울, 공간적 광 변조기, 자유 형태 조명 소스, 또는 등등에 의해) 빔 스플리터(126)에 대한 조명 빔(104)의 위치 및/또는 각도를 수정하는 것에 의해 제어될 수도 있다.
컨트롤러(118)의 하나 이상의 프로세서(119)는 기술 분야에서 공지되어 있는 임의의 프로세싱 엘리먼트를 포함할 수도 있다. 이러한 의미에서, 하나 이상의 프로세서(119)는 알고리즘 및/또는 명령어를 실행하도록 구성되는 임의의 마이크로프로세서 타입의 디바이스를 포함할 수도 있다. 하나의 실시형태에서, 하나 이상의 프로세서(119)는, 본 개시의 전체에 걸쳐 설명되는 바와 같이, 시스템(100)을 동작시키도록 구성되는 프로그램을 실행하도록 구성되는 데스크탑 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 이미지 컴퓨터, 병렬 프로세서, 또는 임의의 다른 컴퓨터 시스템(예를 들면, 네트워크형 컴퓨터)으로 구성될 수도 있다. 용어 "프로세서"는, 비일시적 메모리 매체(120)로부터의 프로그램 명령어를 실행하는 하나 이상의 프로세싱 엘리먼트를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다는 것이 또한 인식된다. 또한, 본 개시의 전체에 걸쳐 설명되는 단계는 단일의 컨트롤러(118)에 의해, 또는 대안적으로는, 다수의 컨트롤러(118)에 의해 수행될 수도 있다. 추가적으로, 컨트롤러(118)는 공통 하우징 내에 또는 다수의 하우징 내에 수용되는 하나 이상의 컨트롤러(118)를 포함할 수도 있다. 이 방식에서, 임의의 컨트롤러 또는 컨트롤러의 조합은, 시스템(100)으로의 통합에 적합한 모듈로서 개별적으로 패키징될 수도 있다.
메모리 매체(120)는 관련된 하나 이상의 프로세서(119)에 의해 실행 가능한 프로그램 명령을 저장하기에 적합한 기술 분야에서 공지되어 있는 임의의 저장 매체를 포함할 수도 있다. 예를 들면, 메모리 매체(120)는 비일시적 메모리 매체를 포함할 수도 있다. 다른 예로서, 메모리 매체(120)는, 리드 온리 메모리, 랜덤 액세스 메모리, 자기 또는 광학 메모리 디바이스(예를 들면, 디스크), 자기 테이프, 솔리드 스테이트 드라이브 및 등등을 포함할 수도 있지만, 그러나 이들로 제한되지는 않는다. 메모리 매체(120)는 하나 이상의 프로세서(119)와 함께 공통 컨트롤러 하우징에 수용될 수도 있다는 것을 또한 유의한다. 하나의 실시형태에서, 메모리 매체(120)는, 컨트롤러(118)의 하나 이상의 프로세서(119)의 물리적 위치에 대해 원격으로 위치될 수도 있다. 예를 들면, 컨트롤러(118)의 하나 이상의 프로세서(119)는, 네트워크(예를 들면, 인터넷, 인트라넷 및 등등)를 통해 액세스 가능한 원격 메모리(예를 들면, 서버)에 액세스할 수도 있다. 따라서, 상기의 설명은 본 발명에 대한 제한으로서 해석되어선 안되며 단지 예시로서 해석되어야 한다.
다른 실시형태에서, 컨트롤러(118)는 (예를 들면, 피드백에 응답하여) 하나 이상의 선택된 파장의 조명을 제공할 것을 조명 소스(102)에게 지시한다. 일반적인 의미에서, 컨트롤러(118)는 계측 서브시스템(101) 내의 임의의 엘리먼트와 통신 가능하게 커플링될 수도 있다. 다른 실시형태에서, 컨트롤러(118)는 조명 빔(104)과 샘플(112) 사이의 입사각의 조정을 지시하기 위해 광학 엘리먼트(162) 및/또는 조명 소스(102)에 통신 가능하게 커플링된다. 또한, 컨트롤러(118)는 검출기(130)로부터 수신되는 데이터를 분석하고 그 데이터를 계측 서브시스템(101) 내의 또는 시스템(100) 외부의 추가 컴포넌트로 공급할 수도 있다.
본 개시의 실시형태는, 하나 이상의 조명 각도를 갖는 분광 타원편광 해석기(spectroscopic ellipsometer), (예를 들면, 회전 보상기(rotating compensator)를 사용하여) 뮬러 매트릭스 엘리먼트(Mueller matrix element)를 측정하기 위한 분광 타원편광 해석기, 단일 파장 타원편광 해석기, 각도 분해 타원편광 해석기(angle-resolved ellipsometer)(예를 들면, 빔 프로파일 타원편광 해석기(beam-profile ellipsometer)), 분광 반사계(spectroscopic reflectometer), 단일 파장 반사계(single-wavelength ellipsometer), 각도 분해 반사계(angle-resolved reflectometer)(예를 들면, 빔 프로파일 반사계(beam-profile reflectometer)), 이미징 시스템, 동공 이미징 시스템(pupil imaging system), 스펙트럼 이미징 시스템, 또는 산란계(scatterometer)를 포함하는, 그러나 이들로 제한되지는 않는 기술 분야에서 공지되어 있는 임의의 타입의 계측 시스템을 통합할 수도 있다. 또한, 계측 시스템은 단일의 계측 툴 또는 다수의 계측 툴을 포함할 수도 있다. 다수의 계측 툴을 통합하는 계측 시스템은 미국 특허 제7,478,019호에서 일반적으로 설명되어 있다. 주로 반사 광학장치에 기초한 집속 빔 타원편광 해석법(focused beam ellipsometry)은 미국 특허 제5,608,526호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 본원에 통합된다. 기하학적 광학장치(geometric optics)에 의해 정의되는 사이즈를 초과하는 조명 스폿의 확산을 야기하는 광학 회절의 영향을 완화하기 위한 아포다이저(apodizer)의 사용은 미국 특허 제5,859,424호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 본원에 통합된다. 동시 다중 입사각 조명을 갖는 고 개구수 툴(high-numerical-aperture tool)의 사용은 미국 특허 제6,429,943호에서 일반적으로 설명되는데, 이 특허는 참조에 의해 그 전체가 본원에 통합된다.
계측 툴은, 임계 치수(critical dimension; CD), 오버레이, 측벽 각도, 막 두께, 또는 프로세스 관련 파라미터(예를 들면, 초점, 선량, 및 등등)와 같은, 그러나 이들로 제한되지는 않는 하나 이상의 타겟의 특성을 측정할 수도 있다는 것이 본원에서 추가로 인식된다. 타겟은, 예를 들면, 메모리 다이의 격자와 같은 본질적으로 주기적인 소정의 주목 영역을 포함할 수도 있다. 계측 타겟은 다양한 공간적 특성을 더 가질 수도 있고, 통상적으로, 하나 이상의 리소그래피적으로 별개인 노광에서 인쇄되었을 수도 있는 하나 이상의 층에서 피쳐를 포함할 수도 있는 하나 이상의 셀로 구성된다. 타겟 또는 셀은 2 중(two-fold) 또는 4 중(four-fold) 회전 대칭성, 반사 대칭성과 같은 다양한 대칭성을 가질 수도 있다. 이러한 계측 구조체의 예가 미국 특허 제6,985,618호에서 설명되는데, 이 특허는 참조에 의해 그 전체가 본원에 포함된다. 상이한 셀 또는 셀의 조합은, 별개의 층 또는 노광 단계에 속할 수도 있다. 개개의 셀은 분리된 비주기적 피쳐를 포함할 수도 있거나 또는 대안적으로 이들은 일차원, 이차원 또는 삼차원의 주기적 구조체 또는 비주기적 구조체 및 주기적 구조체의 조합으로부터 구성될 수도 있다. 주기적 구조체는 분할되지 않을 수도 있거나 또는 주기적 구조체는, 그들을 인쇄하기 위해 사용되는 리소그래피 프로세스의 최소 설계 규칙에 있을 수도 있는 또는 최소 설계 규칙에 가까울 수도 있는 미세하게 분할된 피쳐로부터 구성될 수도 있다. 계측 타겟은 또한, 동일 층에서 또는 계측 구조체의 층 위의, 아래의 또는 사이의 층에서 더미화 구조체(dummification structure)와 병치될 수도 있거나 또는 더미화 구조체와 근접 상태에 있을 수도 있다. 타겟은, 계측 툴에 의해 그 두께가 측정될 수 있는 다중 층(예를 들면, 막)을 포함할 수 있다. 타겟은 (예를 들면, 정렬, 오버레이 레지스트레이션 동작, 및 등등과의) 사용을 위해 반도체 웨이퍼 상에 배치되는 타겟 설계를 포함할 수 있다. 또한, 타겟은 반도체 웨이퍼 상의 다수의 장소에 위치될 수도 있다. 예를 들면, 타겟은 (예를 들면, 다이 사이의) 스크라이브 라인 내에 위치될 수도 있고 및/또는 다이 자체 내에 위치될 수 있다. 다수의 타겟은, 미국 특허 제 7,478,019호에서 설명되는 바와 같이 동일한 또는 다수의 계측 툴에 의해 동시적으로 또는 순차적으로 측정될 수도 있는데, 이 특허는 참조에 의해 그 전체가 본원에 통합된다.
본원에서 설명되는 요지는, 때때로, 다른 컴포넌트 내에 포함되는, 또는 다른 컴포넌트와 연결되는 상이한 컴포넌트를 예시한다. 이렇게 묘사된 아키텍쳐는 단순히 예시적인 것이다는 것, 및 동일한 기능성(functionality)을 달성하는 많은 다른 아키텍쳐가 구현될 수 있다는 것이 이해되어야 한다. 개념적인 면에서, 동일한 기능성을 달성하기 위한 컴포넌트의 임의의 배치는, 소망하는 기능성이 달성되도록, 유효하게 "관련"된다. 그러므로, 특정한 기능성을 달성하기 위해 본원에서 결합되는 임의의 두 컴포넌트는, 아키텍쳐 또는 중간 컴포넌트에 무관하게, 소망하는 기능성이 달성되도록, 서로 "관련되는" 것으로 보일 수 있다. 마찬가지로, 이렇게 관련되는 임의의 두 컴포넌트는 또한, 소망하는 기능성을 달성하도록 서로 "연결되어 있는" 또는 "커플링되어 있는" 것으로도 보일 수 있으며, 이렇게 관련될 수 있는 임의의 두 컴포넌트는 또한, 소망하는 기능성을 달성하도록 서로 "커플링가능한" 것으로 보일 수 있다. 커플링될 수 있는 것의 구체적인 예는, 물리적으로 상호 작용 가능한 및/또는 물리적으로 상호 작용하는 컴포넌트 및/또는 무선으로 상호 작용 가능한 및/또는 무선으로 상호 작용하는 컴포넌트 및/또는 논리적으로 상호 작용 가능한 및/또는 논리적으로 상호 작용하는 컴포넌트를 포함하지만, 그러나 이들로 제한되지는 않는다.
본 개시 및 그것의 수반하는 이점 중 많은 것은 상기의 설명에 의해 이해될 것으로 믿어지며, 개시된 요지를 벗어나지 않으면서 또는 그것의 중요한 이점을 희생하지 않으면서, 컴포넌트의 형태, 구성 및 배치에서 다양한 변경이 이루어질 수도 있다는 것이 명백할 것이다. 설명되는 형태는 단지 설명을 위한 것에 불과하며, 이러한 변경예를 포괄하고 포함하는 것이 하기의 청구범위의 의도이다. 또한, 첨부된 청구범위에 의해 본 발명이 정의된다는 것이 이해되어야 한다.

Claims (28)

  1. 리소그래피 시스템으로서,
    축외 조명 폴(off-axis illumination pole)을 포함하는 조명 소스 - 상기 축외 조명 풀은 오프셋 방향을 따라 알려진(known) 오프셋 거리만큼 광학 축으로부터 분리되고, 패턴 마스크는 분할(segmentation) 방향을 따라 초점 감응성(focus-sensitive) 피치로 분할된 제1 패턴 엘리먼트 및 상기 분할 방향을 따라 초점 비감응성(focus-insensitive) 피치로 분할된 제2 패턴 엘리먼트를 포함함 - ; 및
    상기 조명 소스로부터의 조명에 기초하여 상기 패턴 마스크에 대응하는 이미지를 가진 샘플을 노광시켜, 노광된 피쳐들을 생성하도록 구성되는 투영 광학장치들(projection optics)의 세트
    를 포함하고,
    상기 초점 감응성 피치는, 상기 초점 감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 투영 광학장치들의 세트의 동공 평면에서 비대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고,
    상기 제1 패턴 엘리먼트의 세그먼트들의 폭들은, 상기 분할 방향을 따르는 상기 제1 패턴 엘리먼트의 적어도 일부 세그먼트들이 상기 투영 광학장치들의 세트의 분해능보다 작은 분해능 미만의(sub-resolution) 거리만큼 분리되도록, 선택되고,
    상기 분해능 미만의 거리만큼 분리된 상기 제1 패턴 엘리먼트의 세그먼트들은 병합된 피쳐들로서 노광되고,
    상기 제1 패턴 엘리먼트에 대응하는 상기 샘플 상의 노광된 피쳐들은 노광 동안의 상기 샘플의 초점 위치의 하나 이상의 표시자(indicator)를 포함하고,
    상기 초점 비감응성 피치는, 상기 초점 비감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 동공 평면에서 대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고,
    상기 제2 패턴 엘리먼트에 대응하는 상기 샘플 상의 노광된 피쳐들은 노광 동안의 상기 샘플의 초점 위치에 독립적이고,
    상기 하나 이상의 표시자에 대한 상기 분할 방향에 따른 상기 제1 패턴 엘리먼트와 상기 제2 패턴 엘리먼트 간의 차이들은, 노광 동안의 상기 샘플의 초점 위치를 나타내는 것인, 리소그래피 시스템.
  2. 제1항에 있어서,
    상기 오프셋 방향은 상기 분할 방향인 것인, 리소그래피 시스템.
  3. 제1항에 있어서,
    상기 분할 방향은 제1 분할 방향이고, 상기 제1 패턴 엘리먼트는 또한, 상기 제1 분할 방향에 수직인 제2 분할 방향을 따라 상기 초점 감응성 피치로 분할되고, 상기 오프셋 방향은 상기 제1 분할 방향 및 상기 제2 분할 방향과 다른 것인, 리소그래피 시스템.
  4. 제3항에 있어서,
    상기 샘플의 초점 위치의 하나 이상의 표시자는, 상기 제1 분할 방향을 따라 측정 가능한 하나 이상의 표시자 및 상기 제2 분할 방향을 따라 측정 가능한 하나 이상의 표시자를 포함하는 것인, 리소그래피 시스템.
  5. 제1항에 있어서,
    상기 축외 조명 폴은 제1 축외 조명 폴이고,
    상기 조명 소스는 상기 오프셋 방향을 따라 상기 제1 축외 조명 폴에 대칭인 제2 축외 조명 폴을 더 포함하고,
    상기 제1 패턴 엘리먼트에 의해 회절된 상기 제2 축외 조명 폴로부터의 조명은 상기 동공 평면에서 비대칭적으로 분포되고,
    상기 제2 패턴 엘리먼트에 의해 회절된 상기 제2 축외 조명 폴로부터의 조명은 상기 동공 평면에서 대칭적으로 분포되며,
    상기 제1 축외 조명 폴 및 상기 제2 축외 조명 폴과 연관된 상기 동공 평면에서의 조명의 결합된 분포는 대칭적인 것인, 리소그래피 시스템.
  6. 제5항에 있어서,
    상기 제1 패턴 엘리먼트에 의해 회절된 상기 제1 축외 조명 폴로부터의 조명과 상기 제1 패턴 엘리먼트에 의해 회절된 상기 제2 축외 조명 폴로부터의 조명은 상기 동공 평면에서 중첩되지 않는 패턴으로 분포되는 것인, 리소그래피 시스템.
  7. 제5항에 있어서,
    상기 샘플의 초점 위치의 하나 이상의 표시자는, 상기 제1 패턴 엘리먼트의 세그먼트들에 대응하는 상기 노광된 피쳐들의 분할 방향에 따른 측벽 각도 또는 치수 중 적어도 하나를 포함하는 것인, 리소그래피 시스템.
  8. 제1항에 있어서,
    상기 제1 패턴 엘리먼트의 적어도 일부의 세그먼트들은 두 개 이상의 서브엘리먼트들을 포함하고,
    상기 두 개 이상의 서브엘리먼트들은, 상기 두 개 이상의 서브엘리먼트들이 상기 병합된 피쳐들로서 노광되도록, 상기 분할 방향을 따라 상이한 폭들을 갖고 상기 분해능 미만의 거리만큼 분리되고,
    상기 병합된 피쳐들은 비대칭적인 것인, 리소그래피 시스템.
  9. 제1항에 있어서,
    상기 샘플의 초점 위치의 하나 이상의 표시자는, 상기 제1 패턴 엘리먼트의 세그먼트들에 대응하는 노광된 피쳐들의 위치들을 포함하고,
    상기 제1 패턴 엘리먼트의 세그먼트들에 대응하는 노광된 피쳐들의, 상기 제2 패턴 엘리먼트에 대응하는 노광된 피쳐들에 대한, 상기 분할 방향에 따른 변위(displacement)는, 상기 초점 위치를 나타내는 것인, 리소그래피 시스템.
  10. 제1항에 있어서,
    상기 제1 패턴 엘리먼트는 또한 상기 초점 비감응성 피치만큼 분할되고,
    상기 제1 패턴 엘리먼트는 상기 초점 비감응성 피치로 분포된 두 개 이상의 엘리먼트 그룹들을 포함하고,
    상기 두 개 이상의 엘리먼트 그룹들 중 적어도 일부의 엘리먼트 그룹들은, 상기 초점 감응성 피치로 분포되고 상기 분해능 미만의 거리로 분리된 두 개 이상의 서브엘리먼트들을 포함하여, 상기 적어도 일부의 엘리먼트 그룹들이 상기 병합된 피쳐들로서 노광되도록 하는 것인, 리소그래피 시스템.
  11. 제1항에 있어서,
    상기 패턴 마스크는,
    실질적으로 투명한 기판; 및
    실질적으로 불투명한 재료
    를 포함하는 것인, 리소그래피 시스템.
  12. 제11항에 있어서,
    상기 실질적으로 불투명한 재료는 금속을 포함하는 것인, 리소그래피 시스템.
  13. 제1항에 있어서,
    상기 패턴 마스크는, 바이너리 패턴 마스크(binary pattern mask) 또는 패터닝된 위상 마스크(patterned phase mask) 중 적어도 하나를 포함하는 것인, 리소그래피 시스템.
  14. 제1항에 있어서,
    노광 동안의 상기 샘플의 초점 위치의 하나 이상의 표시자는, 상기 제1 패턴 엘리먼트의 세그먼트들에 대응하는 상기 노광된 피쳐들의 분할 방향에 따른 패턴 배치, 측벽 각도, 또는 치수 중 적어도 하나를 포함하는 것인, 리소그래피 시스템.
  15. 계측 시스템에 있어서,
    기판 위에 배치된 계측 타겟을 갖는 상기 기판을 지지하도록 구성된 샘플 스테이지
    - 상기 계측 타겟은 축외 조명 폴을 포함하는 리소그래피 시스템에 의해 생성된 패턴 마스크의 이미지에 대응하고,
    상기 축외 조명 풀은 오프셋 방향을 따라 알려진 오프셋 거리만큼 광학 축으로부터 분리되고,
    상기 패턴 마스크는,
    분할 방향을 따라 초점 감응성 피치로 분할된 제1 패턴 엘리먼트 - 상기 초점 감응성 피치는, 상기 초점 감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 노광 동안 상기 리소그래피 시스템의 동공 평면에서 비대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고; 상기 제1 패턴 엘리먼트의 세그먼트들의 폭들은, 상기 분할 방향을 따르는 상기 제1 패턴 엘리먼트의 적어도 일부 세그먼트들이 상기 리소그래피 시스템의 분해능보다 작은 분해능 미만의(sub-resolution) 거리만큼 분리되도록, 선택되고; 상기 분해능 미만의 거리만큼 분리된 상기 제1 패턴 엘리먼트의 세그먼트들은 병합된 피쳐들로서 노광되고; 상기 제1 패턴 엘리먼트와 연관된 상기 계측 타겟 상의 피쳐들은 상기 리소그래피 시스템에서의 상기 샘플의 초점 위치의 하나 이상의 표시자를 포함함 - ; 및
    상기 분할 방향을 따라 초점 비감응성 피치로 분할된 제2 패턴 엘리먼트 - 상기 초점 비감응성 피치는, 상기 초점 비감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 동공 평면에서 대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고; 상기 제2 패턴 엘리먼트와 연관된 상기 계측 타겟의 피쳐들은 샘플 상의 노광된 피쳐들은 노광 동안의 상기 샘플의 초점 위치에 독립적임 -
    를 포함함 - ;
    상기 계측 타겟을 조명하도록 구성되는 적어도 하나의 조명 소스;
    상기 계측 타겟으로부터 조명을 수신하도록 구성되는 적어도 하나의 검출기; 및
    상기 검출기에 통신 가능하게 커플링되고, 상기 하나 이상의 표시자에 대한 상기 제1 패턴 엘리먼트 및 상기 제2 패턴 엘리먼트와 연관된 상기 계측 타겟의 피쳐들 간의 차이들에 기초하여 상기 계측 타겟의 초점 위치를 결정하도록 구성되는 적어도 하나의 컨트롤러
    를 포함하는, 계측 시스템.
  16. 제15항에 있어서,
    상기 계측 타겟으로부터의 조명은, 반사 조명(reflected illumination), 산란 조명(scattered illumination), 또는 방출 조명(emitted illumination) 중 적어도 하나를 포함하는 것인, 계측 시스템.
  17. 제15항에 있어서,
    상기 계측 타겟의 초점 위치의 하나 이상의 표시자는, 상기 제1 패턴 엘리먼트의 세그먼트들에 대응하는 상기 계측 타겟의 피쳐들의 위치들을 포함하고,
    상기 제1 패턴 엘리먼트에 대응하는 상기 계측 타겟의 피쳐들의, 상기 제2 패턴 엘리먼트에 대응하는 상기 계측 타겟의 피쳐들에 대한, 상기 분할 방향에 따른 변위는, 상기 초점 위치를 나타내는 것인, 계측 시스템.
  18. 리소그래피 시스템의 광학 축을 따라 샘플의 위치를 결정하기 위한 방법에 있어서,
    축외 조명 폴을 포함하는 리소그래피 시스템을 이용하여 패턴 마스크의 이미지를 생성하는 단계 - 상기 축외 조명 풀은 오프셋 방향을 따라 알려진 오프셋 거리만큼 광학 축으로부터 분리되고, 상기 패턴 마스크는,
    분할 방향을 따라 초점 감응성 피치로 분할된 제1 패턴 엘리먼트 - 상기 초점 감응성 피치는, 상기 초점 감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 리소그래피 시스템의 동공 평면에서 비대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고; 상기 제1 패턴 엘리먼트의 세그먼트들의 폭들은, 상기 분할 방향을 따르는 상기 제1 패턴 엘리먼트의 적어도 일부 세그먼트들이 상기 리소그래피 시스템의 분해능보다 작은 분해능 미만의(sub-resolution) 거리만큼 분리되도록, 선택되고; 상기 분해능 미만의 거리만큼 분리된 상기 제1 패턴 엘리먼트의 세그먼트들은 병합된 피쳐들로서 노광되고; 상기 제1 패턴 엘리먼트와 연관된 상기 샘플 상의 피쳐들은 노광 동안의 상기 리소그래피 시스템에서의 상기 샘플의 초점 위치의 하나 이상의 표시자를 포함함 - ; 및
    상기 분할 방향을 따라 초점 비감응성 피치로 분할된 제2 패턴 엘리먼트 - 상기 초점 비감응성 피치는, 상기 초점 비감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 동공 평면에서 대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고; 상기 제2 패턴 엘리먼트와 연관된 상기 샘플의 피쳐들은 노광 동안의 상기 샘플의 초점 위치에 독립적임 - ;
    계측 시스템을 사용하여 상기 초점 위치의 하나 이상의 표시자를 측정하는 단계; 및
    상기 하나 이상의 표시자에 대한 상기 제1 패턴 엘리먼트와 상기 제2 패턴 엘리먼트와 연관된 상기 샘플의 피쳐들 간의 차이들에 기초하여, 상기 리소그래피 시스템의 광학 축을 따른 상기 샘플의 초점 위치를 결정하는 단계
    를 포함하는, 방법.
  19. 계측 타겟에 있어서,
    분할 방향을 따라 분할된 제1 분할 피쳐
    - 상기 제1 분할 피쳐는 축외 조명 폴을 포함하는 리소그래피 시스템에 의해 노광된 패턴 마스크의 제1 패턴 엘리먼트의 이미지에 대응하고,
    상기 축외 조명 풀은 오프셋 방향을 따라 알려진 오프셋 거리만큼 광학 축으로부터 분리되고,
    상기 분할 방향에 따른 제1 분할 피쳐의 위치는, 노광 시의 상기 리소그래피 시스템에서의 상기 계측 타겟의 초점 위치를 나타내고,
    상기 제1 패턴 엘리먼트는 초점 감응성 피치로 분할되고,
    상기 제1 패턴 엘리먼트는, 초점 비감응성 피치로 분포된 두 개 이상의 엘리먼트 그룹들을 포함하고,
    상기 두 개 이상의 엘리먼트 그룹들 중 적어도 일부의 엘리먼트 그룹들은, 초점 감응성 피치로 분포된 두 개 이상의 서브엘리먼트들을 포함하고,
    상기 초점 감응성 피치는, 상기 초점 감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 리소그래피 시스템의 동공 평면에서 비대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고,
    상기 두 개 이상의 서브엘리먼트들의 폭들은, 상기 두 개 이상의 서브엘리먼트들 중 적어도 일부가 상기 리소그래피 시스템의 분해능보다 작은 분해능 미만의 거리만큼 분리되도록, 선택되고,
    상기 두 개 이상의 서브엘리먼트들 중 상기 적어도 일부는 병합된 피쳐들로서 노광됨 - ; 및
    상기 분할 방향을 따라 분할된 제2 분할 피쳐
    - 상기 제2 분할 피쳐는 상기 리소그래피 시스템에 의해 상기 제1 패턴 엘리먼트와 동시적으로 노광된 패턴 마스크의 제2 패턴 엘리먼트의 이미지에 대응하고,
    상기 제2 분할 피쳐의 위치는, 노광시의 상기 리소그래피 시스템에서의 상기 계측 타겟의 초점 위치에 독립적이고,
    상기 제2 패턴 엘리먼트는 상기 초점 비감응성 피치로 분할되고,
    상기 초점 비감응성 피치는, 상기 초점 비감응성 피치에 기초하여 상기 패턴 마스크에 의해 회절된 상기 축외 조명 폴로부터의 조명이 상기 리소그래피 시스템의 동공 평면에서 대칭적으로 분포되도록, 상기 알려진 오프셋 거리에 기초하여 선택되고,
    상기 제1 분할 피쳐와 상기 제2 분할 피쳐 간 위치 차이들은, 노광 시의 상기 리소그래피 시스템에서의 상기 계측 타겟의 초점 위치를 나타냄 -
    를 포함하는, 계측 타겟.
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
KR1020187007128A 2015-08-14 2016-08-12 프로세스 감응성 계측 시스템 및 방법 KR102641781B1 (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201562205529P 2015-08-14 2015-08-14
US201562205410P 2015-08-14 2015-08-14
US62/205,529 2015-08-14
US62/205,410 2015-08-14
US201662297697P 2016-02-19 2016-02-19
US62/297,697 2016-02-19
US15/174,111 US10216096B2 (en) 2015-08-14 2016-06-06 Process-sensitive metrology systems and methods
US15/174,111 2016-06-06
PCT/US2016/046865 WO2017030990A1 (en) 2015-08-14 2016-08-12 Process-sensitive metrology systems and methods

Publications (2)

Publication Number Publication Date
KR20180030722A KR20180030722A (ko) 2018-03-23
KR102641781B1 true KR102641781B1 (ko) 2024-02-27

Family

ID=57994261

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187007128A KR102641781B1 (ko) 2015-08-14 2016-08-12 프로세스 감응성 계측 시스템 및 방법

Country Status (5)

Country Link
US (1) US10216096B2 (ko)
KR (1) KR102641781B1 (ko)
CN (1) CN107850858B (ko)
TW (1) TWI714617B (ko)
WO (1) WO2017030990A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102420726B1 (ko) * 2015-08-06 2022-07-15 케이엘에이 코포레이션 타겟의 에어리얼 이미지에 기초한 변환을 이용하는 초점 계측 및 타겟
US10204867B1 (en) * 2017-08-31 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor metrology target and manufacturing method thereof
WO2020009764A1 (en) * 2018-07-03 2020-01-09 Applied Materials, Inc. Pupil viewing with image projection systems
US11118903B2 (en) * 2018-10-17 2021-09-14 Kla Corporation Efficient illumination shaping for scatterometry overlay
US11018064B2 (en) * 2018-12-12 2021-05-25 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
KR20210011278A (ko) 2019-07-22 2021-02-01 삼성전자주식회사 Ie 기반 검사 방법, 및 그 검사 방법을 이용한 반도체 소자 제조방법
US11346657B2 (en) * 2020-05-22 2022-05-31 Kla Corporation Measurement modes for overlay
CN116157743A (zh) * 2020-07-28 2023-05-23 Asml荷兰有限公司 用于测量光刻设备的聚焦性能的方法、图案形成装置和设备、器件制造方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087166A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 露光装置の検査方法

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US5680588A (en) 1995-06-06 1997-10-21 International Business Machines Corporation Method and system for optimizing illumination in an optical photolithography projection imaging system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
TWI285295B (en) 2001-02-23 2007-08-11 Asml Netherlands Bv Illumination optimization in lithography
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6884552B2 (en) 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
US6673638B1 (en) 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US6842237B2 (en) 2001-12-28 2005-01-11 International Business Machines Corporation Phase shifted test pattern for monitoring focus and aberrations in optical projection systems
US7352453B2 (en) 2003-01-17 2008-04-01 Kla-Tencor Technologies Corporation Method for process optimization and control by comparison between 2 or more measured scatterometry signals
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
US7147975B2 (en) * 2003-02-17 2006-12-12 Matsushita Electric Industrial Co., Ltd. Photomask
CN101840163B (zh) 2003-03-31 2012-06-06 Asml蒙片工具有限公司 照明源和掩模优化
JP4873242B2 (ja) * 2004-06-22 2012-02-08 株式会社ニコン ベストフォーカス検出方法及び露光方法、並びに露光装置
US7372540B2 (en) 2004-10-12 2008-05-13 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7352451B2 (en) 2004-11-12 2008-04-01 Kla-Tencor Corporation System method and structure for determining focus accuracy
US7355675B2 (en) * 2004-12-29 2008-04-08 Asml Netherlands B.V. Method for measuring information about a substrate, and a substrate for use in a lithographic apparatus
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
CN101203806A (zh) 2005-06-24 2008-06-18 皇家飞利浦电子股份有限公司 用于表征照明系统的偏振的方法及装置
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
EP1857879A1 (en) * 2006-05-15 2007-11-21 Advanced Mask Technology Center GmbH & Co. KG An illumination system and a photolithography apparatus
US7545520B2 (en) 2006-11-15 2009-06-09 Asml Netherlands B.V. System and method for CD determination using an alignment sensor of a lithographic apparatus
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
JP4970498B2 (ja) * 2008-06-24 2012-07-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびデバイス製造方法
US8009274B2 (en) 2008-07-03 2011-08-30 Advanced Micro Devices, Inc. In-die focus monitoring with binary mask
US8438507B2 (en) 2008-11-20 2013-05-07 Nikon Corporation Systems and methods for adjusting a lithographic scanner
US8537349B2 (en) 2009-07-01 2013-09-17 Kla-Tencor Corporation Monitoring of time-varying defect classification performance
JP5545782B2 (ja) 2009-07-31 2014-07-09 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の焦点測定方法、散乱計、リソグラフィシステム、およびリソグラフィセル
KR20120058572A (ko) 2009-08-24 2012-06-07 에이에스엠엘 네델란즈 비.브이. 메트롤로지 방법 및 장치, 리소그래피 장치, 리소그래피 처리 셀 및 메트롤로지 타겟들을 포함하는 기판
US8407632B2 (en) 2010-09-14 2013-03-26 International Business Machines Corporation Detecting dose and focus variations during photolithography
NL2007765A (en) 2010-11-12 2012-05-15 Asml Netherlands Bv Metrology method and inspection apparatus, lithographic system and device manufacturing method.
NL2009305A (en) 2011-08-31 2013-03-04 Asml Netherlands Bv A method of determining focus corrections, lithographic processing cell and device manufacturing method.
US9466100B2 (en) 2012-06-06 2016-10-11 Kla-Tencor Corporation Focus monitoring method using asymmetry embedded imaging target
KR102057879B1 (ko) 2012-06-22 2019-12-20 에이에스엠엘 네델란즈 비.브이. 포커스를 결정하는 방법, 검사 장치, 패터닝 장치, 기판, 및 디바이스 제조 방법
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US9454072B2 (en) 2012-11-09 2016-09-27 Kla-Tencor Corporation Method and system for providing a target design displaying high sensitivity to scanner focus change
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US10001711B2 (en) 2013-12-17 2018-06-19 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
SG11201604739RA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087166A (ja) * 2008-09-30 2010-04-15 Toshiba Corp 露光装置の検査方法

Also Published As

Publication number Publication date
CN107850858B (zh) 2021-03-09
TW201719292A (zh) 2017-06-01
CN107850858A (zh) 2018-03-27
TWI714617B (zh) 2021-01-01
KR20180030722A (ko) 2018-03-23
US10216096B2 (en) 2019-02-26
WO2017030990A1 (en) 2017-02-23
US20170045826A1 (en) 2017-02-16

Similar Documents

Publication Publication Date Title
KR102641781B1 (ko) 프로세스 감응성 계측 시스템 및 방법
KR102323388B1 (ko) 초점 감응성 오버레이 타겟을 이용한 초점 결정용 시스템 및 방법
KR102590192B1 (ko) 디바이스 피처에 대해 회전된 각도로 배향된 계측 타겟을 제조하는 시스템 및 방법
US10394137B2 (en) Inspection method, lithographic apparatus, mask and substrate
JP7478788B2 (ja) 関心対象特性を算出するメトロロジ装置及び方法
TW201931008A (zh) 用於判定基板上之結構之關注特徵的度量衡裝置與方法
KR102170147B1 (ko) 모듈레이션 기술을 이용한 메트롤로지를 위한 대체 타겟 디자인
US10095122B1 (en) Systems and methods for fabricating metrology targets with sub-resolution features
KR102313418B1 (ko) 포커스-민감성 계측 타겟들을 위한 시스템 및 방법
TWI643030B (zh) 基於通過波長相似性之度量衡堅固性
KR102217209B1 (ko) 메트롤로지 장치의 조정 또는 측정 타겟의 특성에 기초한 측정
TW202115504A (zh) 在度量衡目標中的改進
US11675276B2 (en) Metrology apparatus and photonic crystal fiber

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant